TW543123B - Method of forming copper oxide film, method of etching copper film, and method of fabricating semiconductor device - Google Patents

Method of forming copper oxide film, method of etching copper film, and method of fabricating semiconductor device Download PDF

Info

Publication number
TW543123B
TW543123B TW089124469A TW89124469A TW543123B TW 543123 B TW543123 B TW 543123B TW 089124469 A TW089124469 A TW 089124469A TW 89124469 A TW89124469 A TW 89124469A TW 543123 B TW543123 B TW 543123B
Authority
TW
Taiwan
Prior art keywords
copper
film
oxide film
wiring
aforementioned
Prior art date
Application number
TW089124469A
Other languages
English (en)
Inventor
Yoshihiro Uozumi
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Application granted granted Critical
Publication of TW543123B publication Critical patent/TW543123B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C22/00Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C22/05Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using aqueous solutions
    • C23C22/60Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using aqueous solutions using alkaline aqueous solutions with pH greater than 8
    • C23C22/63Treatment of copper or alloys based thereon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/0209Cleaning of wafer backside
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76888By rendering at least a portion of the conductor non conductive, e.g. oxidation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Weting (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

五、發明說明(1) 【發明之技術領域】 置ίΐ明是半導體積體電路之半導體裝置及有關半導體壯 置用之銅膜加工及其配線構造之製造方法¥體衣 【先前技術】 分:ϊί導ΐ積體電路之半導體裝置用銅或以銅為主要成 為j防止因此產生之錯誤動作, 如圖UU)所示屬在包/=^以隔絕絕緣層。 在絕緣膜上之配缘、、|、/基板上形成之配線通常是埋 體電路等之半導體是半導體基板之側面圖。積 形成碎氧化膜之Γ 3疋在以矽做成的半導體基板1 〇 〇上 在此配線溝之側==1 ’在其表面嫩 或以銅為主要成分二或埋壁金屬m ’銅膜1〇3 如此以往配線部分 〆、 至層間絕緣膜,對在半。=有障壁金屬之上方擴散 有不良影響。又因為=體基板100上形成之半導體元件 103表面以CMP法平±ti層間絕緣膜101及埋入其中之銅膜 無法辨識之圖形。—所以在刻版印.刷時會產生圖形蝕刻 針對此問題以餘列 去,使其如圖13(Μ Λ 將配線部分即銅膜1〇3上方除 使圖形對合。 斤不較層間絕緣膜101表面低。如此可 又如圖13(c)所示右盆 覆蓋層,如此可以阶上方覆蓋—層障壁金屬104或其他 止銅從上部擴散。若覆蓋層用導電材 543123 五、發明說明(2) 一 料則上層之配線和其穿孔配線(接觸配線)形成時因銅不會 直接暴露在蝕刻之環境中,故可降低發生腐蝕或因蝕刻而 斷線之危險。 【發明所欲解決之課題】 、如前所述以往形成配線溝構造的方法有溼式蝕刻法和乾 式餘刻法。乾式法又分成非等方向蝕刻之RIE (Reactive Ion Etching)法及等方向蝕刻之CDe (Chemical Dry
Etching j法。用此方法雖可以進行銅蝕刻但對地球的環境 有不良影響。如在乾式法中使用CF系的氣體。溼式法要除
去在蝕刻後堆積的副產品,相對的溼式處理在工程上及 本上有較多的問題。
f此將重點放在溼式蝕刻。銅幾乎不溶於鹽酸、氟酸 稀1酸、醋酸、氫氰酸等氧化力較弱的酸但會被具氧化) 之I蝕刻。如熱濃硫酸、硝酸、亞硝酸、磷酸等。又可、 f於如鹽酸+過氧化氫纟、鹽酸+臭氧水、氟酸+過氧化氫 :之類的過氧化氫、臭氧或氧等具氧化力之混合液。也: 物所钔錯^ δ成可溶性錯合體的物質如氨、帶有胺基白 ,,^ τ 一胺等)、氰化物UCN)等蝕刻。將這物質和過毚 化=混合強化氧化力通常可以加速餘刻。 乳 者t虱水和過氧化氫水及鹽酸和過氧化氫水的混合液* 用的樂液,分別稱為S(M、sC2。一般市售 、鹽酸、式讲& , J ^ 5〜丨·丨· 避虱化氫水的濃度約為20〜35%,和純水以i i ^ $二7的比例混合調製SC 1、SC2。將銅浸泡在此條件 的混合溶液進行蝕刻。 *件下
第5頁 五、發明說明(3) 失i==r[cl、SC2㈣銅,將使銅表面白濁化 刻時也會使=面:Γ酸或熱硫酸等前述之各種藥液韻 鋼表面粗ϋί;;;不而且溫度愈高愈顯著。因餘刻使 弁、4 成朕;度不一。用在配線時產生實質雷Μ卜 平滑:配線接觸不良等問。因此儘量使表面保持 卞α疋飿刻的必要條件。 付 特=以2將再以酸等除去氧化物之銅钱刻方法。如 成氧化物再用稀硫酸或醋酸之心 用稀鹽酸或稀硫酸以座钱刻、成銅氧化膜再 。但是用這些方法銅=丰=等除去, 使氧化膜加厚提高溫度時問題2 ;;'且4寺別疋在為 本發明是為了減少這些問題。Μ ; 的氧化物餘刻銅膜方法可減少鋼除去銅膜氧化後 導體裝置之製造方法、及半導d'銅膜姓刻方法、半 【課題之解決手段】 本發明之特徵為在銅配線時將 及過氧化氫水之混合液(SC1),暴路於pH=8〜u之氨水 氧化膜,然後以稀鹽酸等氧化n成含有乱錯合體之 銅氧化膜選擇性餘刻。在PH=8 ^^弱的酸或稀氨水等鹼將 後本應該將銅進行蝕刻,為了 A液中浸泡氧化膜形成 速飯刻再浸入PH=10〜1 1之 543123 五、發明說明(4) 吁力V 有二錯b广體之氧化膜成長加厚然後再以稀鹽酸等 稀氨水等驗將銅氧化膜選擇性银刻。或 體溶i,·鈇1中1中性胺基酸水溶液將和銅形成之錯合 體公解隹,、、、在中性溶液中仍可將銅氧化膜進行 刻。 又:t:矣:f氧化膜及蝕刻之處理方法使從來銅蝕刻後 不g仏成表面粒糙是困難的方法變 之藥液在短時間内4β ‘古丨如^ ^ τ以女王丑廉核 形成二安定,以化及賺,結果在配線構造的表面 換。之本明之特徵為將銅膜表面和 〜 〜 ΐΐ膜 化氮水之混合液接觸,形成含有二 Ϊ、尚氯。或將銅膜表面和ρΗ=δ〜10或PH4〜10之氨水 之合液接觸,形成含有氨錯合體之氧化膜 水之混合液之步驟。又發明之:== / =以過軋化氫水在銅膜表面形成氧化膜之步驟。前 化膜後的銅膜再暴露於⑽…士之氨水及過氧化 i之混合,形成含有氨錯合體之氧化膜之步驟。 月U銅氧化膜之形成方法是首先使銅膜#σρΗ=8〜i 〇或· 銅氧化=氫水之混合繼,在前述表面形成 曰人Μ,取後再暴露在ρΗ = 10〜丨丨之氨水及過氧化氫水之 此。及,其間可多階段斷續調整ρΗ或連續調整Μ ^發明銅膜之姓刻方法,其特徵在備有以:述任一種銅 羊膜之形成方法在銅膜表面形成含銅之氨錯合體的銅氧
第7頁 543123 五 、發明說明(5) 化膜之步驟,以及自上述奶+ 膜之步驟。上述銅之4 ^ j膜選擇性地除去上述銅之氧化 、^平L 1匕膜可 、, 本發明之半導體裝置之制皮 次或鹼除去。 半導體基板之絕緣膜上步=k方法’其特徵為具有埋入在 配線之銅膜之步驟,、以前聋或接觸孔配線或接觸 前述銅膜上形成含氨錯人I—種銅軋化膜之形成方法在 銅氧化膜從前述銅膜上二埋=鋼氧化膜之步驟,及將前述 述銅氧化膜後之銅除去之步驟。可以在除去前 區域相同深度,也可/二、、x如配線溝或接觸孔側璧附近 表面做為障壁金屬,★二述配線溝或接觸孔上形成金屬膜 表面前述銅膜上形成再二:在:t前述銅氧化膜後之銅膜 同材質所構成,::膜上形成之前述障壁金屬不 暴露於氨水,€可:述銅氧化膜後之銅膜表面 rpm以下之條彼、刚述半蜍體基板以1〇〇〇 rpm以上1600 又太广條件下將銅膜表面暴露在氨水中。 半 導體基tr之半導體裝置之製造方法,其特徵為具有在半 充填^線溝膜上形成之配線溝或接觸孔上堆積金屬以 前述絕緣ίϊί觸孔之^驟’及研磨前述配線金屬以露出 述凹蝕之牛驟’及前述半導體基板之洗淨之步驟及前 屬表面凹二埋入在前述配線溝或接觸孔之前述配線金 述再蝕刻步ϊ:驟’前述研磨步㉟、前述洗淨步驟、及前 又本笋明ί:至少兩種步驟所用的藥液主要成分相同。 &月之半導體裝置之製造方法,其特徵為具有在
543123 發明說明(6) 上堆積金:或金屬化合物之步驟,及触刻前述金 至屬化合物不要部分之步驟,及前述金屬或金屬化合 :堆積之步驟中包含之鍍敷步驟’前述鍍敷步驟 =如鑛敷對像成分及驗或形成錯合體的成分和前述⑽ :去,步驟所用的藥液之主要成分相@,在前述 加之氧化劑可以用過氧化氩水或臭氧纟,在前述藥: =成土以用硫酸或氫氰酸1述不要部分蝕刻除去之 前述藥液中之氧化劑除去之步驟,及前述筚液中 之步驟’更可以將前述氧化劑除去之華液 =相同 又本發明之半導體之製造裝置是二:鍍敷液。 造方法,其特徵為除去包含在前述藥:==裝置之製 將前述藥液中之金屬離子濃度調成和前述二手段’ 鑛敷液使用之手段。 于去…化劑之藥液當成 本發明之半導體裝置,其特徵為在半 述半導體基板上之絕緣膜形成之配線溝^及埋在前 ,在前述配線溝或接觸孔上形成全属: 之金屬膜 ,前述金屬膜表面蝕刻如配線溝或接觸 為卩早1金屬 同深度。前述金屬膜也可介有之前述障辟=附近區域相 配線溝或接觸孔,形成被覆在前述金屬^妾埋入在前述 壁金屬也可以當成埋入在前述配線溝或接觸面上之前述障 銅膜等金屬膜表面愈接近側壁餘刻量命夕 纟、之構造,gp 肩滑落斷面形狀。 里4夕’變成所謂配線
五、發明說明(7) 因此在其上形成之障壁 像這樣的形狀,實施本發明近配線溝側壁膜愈厚。 觸配線在障壁金屬上夕的優點。例如為形成接 域對合偏差-部分進入膜及;形成接觸蝴區 下層配線之障壁金屬之層、,此狀態蝕刻被覆在 膜之蝕刻率較銅膜等之金屬^之二矽氧化膜等之層間絕緣 絕緣之蝕刻在這部分蝕刻較多。酉己為大因此進行層間 !:的徑較平坦部分的徑為大因此= 情形是較深 :易堆積障壁金屬或銅膜層。;:::方位比低,較 障壁金屬。 ^成接觸孔不限於埋入 【發明之實施形態】 以下參照圖面說明發明之實施形態。 本务明適用於半導體裝罟夕 序、單全j ^ ~ m衷置之夕層配線構造,例如穿孔程 =屬鑲肷構造、及雙金屬鑲 (1)有關穿孔程序 弗31疋:成多層配線之半導體基板之剖面圖。以此程序 、=之牙孔配線(或稱接觸配線)是將上層配線及下層配線 之配線。如圖1所示形成埋在下層絕緣膜上之下層配 、,,°積體電路等之半導體元件是在以矽做成的半導體基板 上形成矽氧化膜之層間絕緣膜丨,在其表面形成配線溝 。在此配線溝之側壁形成TaN、wn、τ i N等導電性氮物障壁 ^屬2,銅膜3或以銅為主要成分之合金膜埋入其中。此時 P早壁金屬2僅在配線溝之中存在並沒有在層間絕緣膜1之表 面上形成(圖1 (a))。也可以將障壁金屬越過層間絕緣膜之
第10頁 543123 五、發明說明(8) =在其表面形成。然後將銅膜3表面钱刻使銅膜 車乂層間、纟巴緣膜1之表面為低(圖〗(c ))。 如此在配線溝之上部以濺鍍或CVD等方法將障壁全屬堆 積上去,再以CMP法研磨在配線之上部嵌入一層 ::障壁金屬4之材料可以和障壁金屬2相同也可以ς同(圖 、))。然後將鎢等之障壁金屬6、鋁膜7及依須要依序加 ^導電㈣斷阻8(圖2(a)),將此圖樣化後形成穿孔配線 圖2(b))。然後在層間絕緣層j之上將穿孔配線9以矽氧 化膜等形成之層間絕緣層u被覆(圖3(a))。以CMp法將此 層間絕緣層1丨研磨露出穿孔配線9。其次在層間絕緣層n 上堆積上層之層間絕緣層i 2,然後再埋入上層配線。上層 配線疋由I1早壁金屬1 3、埋在配線溝裡的銅膜丨4及被覆在此 銅膜14表面之障壁金屬15所構成(圖3⑴)。此穿孔配線9 用來連接上下層I線使其通電。本發明適用於在此穿孔程 序中形成障壁金屬4、1 5。即例如障壁金屬4之形成方法 I參照圖1)將埋在絕緣膜i之鋼膜3露出之表面氧化形成銅 乳化膜5(圖1(b))。再用蝕刻法除去銅氧化膜形成不會粗 糙(不會白濁)的表面’在此表面再形成障壁金屬4(圖1(。) )0 (2 )有關單金屬鑲嵌 圖4是形成多層配線之半導體基板之剖面圖。在半導體 基板20上矽氧化膜等層間絕緣膜21、託、29等依次堆積形 成。在各層間絕緣膜21、25、29形成配線溝、接觸孔等, 在其中分別形成下層配線、接觸配線、上層配線等。因為
543123 五、發明說明(9) 在戶"的層間絕緣膜上形成配線溝或接觸孔, 層間、纟巴緣膜表面上形成障壁金屬,妙二° 銅為主成分之合金膜,再用CMP等方法上/積銅或以 ,將以障壁金屬包裹的銅膜埋入配線溝或接觸、表面平坦 w不會白濁)的表面’然後再在此表面形 :曰且
本:明適用於半導體裝置之多層構造形成障壁金屬24 : 28P 埋在層間絕緣膜2 1之下層配線是埋 障壁金屬22、配線溝裡被障壁金屬22包裹:銅=側= 覆在銅膜23上之障壁金屬24所構成。和下層配及被 續’在層間絕緣膜25埋入之接觸 二η 側壁,金屬26、配線溝裡被障壁金屬:开裹 、及被覆在銅膜27上之障壁金屬28所構成。和 鋼膜27 電的接續,在層間絕緣膜29埋人之接觸配線是由埋 於配線側壁之障壁金屬3〇、配線溝裡被障壁金屬3〇勺:: 銅賴、及被覆在銅膜31上之障壁金屬32所構成。匕袤的 因在下層配線之銅膜23形成障壁金屬24可以 士部擴散。X因表面較光滑表面分布不均等影變:广與 又可抑制形成接觸後之電荷集中因此;易發; (3 )有關雙金屬鑲嵌 圖5是形成多層配線之半導體基板之剖面圖。 基板4〇上矽氧化膜等之層間絕緣膜41、45等依次堆積形成
第12頁 543123 五 '發明說明(10) 二=間絕緣膜41、45形成配線溝、接觸孔等,在其中 :別:土:層配線、接觸配線、上層配線等。所有的構造 線漢m鍈嵌相同,因為在所有的層間絕緣膜上形成配 二^在其/堆積銅或以銅為主成分之合金=用 钮二:f或接觸孔。然後依本發明將銅膜表面氧化再用 銅氧化膜形成不會粗糙(不會白濁)的表面,然後 ΐίΐί面形成障壁金屬。即本發明適用於半導體裝置之 夕層構造形成障壁金屬44、。 产:ί絕緣膜41之下層配線是埋在形成於配線側壁之 :二金屬^ '配線溝裡被障壁金屬42包裹的銅膜43、及被 ==上之障壁金屬44所構成。和下層配線介隔-接 == ,在層間絕緣膜45埋入之接觸配線是由 =μ於配線側壁之障壁金屬46、配線溝裡被 46包袤的銅膜47、及被覆在銅膜47上之障壁金屬48所=: 使用本發明方法可得到和單金屬鑲嵌同樣的效果。、 明適用於本發明之半導體裝置形 以上之實施例。 κ攝造 首先參照圖6至圖8說明第1實施例 、本發明之特徵是發現一不會造成表面粗糙之銅膜蝕 法。在銅膜表面形成一含氨錯合之氧化膜,然後用蝕二 將其除去。具體而言,不是先蝕刻銅而是先調整ρΗ = 8ϋ 或Ρ Η 9 1 〇之氨水及過氧化氫水之混合液使鋼膜表面形成 第13頁 543123 五、發明說明(11) ' ' -----1 $厚的氧化膜,然後用稀鹽酸等沒有氧化力的酸或稀氨 7等鹼,此氧化膜蝕刻除去之方法。如前所述,通常用氨] 7和過氧化氫水的混合液(SCI)蝕刻銅時將pH調至丨〇· 5〜丨i - 、。依本發明之實驗得知pH在10以下表面會形成氧化膜,超-過1 0銅將被钱刻等特性。 在此浸泡在調整PH後的SC1 i分鐘使其表面形成氧化膜 \ ,用稀鹽酸選擇性蝕刻此氧化膜時銅之蝕刻量如圖6所示 。圖6之縱軸表示蝕刻量(nm),橫軸表示抑。如圖6所示銅 ,面浸泡在約18%之過氧化氫水形成氧化膜,此時之蝕刻 量約4 nm二然而加入氨水中和pH = 7時幾乎沒有氧化,再加 入更多的氨水,當pH超過8時蝕刻量增加,丨〇約丨丨~ ^ 2 nm。pH超過10產生深藍色的氨錯離子溶解。圖7(a)是處理 前之銅表面,(b)是在pH = 9. 5之氨水及過氧化氫水混合^夜 氧,1分鐘後^用鹽酸蝕刻後之銅表面。圖8(a)是在〇· 2 - 之氨水及過氧化氫水混合液蝕刻後之銅表面。對照來考圖 8(b)是鹽酸及過氧化氫水混合液(8〇它)蝕刻後銅表面之 SEM像。從圖得知用調整pH後的氨水及過氧化氫水可尸到 表面不會粗糙之蝕刻方法。 $ 為了在微影蝕刻時位置可以確實對合期望有3〇〜5〇㈣的 #刻&期望㈣時間儘量縮短。只用過氧化氯水處 理可以得到較厚的氧化膜,㈣50 nm帛要12〜13分鐘的處 理時間。因此用PH = 8~l〇,最好是PH = 9〜10的溶液處理。尤 其疋p Η - 1 0附近的溶液處理5 〇 n m的钱刻只要4分鐘。 然而不是只有調整pH值就可以,如圖6所示;7 =如將銅--
第14頁 543123
浸泡在PH調到9〜ι〇 5 化鼠水和膽酸之(昆人 要性。 之過氧化氫水和K〇H之混合液或過氧 液也幾乎不會氧化。這顯示用氨的重 以下說明第2實施例。 如第1實施例所述銅 將銅浸泡在pHq0之ςΓ1 , ^取好疋在30〜50 nm。然而 太杏#存丨眩# 之%1 1为鐘只能得到1 2 rim的蝕刻。在 旦二;/ D =明f增加钮刻量表面又不會粗糙的方法。一
形i氧化^化氫水溶液或pH=8〜1〇之如之銅膜表® 片 、接考再將此銅膜浸泡在ρΗ=10〜11之SCI。通 :V以乂1 ϊ蝕刻的條#,因•在表δ已預先形*氧化港 艾了以形成更厚的氧化膜。 人:ΪΪ氧化氫水(35%):氨水(35%):純水=1〇:3:100之混 二比此合成ρΗ = 1 0之溶液,將銅膜浸泡在此溶液30秒後接 f將組成比改變成1:1:10 (ρΗ=1 0.5) ’再浸泡1分30秒在 銅膜卜犯4·、® r» 、化成更厚的氧化膜,然後再用稀鹽酸只將含氨錯洽 ^ 乳化膜蝕刻,銅蝕刻量可達5 0 nm。在此浸泡在第1實 &例的溶液中處理時間合計2分鐘約可得到2倍的蝕刻量, 可縮短處理時間。
以下參照圖9及圖1 〇說明第3實施例。 在本實施是以例如圖1埋入之銅配線或圖3至圖5所示下 f配線為對像蝕刻實際的銅配線。浸泡在pH = l 0之SCI (過 氧化氫水:氨水:純水=1 〇 : 3 : 1 0 0 ) 1分鐘後再用2 0 %鹽酸稀釋 5 〇 供*> 口 <稀鹽酸將表面之氧化膜除去,如此反復三次將銅蝕 刻約3 5〜4 0 n m的0 · 2 5 // m線和空間配線之剖面圖如圖9所
第15頁 543123 五、發明說明(13) 示。在圖9中半導體基板5〇上形成層間絕緣膜5 絕緣膜5 1上形成障壁金屬以, 在層間 銅膜53埋入此配線溝54屬針、侧土上堆積配線溝54 ’ 开成ί化膜再钱刻處理形成不粗糙的表面,然後 全屬,CMP算Λ Λ立 將TaN或WN等堆積第2障壁 至屬#方法形成障壁金屬55。 如圖9所示蝕刻鋼鍈53形成不粗糙的表面 f广f量愈,,變成所謂配線肩滑落斷面:狀广因此在 於這種形狀用本發=膜的厚度愈大。對 好處的模式剖面圖 广 :夕子處。即圖1〇是說明這 銅配線,如圖l〇(b)所-上? 圖9所示謂配線肩滑落之 下μ « 2 千坦之銅配線。為形成將上芦配堍拉, 下層配線之接觸孔配線必須在下盾配線接在 上形成接觸孔(穿孔)。如圖所示^成隹積層間絕緣膜 在銅配線上面形成之障壁全屬步成 觸配線將接觸孔 刻被覆下層進入層間絕緣膜…狀態兹 二氧化膜)之㈣速率比銅膜大=絕緣膜 :::較、多’如圖丨。“)、圖1〇⑻虛絕緣膜 如圖10(a)深的部分&苴、、突声,Μ不開口0 J度為b,。因材質決定二二率。圖°(b)深的部分a,其 =,’深的部分之直徑 a )。換言之在圖10(b)深的部 —:直位為 刀〜成一袋狀空間, 第16頁 543123 五、發明說明(14) 這地方的方位比(b,/a,)明顯地較圖丨〇(a)深的部 比(b/a)為大,因此在圖1〇(b)之接觸孔堆積 用鍵敷的方法將銅埋入接觸孔不易形成片狀銅 10(a)之接觸孔堆積障壁金屬形成片狀銅膜就容 / 當然這不限於銅,所有半導體之 / ° 可適用。不只在金屬膜上埋入障壁金】金屬, 層或直接堆積層間絕緣膜也可適用。 心成障壁 以下說明第4實施例。 將銅配線浸泡在ΡΗ=1 〇之SC1 (過氧化氫水··氨水. 1 0:3:1 00 ) 30秒再鐘後再浸泡在pH=1〇. 5(混合比卜之 例使其表面氧’然後用35%過氧化氯·水以3/10之 比例用純水稀釋溶液除去表面之.氧化膜,可以蝕刻約5〇 :、1 第3實施例相同的方法在上層用濺鍍法或CVD等 ΪΪΓ円=堆積第2障壁金屬。然後再用CMP法研磨 形成如圖3所示配線溝。 以下參照圖11說明第5實施例。 曰^本實施例說明適用於本發明之銅配線形成步驟。圖i! 疋龙明銅配線形成程序圖。如圖所示銅配 "首先①在層間絕緣膜上形成配線溝。其次②在配2溝; =Ρ及側面用濺鍍法或c ν D等方法將T a Ν或㈣等堆積障壁金 1田rif③用賤錄法或CVD等方法將銅埋入配線溝。然後 ^用CMP法只研磨鋼或研磨銅及障壁金屬形成埋入層間絕 、,象膑之銅配線。然後⑤將CMP後之晶圓洗淨,若有必要⑥ 銅钱刻曰曰曰圓的斜切部及裏面然後洗淨。最後⑦進行本發明 543123 1、發明說明(15) 之銅凹蝕處理。 可在銅表面形成氧化膜研磨時可保護内部 苴:=:cmp之研襞。又通常在cmp後在同-裝置或 至,、他装置用滾筒海綿或懸掛海綿等物理方法
=用驗性藥⑨,粒子洗淨效果顯著。本發明之藥液其PH 。鹼性,對除去CMP後之研磨殘留粒子(鋁或矽等)'很有效 。:=i r:D等方法將銅堆積在晶圓的斜切部及裏面 積時曰二钭:等方法堆積的銅當成底層用鍍敷法堆 切部的銅。晶圓的斜切部及裏面是和;:;ί 造/置之媒介有可能污染其他晶《。二ί 。也可以在cmI ί在晶圓的斜切部及裏面的銅蝕刻洗淨 染=;:;;;:二有;能彻時再度被銅污 裏面,以迴轉m置轉7且圓°為/寞同/洗+淨斜切部及 化氫水之混合r…肖酸ΐ: “仗晨面贺出鹽酸和過氧 以溶解銅。然而此方法❹;;酸:氧化力強的酸 鋼只在晶圓邊缘附、斤气几處後會產生表面裝置部分的 或蝕刻中2生的HC1、:問題。這可能是從藥液中氣化 局部氧化。 、 x、S0x等氣體殘留在銅表面促使 處稀琉酸等氧化力弱㈣ 任日日0邊緣附近銅膜變薄的問題。 第18頁 五、發明說明(16) :t免這問題可採用將晶圓旋轉從正面用純 :在斜切部裝設嘴出藥液的喷嘴處理斜切 :中:寞: ^出藥液處理裏面的方法。但是這又有必須== ::贺嘴廷使製造裝置複雜提高裝置價格 =面看若從表面沖洗純水往後就不能回收 循環钱刻液因而增加藥液的使用量。
但若用本發明的方法CMP處理後的銅表面預先 問題。因此在形成銅配線時如圖i j所示銅CMp =、 到銅凹蝕(⑦)全部步驟可用同一藥液,且所有程序 一裝置内進行。 你J 可用同一藥液就表示製造半導體裝置時有簡略構造的好 ,二在同一製置内連續處理就不必在每一步驟進行乾燥可 提高流程順暢的優點。例如圖丨4是上述同一室内進行=導 體製裝置之概略圖,以圖丨丨所示程序說明銅配線形成步驟 。百先①在層間絕緣膜上形成配線溝。其次②在配線溝的 底部及側面堆積障壁金屬。然後③用鍍敷將銅埋入配線溝 。此步驟在銅鍍敷槽61進行,然後④用CMp法只研磨銅或 研磨銅及障壁金屬形成埋入層間絕緣膜之銅配線。此步驟 在銅鍍敷槽62進行,然後⑤將CMP後之晶圓洗淨,此步驟 在銅鍍敷槽63進行。而後,進行⑥!斜切部·裏面銅蝕刻及 洗淨。此一步驟係在蝕刻槽6 4進行。最後⑦銅凹蝕處理在 钱刻槽64進行。這些銅鍍敷槽6 1、CMP裝置62、CMP洗淨裝 置6 3、蝕刻槽6 4、及處理槽6 5全部配置在室6 〇内在此可實 543123 五、發明說明(17) 施銅配線之形成之步 在此室60各内部裝 藥液集中到處理槽6 5 整硫酸濃度再送回鑛 可以做到資源回收。 圖15是半導體製造 造裝置具有鍍敷槽Η 是由濃度調整部及調 整將純水加入從钱刻 合體成分濃度的藥液 敷液。然後將此供入 的藥液相較於在鍍敷 餘刻室6 4排放的藥液 部即可。 將鑛敷處理 銅水溶液選用 刻銅或氧化銅 為姓刻樂液和 。用蝕刻後藥 驟。 置裡從銅鍍敷槽6 1及蝕刻槽6 4排出的 ’監視銅濃度排除臭氧等氧化劑,調 敷槽6 1。如此本發明半導體製造裝置 裝置之概略圖。如圖14所示半導體製 、飯刻槽6 4、及處理槽6 5。處理槽6 5 整鍍敷液之鍍敷部所組成,供應且調 槽6 4排出的藥液、或調整形成鹽·錯 ’調整後的藥液供入鍍敷液部當做鍍 鑛敷槽(鍍敷室)6 1。從|虫刻室6 4排放 至6 1使用過的鑛敷液量少時只須整從 ’而使用過的鍍敷液直接回收到鍍敷 1入同一裝置内時所使用的鍍敷液若是硫酸 ^ 、若是氰化銅水溶選用氫氰酸,如此蝕 後的溶液和鍍敷液成分相同對程序有利。因 使用過的鍍敷液成分相同可以同時排放處理 液再進行鍍敷可得銅的利用效率極高的製程 金i ϊ:;員::ΐ或氫氰酸等氧化力弱的酸不容易蝕刻 後變成tθ >、Μ予軋化力之氧化劑,使用反應後或分解 10%之硫酸銅水溶、夜六、軋較好。例如在鍍敷液加入 a,以10/。之硫酸(+過氧化氫水或臭氧)
第20頁 543123 五、發明說明(18) 蝕刻銅或銅氧化物,蝕刻液中用離子濃度 等線内監視銅濃度,超過〗〇 %時則回收 里、吸光度 以用和鍍敷液不同濃度的硫酸,也可以 。當然也可 不容易只增加銅漠戶,#林伯义曲 衣使用。又因 田%田芏从山 取好使銅濃度高到硫醆濃择 取後用活性奴過濾器或訂燈照射將蝕刻液辰度以上。 臭氧分解,監視銅濃度或硫酸濃度加入硫式,氧化氫或 處理或以逆滲透用半透膜處理濃縮作成丨〇% 3 '、、水,加熱 液。然後加入鍍敷必須的添加劑鍍敷時使 爪酸銅水溶 時調整使用過的鑛敷液濃度。可將濃度調整過二匕:寺也可同 一點加入鍍敷液内。當然濃度監視或 =液一點 液濃縮機構不限於以上所提及之物。 剧除去機構、藥 此種敍刻後的溶液當成鑛敷液再 於銅’如AU、Ag、Ti箄所古π蚀畆 序及裝置不限 . g 11 4所有可鍍敷的金屬都可適用。 以下芩照圖1 2說明第6實施例。 = 12 =明銅凹银時氨處理晶圓配線電阻和晶圓迴轉數 H Ϊ ^ 在此實施例以迴轉式葉片裝置進行銅凹 =V 私,(1) . NH40H:H2 02:DIW ( 30:1 00:1。。。),60 秒, rpm (2) .NH4〇H:H2〇2:DIW (1〇〇:1〇〇:1〇〇),60 秒, iOOO rpm,(3) .HC 1 ( 3 0:1 000 ),5 秒,1〇〇〇 rpm 處理可 二=約吁⑽的銅。但以鹽酸處理後的銅表面氧化加速。 ’’5 、面氧化造成配線實際有效的銅減少,配線截面積降低 =,電阻上升等問題。又銅表面和上部障壁金屬之間形成 5 ^,膜,裝置上上部的穿孔的接觸電阻上升,可能因產 生電容造成延遲的原因。在程序上上部障壁金屬形成時控
543123
制凹钱處後的時間必須迅 理時可能發生剝落等問題 然而在鹽酸處理後進行 水會餘刻銅’過度處理造 葉片裝置以氨水蝕刻銅知 圖形是8吋矽晶圓上〇. 35 m Ω )在晶圓面内1 9薄片形 分鐘後其配線電阻(Ω )以 銅被姓刻截面積減少電(I旦 钱刻。此時晶圓面内蝕刻 ,均一性好則分布不均小 小,1 4: 7 5 r ρ πι附近有極小 有相同的分布不均。 速在上部堆積障壁金屬或CMp處 〇 氨水處理,可以抑制氧化。但氨 成表面粗糙的原因。使用迴轉式 I虫刻特性依迴轉數改變。以下的 # m之銅配線(配線電阻約3 4 2 成後將銅用3. 5%之氨水蝕刻約j 〇 晶圓迴轉數為參數作圖。配線的 上升。3x 104 πιΩ表示鋼完全被 之均一性不良電阻上升分布不均 。超過1 0 0 0 rpm以上分布不均變 值,在 1 6 0 0 rpm 和接近100() rpm 又在2 0 0 0 rpm下也可進行蝕刻,但400 nm的鋼完全被姓 刻。因為只希望在表面處理所以這種高速餘刻條件不是很 適宜。迴轉數超過1600 rpm以上可預知蝕刻速率會上升, 因此處理條件以1 6 0 0 rpm以下為宜。是以,為抑制氧化之 氨處理,以1000 rpm以上1600 rpm以下為宜。
在此上述凹蝕程序後實際進行氨處理,在清潔室環境下 處理後保存2 4小時之銅表面相對於用鹽酸最後加工氧化呈 茶褐色,用氨最後加工則具有處理前同樣的金屬光澤。處 理條件為(1 ) · NH40H : H2 02 : DIW ( 3 0 : 1 0 0 : 1 0 0 0 ),60 秒, 1 0 0 0 rpm,(2) · NH40H:H2 02:DIW ( 1 0 0:1 0 0:1 0 0 ),60 秒, 1000 rpm , (3) · HC1 (30:1000) , 5 秒,1000 rpm , (4) ·
第22頁 543123
第25頁

Claims (1)

  1. 543123 ^ ^ 修」^ n ^ - Η " 、4-;丨案號89124469 办年 > 月 日 修正不_ (—— 六〜肀圍 1. 一種銅氧化膜之形成方法,其特徵為在銅膜表面接觸 以ρΗ = 8〜10或ρΗ = 9〜10之氨水及過氧化氫水之混合液,而形 成含有銅氨錯合體之氧化膜。 2. —種銅氧化膜之形成方法,其特徵為包含在銅膜表面_ 接觸以ρΗ = 8〜10或ρΗ = 9〜10之氨水及過氧化氫水之混合液, 而形成含有銅氨錯合體之氧化膜之步驟,及將前述表面形· 成有氧化膜之銅膜暴露於ρΗ==10〜11之氨水及過氧化氫水之 混合液之步驟。 3· —種銅氧化膜之形成方法,其特徵為包含以過氧化氫 水在銅膜表面形成氧化膜之步驟,及將上述形成有氧化膜 之銅膜暴露於ρ Η = 1 0〜1 1之氨水與過氧化氫水之混合液中, 而形成含銅氨錯合體之氧化膜之步驟。 4. 一種銅膜蝕刻方法,其特徵為包括以根據申請專利範 圍第1〜3項中任一項之銅氧化膜形成方法,在前述銅膜表 面形成含銅氨錯合體之銅氧化膜的步驟,以及自前述銅膜 選擇性地除去前述銅氧化膜的步驟。 5 .如申請專利範圍第4項之銅膜蝕刻方法,其中係以酸 或鹼除去前述銅氧化膜。 6. —種半導體裝置之製造方法,其特徵為具有在半導體 基板上之絕緣膜中所形成之配線溝或接觸孔内埋入供形成 配線或接觸配線之銅膜之步驟,及藉由根據申請專利範圍| 第1項至第3項中任一項之銅氧化膜之形成方法在前述銅膜 表面形成含銅氨錯合體之銅的氧化膜之步驟,及將前述銅 氧化膜從前述銅膜上選擇性除去之步驟。
    67665-920212.ptc 第26頁 543123 案號 89124469 年 月 曰 修正 六、申請專利範圍 7. 如申請專利範圍第6項之半導體裝置之製造方法,其 中經除去前述銅氧化膜後的前述銅膜表面,係以愈接近前 述配線溝或接觸孔側壁的區域愈深之方式經蝕刻。 8. 如申請專利範圍第7項之半導體裝置之製造方法,其 中在前述配線溝或接觸孔,或配線溝及接觸孔和前述埋入 之銅膜之間介有一層障壁金屬。 9. 如申請專利範圍第8項之半導體裝置之製造方法,其 中復具有在除去前述銅氧化膜後的前述銅膜上形成一層障 壁金屬之步驟。 傷 10. 如申請專利範圍第9項之半導體裝置之製造方法,其 中前述配線溝或接觸孔,或配線溝及接觸孔和前述埋入之 銅膜之間介有之前述障壁金屬,和前述銅膜上形成之前述 障壁金屬係由不同材質所構成。 11. 如申請專利範圍第10項之半導體裝置之製造方法, 其中復具有將除去前述銅氧化膜後的前述銅膜暴露在氨水 之步驟。 1 2.如申請專利範圍第1 1項之半導體裝置之製造方法, 其中係將前述半導體基板以1000 rpm以上1600 rpm以下之 迴轉條件,將銅膜表面暴露在氨水中。
    67665-920212.ptc 第27頁
TW089124469A 2000-01-25 2000-11-18 Method of forming copper oxide film, method of etching copper film, and method of fabricating semiconductor device TW543123B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000015653A JP3907151B2 (ja) 2000-01-25 2000-01-25 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
TW543123B true TW543123B (en) 2003-07-21

Family

ID=18542910

Family Applications (3)

Application Number Title Priority Date Filing Date
TW089124469A TW543123B (en) 2000-01-25 2000-11-18 Method of forming copper oxide film, method of etching copper film, and method of fabricating semiconductor device
TW092102879A TW589687B (en) 2000-01-25 2003-02-12 Manufacturing method of semiconductor device and semiconductor manufacturing device
TW092102880A TWI225277B (en) 2000-01-25 2003-02-12 Semiconductor device

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW092102879A TW589687B (en) 2000-01-25 2003-02-12 Manufacturing method of semiconductor device and semiconductor manufacturing device
TW092102880A TWI225277B (en) 2000-01-25 2003-02-12 Semiconductor device

Country Status (4)

Country Link
US (4) US6261953B1 (zh)
JP (1) JP3907151B2 (zh)
KR (1) KR100426554B1 (zh)
TW (3) TW543123B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109545737A (zh) * 2017-09-22 2019-03-29 株式会社斯库林集团 基板处理方法及基板处理装置
CN113661275A (zh) * 2019-05-09 2021-11-16 纳美仕有限公司 复合铜部件

Families Citing this family (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3575373B2 (ja) * 1999-04-19 2004-10-13 株式会社村田製作所 外力検知センサの製造方法
JP2000311876A (ja) * 1999-04-27 2000-11-07 Hitachi Ltd 配線基板の製造方法および製造装置
JP2001077118A (ja) * 1999-06-30 2001-03-23 Toshiba Corp 半導体装置およびその製造方法
JP3907151B2 (ja) * 2000-01-25 2007-04-18 株式会社東芝 半導体装置の製造方法
JP4821082B2 (ja) * 2000-03-21 2011-11-24 和光純薬工業株式会社 半導体基板洗浄剤及び洗浄方法
US6426289B1 (en) * 2000-03-24 2002-07-30 Micron Technology, Inc. Method of fabricating a barrier layer associated with a conductor layer in damascene structures
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
KR100351933B1 (ko) * 2000-08-28 2002-09-12 삼성전자 주식회사 반도체소자의 콘택 구조체 형성방법
US6432810B1 (en) * 2000-12-06 2002-08-13 Vanguard International Semiconductor Corporation Method of making dual damascene structure
JP2002289559A (ja) * 2001-02-01 2002-10-04 Texas Instr Inc <Ti> 集積回路の製造方法
JP4535629B2 (ja) 2001-02-21 2010-09-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6509266B1 (en) * 2001-04-02 2003-01-21 Air Products And Chemicals, Inc. Halogen addition for improved adhesion of CVD copper to barrier
US7189647B2 (en) 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
JP2002313757A (ja) * 2001-04-17 2002-10-25 Hitachi Ltd 半導体集積回路装置の製造方法
TW550642B (en) * 2001-06-12 2003-09-01 Toshiba Corp Semiconductor device with multi-layer interconnect and method fabricating the same
KR20040018558A (ko) * 2001-08-13 2004-03-03 가부시키 가이샤 에바라 세이사꾸쇼 반도체장치와 그 제조방법 및 도금액
JP2003068848A (ja) * 2001-08-29 2003-03-07 Fujitsu Ltd 半導体装置及びその製造方法
US6544891B1 (en) * 2001-09-04 2003-04-08 Taiwan Semiconductor Manufacturing Company Method to eliminate post-CMP copper flake defect
JP2003160877A (ja) * 2001-11-28 2003-06-06 Hitachi Ltd 半導体装置の製造方法および製造装置
JP2003188254A (ja) * 2001-12-18 2003-07-04 Hitachi Ltd 半導体装置の製造方法および半導体装置
CN100334691C (zh) * 2002-05-17 2007-08-29 株式会社荏原制作所 衬底加工设备和衬底加工方法
KR100833451B1 (ko) * 2002-06-25 2008-05-29 매그나칩 반도체 유한회사 반도체 소자의 구리 배선 형성 방법
US7799200B1 (en) 2002-07-29 2010-09-21 Novellus Systems, Inc. Selective electrochemical accelerator removal
US6791197B1 (en) 2002-08-26 2004-09-14 Integrated Device Technology, Inc. Reducing layer separation and cracking in semiconductor devices
US6934032B1 (en) * 2002-09-30 2005-08-23 Advanced Micro Devices, Inc. Copper oxide monitoring by scatterometry/ellipsometry during nitride or BLOK removal in damascene process
US6670274B1 (en) * 2002-10-01 2003-12-30 Taiwan Semiconductor Manufacturing Company Method of forming a copper damascene structure comprising a recessed copper-oxide-free initial copper structure
DE10257681B4 (de) * 2002-12-10 2008-11-13 Infineon Technologies Ag Verfahren zum Herstellen einer integrierten Schaltungsanordnung, die eine Metallnitridschicht enthält, und integrierte Schaltungsanordnung
US20040121583A1 (en) * 2002-12-19 2004-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming capping barrier layer over copper feature
JP4267331B2 (ja) * 2003-01-14 2009-05-27 株式会社荏原製作所 基板の処理方法及びエッチング液
JP2004247337A (ja) * 2003-02-10 2004-09-02 Toshiba Corp 半導体装置及びその製造方法
US7060619B2 (en) * 2003-03-04 2006-06-13 Infineon Technologies Ag Reduction of the shear stress in copper via's in organic interlayer dielectric material
US7247939B2 (en) * 2003-04-01 2007-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Metal filled semiconductor features with improved structural stability
US6995089B2 (en) * 2003-05-08 2006-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method to remove copper without pattern density effect
US20040248405A1 (en) * 2003-06-02 2004-12-09 Akira Fukunaga Method of and apparatus for manufacturing semiconductor device
US6903011B2 (en) * 2003-06-05 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Displacement method to grow cu overburden
US7056648B2 (en) * 2003-09-17 2006-06-06 International Business Machines Corporation Method for isotropic etching of copper
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US7972970B2 (en) * 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US8372757B2 (en) 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US8530359B2 (en) 2003-10-20 2013-09-10 Novellus Systems, Inc. Modulated metal removal using localized wet etching
FR2861499A1 (fr) * 2003-10-27 2005-04-29 St Microelectronics Sa Procede de traitement des surfaces de cuivre.
JP2005158800A (ja) * 2003-11-20 2005-06-16 Sharp Corp 半導体装置の製造方法及びその製造方法により製造された半導体装置
US7465408B1 (en) * 2003-12-03 2008-12-16 Advanced Micro Devices, Inc. Solutions for controlled, selective etching of copper
JP2005235860A (ja) 2004-02-17 2005-09-02 Sanyo Electric Co Ltd 半導体装置及びその製造方法
JP2005340366A (ja) * 2004-05-25 2005-12-08 Toshiba Corp 磁気記憶装置およびその製造方法
JP2005347511A (ja) * 2004-06-03 2005-12-15 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2006060166A (ja) * 2004-08-24 2006-03-02 Matsushita Electric Ind Co Ltd 電子デバイス及びその製造方法
US7166543B2 (en) * 2004-08-30 2007-01-23 Micron Technology, Inc. Methods for forming an enriched metal oxide surface for use in a semiconductor device
US7157795B1 (en) * 2004-09-07 2007-01-02 Advanced Micro Devices, Inc. Composite tantalum nitride/tantalum copper capping layer
JP4963349B2 (ja) * 2005-01-14 2012-06-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7422983B2 (en) * 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US7598181B2 (en) * 2005-07-19 2009-10-06 Micron Technology, Inc. Process for enhancing solubility and reaction rates in supercritical fluids
US7582561B2 (en) * 2005-09-01 2009-09-01 Micron Technology, Inc. Method of selectively depositing materials on a substrate using a supercritical fluid
US7605082B1 (en) 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
JP5076482B2 (ja) * 2006-01-20 2012-11-21 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4810306B2 (ja) * 2006-05-16 2011-11-09 日本電気株式会社 銅ダマシン多層配線の形成方法
US8193087B2 (en) * 2006-05-18 2012-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Process for improving copper line cap formation
KR100799133B1 (ko) * 2006-08-21 2008-01-29 주식회사 하이닉스반도체 반도체소자의 리세스게이트 제조 방법
US20080041813A1 (en) * 2006-08-21 2008-02-21 Atmel Corporation Methods and compositions for wet etching
WO2008027386A2 (en) * 2006-08-30 2008-03-06 Lam Research Corporation Controlled ambient system for interface engineering
JP4714659B2 (ja) * 2006-10-16 2011-06-29 パナソニック株式会社 半導体装置の製造方法
KR100790452B1 (ko) * 2006-12-28 2008-01-03 주식회사 하이닉스반도체 다마신 공정을 이용한 반도체 소자의 다층 금속배선형성방법
US20080286177A1 (en) * 2007-05-18 2008-11-20 Tribute Creations, Llc Reactor with differentially distributed catalytic activity
CN101903959B (zh) * 2007-12-18 2013-01-23 日立化成工业株式会社 铜导体膜及其制造方法、导电性基板及其制造方法、铜导体布线及其制造方法、以及处理液
US8153523B2 (en) * 2008-09-12 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of etching a layer of a semiconductor device using an etchant layer
WO2010049881A1 (en) * 2008-10-27 2010-05-06 Nxp B.V. Biocompatible electrodes
KR20120080595A (ko) * 2009-09-02 2012-07-17 노벨러스 시스템즈, 인코포레이티드 감소된 등방성 에칭제 물질 소비 및 폐기물 발생
CN102543835B (zh) * 2010-12-15 2015-05-13 中国科学院微电子研究所 开口的填充方法
KR101177664B1 (ko) * 2011-05-11 2012-08-27 삼성전기주식회사 인쇄회로기판의 제조방법
CN102956450B (zh) * 2011-08-16 2015-03-11 中芯国际集成电路制造(北京)有限公司 一种制作半导体器件的方法
JP5764445B2 (ja) 2011-09-21 2015-08-19 東京エレクトロン株式会社 半導体装置の製造方法
US8431482B1 (en) 2012-01-31 2013-04-30 GlobalFoundries, Inc. Integrated circuits and methods for processing integrated circuits with embedded features
US8697565B2 (en) * 2012-03-30 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow via formation by oxidation
JP2013222760A (ja) * 2012-04-13 2013-10-28 Panasonic Liquid Crystal Display Co Ltd 銅配線形成方法、表示装置の製造方法
US8975531B2 (en) * 2013-01-22 2015-03-10 International Business Machines Corporation Composite copper wire interconnect structures and methods of forming
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9070750B2 (en) 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
JP6128941B2 (ja) * 2013-05-10 2017-05-17 ルネサスエレクトロニクス株式会社 半導体装置の製造方法及び半導体製造装置
RU2548547C1 (ru) * 2014-02-11 2015-04-20 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Чувашский государственный университет имени И.И. Ульянова" Способ очистки металлических поверхностей от медных отложений
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
CN104797085B (zh) * 2015-04-23 2018-01-16 广州杰赛科技股份有限公司 电路板埋铜块盲槽制作方法
US9865538B2 (en) * 2016-03-09 2018-01-09 International Business Machines Corporation Metallic blocking layer for reliable interconnects and contacts
US10109524B2 (en) * 2017-01-24 2018-10-23 Globalfoundries Inc. Recessing of liner and conductor for via formation
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
JP7300442B2 (ja) 2018-03-01 2023-06-29 株式会社半導体エネルギー研究所 半導体装置の作製方法
WO2019230357A1 (ja) * 2018-05-31 2019-12-05 株式会社カネカ パターン印刷用レジスト組成物及びそれを用いた回路パターンの製造方法
KR102633148B1 (ko) * 2019-05-28 2024-02-06 삼성전자주식회사 관통 비아를 포함하는 반도체 장치 및 이의 제조 방법
US11322402B2 (en) * 2019-08-14 2022-05-03 International Business Machines Corporation Self-aligned top via scheme
US11139201B2 (en) * 2019-11-04 2021-10-05 International Business Machines Corporation Top via with hybrid metallization
JPWO2022080288A1 (zh) 2020-10-16 2022-04-21
CN113061881A (zh) * 2021-03-18 2021-07-02 鑫巨(深圳)半导体科技有限公司 一种电解镀铜的铜处理装置及方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3784669A (en) * 1972-10-03 1974-01-08 Us Interior Recovery of metal values from chrome etching solutions
JPS5817425B2 (ja) 1979-03-12 1983-04-07 三宝伸銅工業株式会社 銅基合金のエツチング方法
US4428773A (en) * 1982-12-30 1984-01-31 Western Electric Company, Inc. Process for treating spent fluids to recover copper and copper oxide
US4452643A (en) * 1983-01-12 1984-06-05 Halliburton Company Method of removing copper and copper oxide from a ferrous metal surface
US4993148A (en) * 1987-05-19 1991-02-19 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a circuit board
US4984855A (en) * 1987-11-10 1991-01-15 Anritsu Corporation Ultra-black film and method of manufacturing the same
JP2518391B2 (ja) 1989-05-22 1996-07-24 日本電気株式会社 半導体装置の銅配線形成方法
US5151168A (en) * 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
JPH04320088A (ja) * 1991-04-18 1992-11-10 Cmk Corp プリント配線板の製造方法
KR960002763B1 (ko) * 1992-12-24 1996-02-26 금성일렉트론주식회사 반도체 세정방법 및 세정용액
US5409567A (en) * 1994-04-28 1995-04-25 Motorola, Inc. Method of etching copper layers
JPH0812327A (ja) * 1994-07-04 1996-01-16 Nippon Chem Ind Co Ltd 酸化第二銅の製造法
JP3237410B2 (ja) * 1994-08-29 2001-12-10 松下電工株式会社 内層用配線板の銅回路の処理方法
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
EP0859407A3 (en) 1997-02-13 1998-10-07 Texas Instruments Incorporated Method of fabrication of a copper containing structure in a semiconductor device
JP3724110B2 (ja) * 1997-04-24 2005-12-07 三菱電機株式会社 半導体装置の製造方法
WO1999018255A1 (en) * 1997-10-03 1999-04-15 Massachusetts Institute Of Technology Selective substrate metallization
JPH11204523A (ja) 1998-01-07 1999-07-30 Toshiba Corp 半導体装置の製造方法
WO1999054527A2 (en) * 1998-04-21 1999-10-28 Applied Materials, Inc. Electro-chemical deposition system and method of electroplating on substrates
US6124204A (en) * 1998-05-21 2000-09-26 United Silicon Incorporated Method of removing copper oxide within via hole
JP3629150B2 (ja) * 1998-08-11 2005-03-16 株式会社東芝 メッキ膜の形成方法及び形成装置
US6297154B1 (en) * 1998-08-28 2001-10-02 Agere System Guardian Corp. Process for semiconductor device fabrication having copper interconnects
US6132587A (en) * 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
JP3907151B2 (ja) * 2000-01-25 2007-04-18 株式会社東芝 半導体装置の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109545737A (zh) * 2017-09-22 2019-03-29 株式会社斯库林集团 基板处理方法及基板处理装置
CN113661275A (zh) * 2019-05-09 2021-11-16 纳美仕有限公司 复合铜部件

Also Published As

Publication number Publication date
JP2001210630A (ja) 2001-08-03
KR100426554B1 (ko) 2004-04-08
JP3907151B2 (ja) 2007-04-18
US6818556B2 (en) 2004-11-16
TWI225277B (en) 2004-12-11
US20030001271A1 (en) 2003-01-02
KR20010074557A (ko) 2001-08-04
US6261953B1 (en) 2001-07-17
TW589687B (en) 2004-06-01
US20010034125A1 (en) 2001-10-25
US7183203B2 (en) 2007-02-27
TW200300988A (en) 2003-06-16
US6475909B2 (en) 2002-11-05
US20050064700A1 (en) 2005-03-24
TW200300989A (en) 2003-06-16

Similar Documents

Publication Publication Date Title
TW543123B (en) Method of forming copper oxide film, method of etching copper film, and method of fabricating semiconductor device
TW469585B (en) Copper interconnect seed layer treatment methods and apparatuses for treating the same
TW490718B (en) Semiconductor device and the manufacturing method thereof
TWI652377B (zh) 電化學電鍍方法
US7605082B1 (en) Capping before barrier-removal IC fabrication method
TWI234846B (en) Method of forming multi layer conductive line in semiconductor device
CN106611742B (zh) 接触孔的形成方法
US7338908B1 (en) Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage
TW200411750A (en) Method of manufacturing a semiconductor device
TW200532833A (en) Interconnect and method of fabricating the same
TW200536052A (en) Process for removing organic materials during formation of a metal interconnect
TW200525583A (en) Method of manufacturing semiconductor device
TW200816378A (en) Metal line in semiconductor device and method for forming the same
US9087881B2 (en) Electroless fill of trench in semiconductor structure
TW518678B (en) Semiconductor device and method of manufacturing the semiconductor device
TW201304004A (zh) 半導體裝置之製造方法及半導體裝置
TWI357128B (en) Method of fabricating semiconductor interconnectio
TW201227826A (en) Method for manufacturing semiconductor device
JP4864949B2 (ja) 半導体装置の製造方法及び半導体製造装置
JP2006080559A (ja) 半導体装置の製造方法、半導体製造装置及び半導体装置
TW480593B (en) Method of copper transport prevention by a sputtered gettering layer on backside of wafer
TW424002B (en) A cleaning method after finishing polishing process of Cu interconnection
JP4064595B2 (ja) 半導体装置の製造方法
US6995089B2 (en) Method to remove copper without pattern density effect

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees