US6261953B1 - Method of forming a copper oxide film to etch a copper surface evenly - Google Patents

Method of forming a copper oxide film to etch a copper surface evenly Download PDF

Info

Publication number
US6261953B1
US6261953B1 US09/494,025 US49402500A US6261953B1 US 6261953 B1 US6261953 B1 US 6261953B1 US 49402500 A US49402500 A US 49402500A US 6261953 B1 US6261953 B1 US 6261953B1
Authority
US
United States
Prior art keywords
copper
film
wiring
oxide film
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US09/494,025
Other languages
English (en)
Inventor
Yoshihiro Uozumi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Assigned to KABUSHIKI KAISHA TOSHIBA reassignment KABUSHIKI KAISHA TOSHIBA ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: UOZUMI, YOSHIHIRO
Priority to US09/865,569 priority Critical patent/US6475909B2/en
Application granted granted Critical
Publication of US6261953B1 publication Critical patent/US6261953B1/en
Priority to US10/233,582 priority patent/US6818556B2/en
Priority to US10/976,758 priority patent/US7183203B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C22/00Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C22/05Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using aqueous solutions
    • C23C22/60Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using aqueous solutions using alkaline aqueous solutions with pH greater than 8
    • C23C22/63Treatment of copper or alloys based thereon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/0209Cleaning of wafer backside
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76888By rendering at least a portion of the conductor non conductive, e.g. oxidation

Definitions

  • the present invention relates to a semiconductor device such as a semiconductor integrated circuit, a method of processing a copper film to be used for wiring of a semiconductor device such as a semiconductor integrated circuit and a method of producing a wiring structure for such a semiconductor device.
  • copper or a material essentially consisting of copper is used for wiring of a semiconductor device such as a semiconductor integrated circuit.
  • copper atoms can diffuse into an insulating film to enter the semiconductor substrate, which may result in malfunctioning of transistors.
  • a barrier metal layer of a titanium nitride, tantalum nitride, tungsten nitride or the like is often formed around the copper film to isolate the copper film from the insulating film.
  • FIG. 13A is a cross-sectional view showing the structure of a semiconductor substrate
  • wiring formed on a semiconductor substrate is normally buried in wiring grooves in an insulating film.
  • An inter-layer insulating film 101 is formed of silicon oxide on a semiconductor substrate 100 of silicon or the like where a semiconductor element (not shown) such as an integrated circuit is formed, and wiring grooves are formed in the surface of this inter-layer insulating film 101 .
  • a barrier metal layer 102 of TiN, TaN or the like is formed on the side wall of each wiring groove and a copper film 103 or an alloy film essentially consisting of copper is buried in the region surrounded by the barrier metal layer 102 .
  • the conventional wiring may allow copper in the wiring portion to be diffused into the inter-layer insulating film 101 from a portion where there is no barrier metal, i.e., from above, thereby adversely affecting the semiconductor element formed on the semiconductor substrate 100 .
  • the surfaces of the inter-layer insulating film 101 and the copper film 103 buried therein are planarized by CMP or the like, the pattern edges may not be detected at the time of implementing lithography, which may lead to deviation of the wiring pattern.
  • a barrier metal layer 104 may be buried in the recessed portion to cover the copper film 103 as shown in FIG. 13 C.
  • This structure has an advantage of suppressing the diffusion of copper from above the wiring. Further, forming the cap layer of a conductive material prevents copper from being placed in the etching environment at the time a via wiring (contact wiring) to the over-lying wiring is formed. This can reduce the possible occurrence of corrosion or etching-originated wiring disconnection.
  • Dry etching includes anisotropic etching called RIE (Reactive Ion Etching) and isotropic etching called CDE (Chemical Dry Etching), both of which can etch copper.
  • RIE Reactive Ion Etching
  • CDE Chemical Dry Etching
  • Copper is also soluble in an acid solution which is formed by mixing acid with aqueous hydrogen peroxide, ozone or oxygen, such as hydrochloric acid+aqueous hydrogen peroxide, hydrochloric acid+aqueous ozone or hydrofluoric acid+aqueous hydrogen peroxide.
  • copper is also etched by a material which forms a soluble complex with copper, such as ammonia, a material having an amino group (ethylene diamine or the like), cyanide (KCN or the like). Etching is often accelerated by mixing aqueous hydrogen peroxide or the like with those materials to provide an oxidizing property.
  • a mixed solution of aqueous ammonia and aqueous hydrogen peroxide and a mixed solution of hydrochloric acid and aqueous hydrogen peroxide are used as cleaning chemical solutions and are respectively called SC1 and SC2.
  • concentrations of aqueous ammonia, hydrochloric acid and aqueous hydrogen peroxide available on the market are often about 20 to 35%, and SC1 and SC2 are usually mixed with pure water in the volume ratio of about 1:1:5 to 1:1:7.
  • Jpn. Pat. Appln. KOKAI Publication No. 2-306631 proposes a method of carrying out implantation of oxygen ions in a copper film and then annealing the resultant structure or subjecting the structure to an oxygen plasma treatment to form a copper oxide, and then etching the copper oxide with diluted sulfuric acid or acetic acid.
  • Jpn. Pat. Appln. KOKAI Publication No. 2-306631 proposes a method of carrying out implantation of oxygen ions in a copper film and then annealing the resultant structure or subjecting the structure to an oxygen plasma treatment to form a copper oxide, and then etching the copper oxide with diluted sulfuric acid or acetic acid.
  • 10-233397 proposes a method of placing a copper film in the environment of oxygen (O 2 ) or ozone (O 3 ) in a diffusion furnace, an RTA furnace or an oven at room temperature or higher to thereby form a copper oxide film and then removing the copper oxide film by wet etching using diluted hydrochloric acid or diluted sulfuric acid, or dry etching or CMP.
  • Those schemes however often cause copper to have a rough surface after etching. Particularly, this tendency becomes more noticeable as the temperature is increased to make the oxide film thicker.
  • This invention is characterized in that, in forming a copper wiring, copper is placed in a mixed solution (SC1) of aqueous ammonia and aqueous hydrogen peroxide, which has been adjusted to have pH of 8 to 10, thereby forming a copper oxide film including an ammonia complex on the surface of the copper, after which the copper oxide film is selectively etched with acid having a weak oxidizing property such as diluted hydrochloric acid or alkali such as diluted aqueous ammonia.
  • SC1 mixed solution
  • aqueous ammonia and aqueous hydrogen peroxide which has been adjusted to have pH of 8 to 10
  • acid having a weak oxidizing property such as diluted hydrochloric acid or alkali such as diluted aqueous ammonia.
  • the copper is dipped in SC1 which has been adjusted to have pH of 10 to 11 which would normally etch copper, thereby forming a thicker copper oxide film including an ammonia complex, which is then selectively etched with acid having a weak oxidizing property such as hydrochloric acid or alkali such as diluted aqueous ammonia.
  • acid having a weak oxidizing property such as hydrochloric acid or alkali such as diluted aqueous ammonia.
  • Copper oxide film can be selectively etched even with liquid which solves copper by forming complex with copper, though the liquid is neutral as an aqueous solution of neutral amino acid such as glycine or alanine.
  • Such formation of an oxide film and such etching can permit copper to be etched without roughening the copper surface which has conventionally been difficult to achieve, and can ensure quick oxidation and etching with a safe and inexpensive chemical solution. As a result, a barrier metal layer to be coated on the surface of the wiring structure is formed stably.
  • a method of forming a copper oxide film which comprises the step of forming a copper oxide film including an ammonia complex by causing a mixed solution of aqueous ammonia and aqueous hydrogen peroxide, which has been adjusted to have pH of 8 to 10 or pH of 9 to 10, to contact a surface of a copper film.
  • a method of forming a copper oxide film which comprises the steps of forming a copper oxide film including an ammonia complex by causing a mixed solution of aqueous ammonia and aqueous hydrogen peroxide, which has been adjusted to have pH of 8 to 10 or pH of 9 to 10, to contact a surface of a copper film; and exposing the copper film having the copper oxide film formed on the surface thereof to a mixed solution of aqueous ammonia and aqueous hydrogen peroxide, which has been adjusted to have pH of 10 to 11.
  • a method of forming a copper oxide film which comprises the steps of forming an oxide film on a surface of a copper film using aqueous hydrogen peroxide; and forming a copper oxide film including an ammonia complex by placing the copper film having the oxide film formed thereon in a mixed solution of aqueous ammonia and aqueous hydrogen peroxide, which has been adjusted to have pH of 10 to 11.
  • pH may be varied intermittently in a multi-stage manner or continuously in the course of performing the method of forming a copper oxide film.
  • a method of etching a copper film which comprises the steps of forming a copper oxide film including an ammonia complex on a surface of the copper film by using the copper oxide film forming method as recited in any one of the first to third aspects; and selectively removing the copper oxide film from the copper film.
  • the copper oxide film may be removed with acid or alkali.
  • a method of fabricating a semiconductor device which comprises the steps of burying a copper film (to be a wiring or a contact wiring) in a wiring groove or a contact hole formed in a surface of an insulating film formed on a semiconductor substrate, or in both the wiring groove and the contact hole; forming a copper oxide film including an ammonia complex on a surface of the copper film by using the copper oxide film forming method as recited in any one of the first to third aspects; and selectively removing the copper oxide film from the copper film.
  • a copper film to be a wiring or a contact wiring
  • the surface of the copper film from which the copper oxide film has been removed may be etched deeper at a region closer to the wiring groove or the contact hole.
  • a barrier metal layer may be intervened between the wiring groove or the contact hole and the buried copper film or between the wiring groove and contact hole and the buried copper film.
  • the semiconductor device fabricating method may further comprise the step of forming a barrier metal layer on the copper film after removing the copper oxide film from the copper film.
  • the barrier metal layer intervening between the wiring groove or the contact hole and the buried copper film or between the wiring groove and contact hole and the buried copper film and the barrier metal layer formed on the copper film may be made of different materials.
  • the semiconductor device fabricating method may further comprise the step of placing the surface of the copper film from which the copper oxide film has been removed in aqueous ammonia.
  • the surface of the copper film may be placed in the aqueous ammonia.
  • a method of fabricating a semiconductor device comprising the steps of: filling a wiring groove or a contact hole formed in an insulating film formed on a semiconductor substrate with wiring metal by depositing the wiring metal in the wiring groove or the contact hole; exposing the insulating film by polishing the wiring metal; cleaning the semiconductor substrate; and carrying out recessing etching on a surface of the wiring metal buried in the wiring groove or the contact hole, wherein chemical solutions used in at least two steps of the polishing step, the cleaning step and the recessing etching step have the same main component.
  • a method of fabricating a semiconductor device comprising the steps of: depositing metal or metal compound on a semiconductor substrate; and etching out an unnecessary portion of the metal or metal compound by etching; wherein the step of depositing metal or metal compound includes a plating step, component in plating solution used in the plating step forming salt or complex with a component to be plated is the same as a main component of chemical solution used in the etching-out step.
  • the step of depositing the wiring metal may be a plating step and a main acidic component in plating solution used in the plating step may be the same as a main component of the chemical solution.
  • the main oxidizing agent in the chemical solution may be hydrogen peroxide or ozone.
  • the main acidic component in the chemical solution may be sulfuric acid or hydrocyanic acid.
  • the semiconductor device fabrication method may further comprise a step of removing oxidizing agent contained in the chemical solution after the step of etching-out an unnecessary portion, a step of making metal ion concentration in the chemical solution approximately equal to metal ion concentration in the plating solution, and a step of using the chemical solution where the oxidizing agent has been removed as the plating solution.
  • an apparatus of fabricating a semiconductor device used for the above method of fabricating a semiconductor device comprising means for removing oxidizing agent contained in the chemical solution; means for making metal ion concentration in the chemical solution approximately equal to metal ion concentration in the plating solution; and means for using the chemical solution where the oxidizing agent has been removed as the plating solution.
  • a semiconductor device comprising a semiconductor substrate; a metal film buried in a wiring groove, a contact hole, or the wiring groove and the contact hole formed on an insulating film formed on the semiconductor substrate; and a barrier metal layer formed on the metal film buried in the wiring groove, the contact hole, or the wiring groove and the contact hole so as to cover a surface of the metal film, wherein a surface of the metal film is etched deeper at a region closer to a side wall of the wiring groove or the contact hole.
  • the metal film may be buried in the wiring groove or the contact hole via barrier metal.
  • the barrier metal formed so as to cover the surface of the metal film may have a structure where it has been buried in the wiring groove or the contact hole. That is, the closer to the side wall of the wiring groove, the greater the etching amount of a surface of such a metal film as a copper film, and the surface of the metal film has a cross section with shoulders of the wiring dropped.
  • This shape is frequently advantageous when this invention is worked out.
  • the contact hole for forming a contact wiring is formed on the barrier metal layer, the etching area where the contact hole is to be formed partly cut into an inter-layer insulating film due to misalignment in some case.
  • the inter-layer insulating film which covers the barrier metal layer of the under-layer wiring is etched under such a situation, etching of the inter-layer insulating film progresses because the etching rate of such an inter-layer insulating film as a silicon oxide film is greater than that of such a metal film as a copper film, so that that portion is greatly etched.
  • the diameter of a deep portion is larger than that in a case of a flat surface. That is, the aspect ratio of this portion is low.
  • it is easy to deposit a barrier metal layer and deposit a seed metal film as a copper film. Forming the contact hole is not limited to a case that a barrier metal layer is buried.
  • FIGS. 1A through 1D are cross-sectional views of a semiconductor substrate for explaining the fabrication steps for a semiconductor device according to this invention
  • FIGS. 2A and 2B are cross-sectional views of the semiconductor substrate for explaining the fabrication steps for the semiconductor device according to this invention.
  • FIGS. 3A and 3B are cross-sectional views of the semiconductor substrate for explaining the fabrication steps for the semiconductor device according to this invention.
  • FIG. 4 is a cross-sectional view of a semiconductor substrate on which multilayer wirings according to this invention are formed
  • FIG. 5 is a cross-sectional view of a semiconductor substrate on which multilayer wirings according to this invention are formed
  • FIG. 6 is a characteristic diagram illustrating the amount of etched copper at the time of forming an oxide film on a copper film by dipping the copper film in a pH-adjusted mixed solution (SC1) for 1 minute and selectively etching the oxide film with diluted hydrochloric acid;
  • SC1 pH-adjusted mixed solution
  • FIGS. 7A and 7B are diagrams respectively showing the SEM image of the surface of copper before copper is processed and the SEM image of the surface of copper after an oxide film is etched with hydrochloric acid after oxidation with a mixed solution of hydrochloric acid and aqueous hydrogen peroxide with pH of 9.5 for 1 minute;
  • FIGS. 8A and 8B are diagrams respectively showing the SEM image of the surface of copper when copper is etched with a mixed solution of aqueous ammonia and aqueous hydrogen peroxide with pH of 10.2 and the SEM image of the surface of copper when copper is etched with a mixed solution of hydrochloric acid and aqueous hydrogen peroxide;
  • FIG. 9 is a cross-sectional view of a semiconductor substrate for explaining the surface shape of a copper wiring in a wiring groove formed in an inter-layer insulating film according to this invention.
  • FIGS. 10A and 10B are exemplary cross-sectional views of a semiconductor substrate for explaining the advantage of this invention.
  • FIG. 11 is a process diagram for explaining the steps of forming copper wirings
  • FIG. 12 is a characteristic diagram for explaining the dependency of the wiring resistance of a wafer on the number of rotations of the wafer in an ammonia treatment at the time of recessing copper;
  • FIGS. 13A through 13C are cross-sectional views showing a conventional buried wiring structure
  • FIG. 14 is a diagrammatical view of a semiconductor manufacturing apparatus for performing a manufacturing method of a semiconductor device according to the present invention.
  • FIG. 15 is a diagrammatical view of a semiconductor manufacturing apparatus for performing a manufacturing method of a semiconductor device according to the present invention, and is used to explain the recycle in the apparatus.
  • This invention is adapted to, for example, a pillar process, a single damascene structure and a dual damascene structure in a multilayer wiring structure of a semiconductor device.
  • FIGS. 1A through 1D are cross-sectional views of a semiconductor substrate on which multilayer wirings are formed.
  • a pillar wiring (contact wiring) which is formed by this process connects an under-lying wiring to an over-lying wiring.
  • the under-lying wiring is buried in an inter-layer insulating film 1 .
  • the inter-layer insulating film 1 is formed of silicon oxide on a semiconductor substrate 10 of silicon or the like where a semiconductor element (not shown) such as an integrated circuit is formed, and wiring grooves are formed in the surface of this inter-layer insulating film 1 .
  • the barrier metal layer 2 is present only in the wiring groove, not on the surface of the inter-layer insulating film (FIG. 1 A).
  • the barrier metal layer may be formed over the wiring groove of the inter-layer insulating film 1 to the surface thereof.
  • a copper oxide film 5 is formed on the surface of the copper film 3 (FIG. 1 B).
  • the surface of the copper film 3 is set back from the surface of the inter-layer insulating film by etching out the oxide film 5 (FIG. 1 C).
  • Barrier metal is deposited on the upper portion of the wiring groove by sputtering, CVD or the like, and is then polished by CMP to bury a barrier metal layer 4 in the upper portion of the wiring groove (FIG. 1 D).
  • the material for the barrier metal layer 4 may be the same as that for the barrier metal layer 2 or those materials may differ from each other.
  • a barrier metal layer 6 of tungsten or the like, an aluminum film 7 and a conductive etching stopper 8 are deposited in order (FIG. 2 A), and are then patterned to form a pillar wiring 9 (FIG.
  • an inter-layer insulating film 11 of silicon oxide is formed on the inter-layer insulating film 1 so as to cover the pillar wiring 9 (FIG. 3 A).
  • the surface of the inter-layer insulating film 11 is polished by CMP to expose the top surface of the pillar wiring 9 .
  • an over-lying inter-layer insulating film 12 is deposited on the inter-layer insulating film 11 , a groove which connects to the under-lying wiring 9 is formed in the inter-layer insulating film 12 , and an over-lying wiring is formed in this groove.
  • the over-lying wiring comprises a barrier metal layer 13 formed in the wiring groove, a copper film 14 buried in the wiring groove and a barrier metal layer 15 which covers the surface of the copper film 14 (FIG. 3 B).
  • the pillar wiring 9 electrically connects the under-lying wiring to the over-lying wiring.
  • this invention is adapted to the formation of the barrier metal layers 4 and 15 . That is, for example, in the method of forming a barrier metal layer 4 (FIGS. 1A to 1 D), an exposed surface of the copper film 3 buried and formed in the insulating film 1 is oxidized to yield a copper oxide film 5 (FIG. 1 B). Then, the copper oxide film 5 formed is etched out to yield an non-roughened (whitening-free) surface, on which a barrier metal layer 4 is then formed (FIG. 1 C).
  • FIG. 4 is a cross-sectional view of a semiconductor substrate on which multilayer wirings are formed.
  • Inter-layer insulating films 21 , 25 and 29 of silicon oxide or the like are sequentially formed on a semiconductor substrate 20 .
  • Formed in the inter-layer insulating films 21 , 25 and 29 are wiring grooves and contact holes which communicate with one another and in which an under-lying wiring, a contact wiring and an over-lying wiring are respectively formed.
  • each wiring the wiring groove or contact hole is formed in the associated inter-layer insulating film, then a barrier metal layer is formed in the wiring groove and on the surface of the inter-layer insulating film, copper or an alloy essentially consisting of copper is deposited on the barrier metal layer and is polished by CMP or the like for surface planarization, thereby burying a copper film, covered with the barrier metal layer, in the wiring groove or the contact hole.
  • the surface of the copper film is oxidized and the copper oxide film formed by the oxidation is etched out to yield an non-roughened (whitening-free) surface, on which a barrier metal layer is then formed. That is, this invention is adapted to the formation of barrier metal layers 24 , 28 and 32 in the multilayer wiring structure of a semiconductor device.
  • the under-lying wiring to be buried in the inter-layer insulating film 21 comprises a barrier metal layer 22 formed on the side wall of the wiring groove, a copper film 23 covered with the barrier metal layer 22 and the barrier metal layer 24 which covers the surface of the copper film 23 .
  • the contact wiring which is electrically connected to the under-lying wiring and is buried in the inter-layer insulating film 25 comprises a barrier metal layer 26 formed on the side wall of the wiring groove, a copper film 27 buried in the wiring groove and covered with the barrier metal layer 26 and the barrier metal layer 28 which covers the surface of the copper film 27 .
  • the over-lying wiring which is electrically connected to the contact wiring and is buried in the inter-layer insulating film 29 comprises a barrier metal layer 30 formed on the side wall of the wiring groove, a copper film 31 buried in the wiring groove and covered with the barrier metal layer 30 and the barrier metal layer 32 which covers the surface of the copper film 31 .
  • the barrier metal layer 24 is formed on the surface of the copper film 23 of the under-layer wiring, diffusion of copper from an upper portion of the wiring can be suppressed. Also, as the surface of the copper film 23 is not roughened, the copper film is not affected by surface scattering or the like and increase in real resistance of the copper film is small. Also, as concentration of charges is suppressed after a contact is formed, an effect is also obtained that electro-migration is difficult unlikely to occur.
  • FIG. 5 is a cross-sectional view of a semiconductor substrate on which multilayer wirings are formed.
  • Inter-layer insulating films 41 and 45 of silicon oxide or the like are sequentially formed on a semiconductor substrate 40 .
  • Formed in the inter-layer insulating films 41 and 45 are wiring grooves and contact holes in which an under-lying wiring, a contact hole and an over-lying wiring are respectively formed.
  • the wiring groove or contact hole is formed in the associated inter-layer insulating film, then a barrier metal layer is formed in the wiring groove and on the surface of the inter-layer insulating film, copper or an alloy essentially consisting of copper is deposited on the barrier metal layer and is polished by CMP or the like for surface planarization, thereby burying a copper film, covered with the barrier metal layer, in the wiring groove or the contact hole.
  • the surface of the copper film is oxidized and the copper oxide film formed by the oxidation is etched out to yield an non-roughened (whitening-free) surface, on which a barrier metal layer is then formed. That is, this invention is adapted to the formation of barrier metal layers 44 and 48 in the multilayer wiring structure of a semiconductor device.
  • the under-lying wiring to be buried in the inter-layer insulating film 41 comprises a barrier metal layer 42 formed on the side wall of the wiring groove, a copper film 43 covered with the barrier metal layer 42 and the barrier metal layer 44 which covers the surface of the copper film 43 .
  • the over-lying wiring which is electrically connected to the under-lying wiring via a contact wiring and is buried in the inter-layer insulating film 45 comprises a barrier metal layer 46 formed in the wiring groove and on the side wall of the contact hole which is formed continuous to this groove, a copper film 47 buried in the wiring groove and the contact hole and covered with the barrier metal layer 46 , and the barrier metal layer 48 which covers the surface of the copper film 47 .
  • FIGS. 6 through 8B To begin with, a first example will be discussed referring to FIGS. 6 through 8B.
  • the feature of this invention lies in its method of etching a copper film without roughening the copper surface. That is, an oxide film including an ammonia complex is formed on the surface of the copper film and is then etched out.
  • this method forms a relatively thick oxide film on the surface of copper without etching copper by adjusting a mixed solution of aqueous ammonia and aqueous hydrogen peroxide in such a way as to have pH of 8 to 10 or pH of 9 to 10, and then etches out this oxide film using an acid having a weak oxidizing property such as diluted hydrochloric acid or alkali such as diluted aqueous ammonia.
  • the ordinary mixed solution (SC1) of aqueous ammonia and aqueous hydrogen peroxide etches copper and has pH of about 10.5 to 11.
  • the experiments conducted by the present inventor demonstrated such a property that with pH of 10 or less, an oxide film is formed on the surface of the copper film whereas with pH of greater than 10, copper is etched.
  • FIG. 6 shows the amount of etched copper at the time of forming an oxide film on a copper film by dipping the copper film in a pH-adjusted SC1 for 1 minute and selectively etching the oxide film with diluted hydrochloric acid.
  • the vertical scale represents the etching amount (nm) and the horizontal scale represents pH.
  • the etching amount was approximately 4 nm then.
  • FIG. 7A shows the SEM image of the surface of copper before copper is processed
  • FIG. 7B shows the SEM image of the surface of copper after an oxide film is etched with hydrochloric acid after oxidation with a mixed solution of hydrochloric acid and aqueous hydrogen peroxide with pH of 9.5 for 1 minute
  • FIG. 8A shows the SEM image of the surface of copper which is etched with a mixed solution of aqueous ammonia and aqueous hydrogen peroxide with pH of 10.2
  • 8B shows the SEM image of the surface of copper when copper is etched with a mixed solution (80° C.) of hydrochloric acid and aqueous hydrogen peroxide (for the purpose of reference). It is apparent from those diagrams that the use of a pH-adjusted mixed solution of aqueous ammonia and aqueous hydrogen peroxide can etch copper without roughening the copper surface.
  • the etching thickness be 30 to 50 nm and the etching time be as short as possible. Even a treatment only with aqueous hydrogen peroxide which is considered as being capable of ensuring relatively thick oxidation takes about 12 to 13 minutes to etch copper by 50 nm. It is therefore preferable to carry out the treatment with a solution having pH of 8 to 10, desirably 9 to 10. Particularly, the use of a solution with pH of about 10 can achieve etching of 50 nm in approximately 4 minutes.
  • the desirable etching amount of copper is 30 nm to 50 nm. Even if copper is dipped in a solution whose pH has been adjusted to 10, however, copper cannot be etched more than 12 nm in 1 minute.
  • an etching method which can avoid roughening of the copper surface while increasing the etching amount will be discussed. According to this method, a copper film is temporarily dipped in a solution containing only aqueous hydrogen peroxide or SC1 with pH of 8 to 10 to thereby form an oxide film on the copper film, and this copper film is then dipped in SC1 with pH of 10 to 11. Although the concentration of pH of 10 to 11 normally causes copper to be etched, the previous formation of an oxide film on the copper surface forms a thicker oxide film.
  • an inter-layer insulating film 51 is formed on a semiconductor substrate 50 , and a wiring groove 54 having a barrier metal layer 52 deposited on its side wall is formed on this inter-layer insulating film 51 .
  • a copper film 53 is buried in this wiring groove 54 .
  • the copper wiring with the above structure is subjected to the above-described oxide-film formation and etching to yield a non-roughened surface. Thereafter, TaN or WN is deposited as second barrier metal on the non-roughened surface by sputtering or CVD method, followed by CMP to thereby form a barrier metal layer 55 .
  • This non-roughened surface of the copper film 53 has a cross section with shoulders of the wiring dropped. Therefore, the closer to the wiring groove 54 the barrier metal layer 55 formed on the copper film 53 is, the thicker the layer 55 becomes. This shape is frequently advantageous when this invention is worked out.
  • FIGS. 10A and 10B are exemplary cross-sectional views of a semiconductor substrate for explaining the advantage of this invention.
  • FIG. 10A shows the copper wiring in FIG. 9 with its shoulders dropped
  • FIG. 10B shows the copper wiring having its surface flattened up to the end thereof and formed with a nearly uniform barrier metal layer which covers the copper wiring.
  • a contact hole should be formed in the inter-layer insulating film deposited on the under-lying wiring. As shown in FIGS.
  • the diameter of the deep portion is “a” and the depth is “b”.
  • the diameter of the deep portion is “a′” and the depth is “b′”.
  • the diameter of the deep portion is larger than that in a case of the flat surface in FIG. 10B (a>a′). That is, the deep portion in FIG. 10B is shaped like a pocket so that the aspect ratio of this portion (b′/a′) is significantly greater than the aspect ratio of the deep portion (b/a) in FIG. 10 A.
  • a barrier metal layer in the contact hole in FIG. 10 B and using plating to bury copper in the contact hole therefore, it is difficult to form a seed copper film, whereas it is easy to deposit a barrier metal layer in the contact hole in FIG. 10A to thereby form a seed copper film.
  • this is not limited to copper, but it is applicable to a metal film of any wiring or contact in a semiconductor device. It is applicable to a case that a barrier layer is formed on the entire surface of a metal film or a case that an inter-layer insulating film is directly deposited on the metal film instead of burying barrier metal in a metal film.
  • a fifth example will be discussed below referring to FIG. 11 .
  • FIG. 11 is a process diagram for explaining the steps of forming copper wirings.
  • the copper wiring forming process first (1) forms a wiring groove in the inter-layer insulating film.
  • a barrier metal layer formed of a conductive nitride such as TaN, WN or TiN is deposited on the bottom and the side walls of the wiring groove by sputtering, CVD or the like.
  • copper (Cu) is so deposited as to be buried in the wiring groove by sputtering, plating, CVD or the like.
  • the solution in this invention can permit polishing of the Cu surface while protecting this surface by forming an oxide film thereon, the solution can be used as slurry for Cu-CMP.
  • the chemical solution of this invention is alkaline, it is effective to use this solution in cleaning to remove residual ground particles (alumina or silica).
  • the use of sputtering or CVD causes Cu to be deposited on the bevel portion of a wafer or the back thereof.
  • the Cu deposited on the bevel portion is utilized as an electrode, however the Cu on the bevel portion becomes unnecessary after plating.
  • the bevel or the back of the wafer is where various systems contact for transfer or chuck purposes at the time of fabricating a semiconductor device. When there is Cu contamination in such a portion, other wafers may be contaminated through the manufacturing equipment. It is therefore necessary to carry out etching and cleaning of Cu adhered to the bevel and back of a wafer after Cu-CMP.
  • this process may be executed prior to CMP, it is desirably carried out after CMP because the bevel and back of the wafer are likely to be polluted with Cu again at the time of CMP.
  • Etching in this manner may oxidize Cu in the device portion on the surface only in the vicinity of the wafer edges after treatment. This seems to have occurred by the acceleration of oxidation by gases, such as HCl, NOx and SOx vaporized from the chemical solution or generated during etching, remaining on the Cu surface.
  • a treatment with acid having a weak oxidation property such as hydrochloric acid or diluted sulfuric acid is performed, which may make the Cu film near the wafer edges oxidized by the treatment thinner.
  • acid having a weak oxidation property such as hydrochloric acid or diluted sulfuric acid
  • One way to avoid this problem is to provide a nozzle which sprays a chemical solution only on the bevel portion while letting pure water flow from the surface side while rotating the wafer, process the bevel portion using this nozzle and at the same time process the back by spraying the chemical solution also from the back.
  • This scheme requires a special nozzle, making the structure of the manufacturing equipment complicated and resulting in an increased cost from the viewpoint of hardware, and requires a step of letting pure water flow from the surface, disabling the collection and recirculation of the chemical solution as an etching solution and increasing the amount of the chemical solution in use, from the viewpoint of processes.
  • FIG. 14 is a diagrammatical view of a semiconductor fabricating apparatus where a semiconductor device is fabricated in the above same chamber. Forming steps of a copper wiring will be explained using the process shown in FIG. 11 . First, (1) a wiring groove is formed in an inter-layer insulating film. Then, (2) a barrier metal layer is deposited on a bottom surface and a side surface of the wiring groove. Next, (3) Cu is deposited so as to be buried in the wiring groove by plating.
  • This step is carried out in a Cu plating chamber 61 .
  • (4) Cu alone or Cu and the barrier metal layer are polished by CMP to form a buried Cu wiring in the inter-layer insulating film.
  • This step is carried out in a CMP chamber 62 .
  • the wafer after CMP is cleaned in a post-CMP cleaning chamber 63 .
  • (6) Cu on a bevel/back of the wafer is etched and the wafer is cleaned.
  • This step is performed in an etching chamber 64 .
  • (7) a recessing process of Cu is also performed in the etching chamber 64 .
  • the bevel/back etching process and the recessing etching process may be respectively performed in chambers different from each other, but it is preferable to perform them sequentially in the same chamber.
  • These Cu plating chamber 61 , CMP chamber 62 , post-CMP cleaning chamber 63 , etching chamber 64 and processing chamber 65 are disposed in one apparatus 60 so that the copper wiring forming step may be performed.
  • the exhaust solutions from the Cu plating chamber 61 and the etching chamber 64 of the respective chambers are collected in the processing chamber 65 where the oxidizing agent such as hydrogen peroxide or ozone is removed from the collected solution, and the concentration of copper or sulfuric acid is adjusted.
  • the solution thus adjusted is returned to the plating chamber 61 , and it is reused as the plating solution.
  • the semiconductor fabrication apparatus shown in FIG. 14 is provided with the plating chamber 61 , the etching chamber 64 and the processing chamber 65 .
  • the processing chamber 65 comprises a concentration adjusting section and a plating solution section for adjusting plating solution, where the used plating solution from the plating chamber 61 and the exhaust solution from the etching chamber 64 is adjusted while supplying pure water, components forming salt or complex or the like to the concentration adjusting section and the adjusted solution is supplied to the plating solution section to form plating solution.
  • the plating solution thus adjusted is supplied to the plating chamber 61 .
  • the semiconductor fabricating apparatus may be structured such that, when the amount of the exhaust solution from the etching chamber 64 is small relative to that of the used plating solution from the plating chamber 61 , only the exhaust solution from the etching chamber 64 is adjusted while the used plating solution is directly recovered in the plating solution section.
  • the solution which has been applied for etching copper or copper oxide has the same components as the plating solution as the solution is sulfuric acid when the plating solution used is aqueous solution of copper sulfate or it is hydrocyanic acid when the plating solution is aqueous solution of copper cyanide.
  • the components of the etching chemical solution and the components contained in plating solution which has been used are approximately equal to each other, there is an advantage that they can be exhausted and treated simultaneously. Further, a process having a very high efficiency in use of copper can be made up by carrying out plating again using the chemical solution which has been used for etching.
  • etching solution sulfuric acid having a concentration different from that of the plating solution
  • the circulation is not required to carry out necessarily.
  • the copper concentration is made equal to or more than the concentration of sulfuric acid.
  • targeted aqueous solution with 10% copper sulfate is prepared by completely decomposing hydrogen peroxide or ozone by an active carbon filter, a UV lamp irradiation or the like, and adding sulfuric acid or pure water to the etching solution or condensing the etching solution by processing using thermal treatment or a semipermeable membrane such as a reverse osmosis membrane while monitoring copper concentration or sulfuric acid concentration.
  • the aqueous solution is added with additives required for plating to be used for plating.
  • concentration adjustment may be carried out on the plating solution which has been used, and the solution which has been concentration-adjusted may be added a little by a little.
  • any other devices or mechanism than the above mentioned ones can be used as the concentration monitor, the oxidizing agent removing mechanism and the chemical solution condensing mechanism.
  • a process or apparatus where the solution which has been applied to the etching process is recycled as the plating solution can be applied not only to copper but also to any material including at least metal such as Au, Ag, Ti or the like.
  • FIG. 12 is a characteristic diagram for explaining the dependency of the wiring resistance of a wafer on the number of rotations of the wafer in an ammonia treatment at the time of recessing Cu.
  • Cu recess of about 50 nm is possible by using a rotary type single wafer system in the Cu recessing process and performing (1) a treatment with NH 4 OH:H 2 O 2 :DIW (30:100:1000) for 60 seconds at 1000 rpm, (2) a treatment with NH 4 OH:H 2 O 2 :DIW (100:100:1000) for 60 seconds at 1000 rpm and (3) HCl:DIW (30:1000) for 5 seconds at 1000 rpm.
  • the Cu surface finished with the treatment with hydrochloric acid has fast natural oxidation.
  • the effective Cu that can be used as wiring is reduced and the cross-sectional area of the wiring is decreased, thus increasing the wiring resistance.
  • the contact resistance to upper via may increase or the capacitance provided may delay the processing speed in terms of device.
  • the processing conditions were (1) NH 4 OH:H 2 O 2 :DIW (30:100:1000) for 60 seconds at 1000 rpm, (2) a treatment with NH 4 OH:H 2 O 2 :DIW (100:100:1000) for 60 seconds at 1000 rpm, (3) NC:DIW (30:1000) for 5 seconds at 1000 rpm and (4) NH 4 OH:DIW (30:1000) for 5 seconds at 1475 rpm.
  • the above-described structures can permit copper to be etched without roughening the copper surface which has conventionally been difficult to achieve, can ensure quick oxidation and etching with a safe and inexpensive chemical solution.
  • a barrier metal layer to be coated on the surface of the wiring structure is formed stably.

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Weting (AREA)
US09/494,025 2000-01-25 2000-01-31 Method of forming a copper oxide film to etch a copper surface evenly Expired - Fee Related US6261953B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US09/865,569 US6475909B2 (en) 2000-01-25 2001-05-29 Method of fabricating metal wiring on a semiconductor substrate using ammonia-containing plating and etching solutions
US10/233,582 US6818556B2 (en) 2000-01-25 2002-09-04 Method of plating a metal or metal compound on a semiconductor substrate that includes using the same main component in both plating and etching solutions
US10/976,758 US7183203B2 (en) 2000-01-25 2004-11-01 Method of plating a metal or metal compound on a semiconductor substrate that includes using the same main component in both plating and etching solutions

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP12-015653 2000-01-25
JP2000015653A JP3907151B2 (ja) 2000-01-25 2000-01-25 半導体装置の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US09/865,569 Division US6475909B2 (en) 2000-01-25 2001-05-29 Method of fabricating metal wiring on a semiconductor substrate using ammonia-containing plating and etching solutions

Publications (1)

Publication Number Publication Date
US6261953B1 true US6261953B1 (en) 2001-07-17

Family

ID=18542910

Family Applications (4)

Application Number Title Priority Date Filing Date
US09/494,025 Expired - Fee Related US6261953B1 (en) 2000-01-25 2000-01-31 Method of forming a copper oxide film to etch a copper surface evenly
US09/865,569 Expired - Fee Related US6475909B2 (en) 2000-01-25 2001-05-29 Method of fabricating metal wiring on a semiconductor substrate using ammonia-containing plating and etching solutions
US10/233,582 Expired - Fee Related US6818556B2 (en) 2000-01-25 2002-09-04 Method of plating a metal or metal compound on a semiconductor substrate that includes using the same main component in both plating and etching solutions
US10/976,758 Expired - Fee Related US7183203B2 (en) 2000-01-25 2004-11-01 Method of plating a metal or metal compound on a semiconductor substrate that includes using the same main component in both plating and etching solutions

Family Applications After (3)

Application Number Title Priority Date Filing Date
US09/865,569 Expired - Fee Related US6475909B2 (en) 2000-01-25 2001-05-29 Method of fabricating metal wiring on a semiconductor substrate using ammonia-containing plating and etching solutions
US10/233,582 Expired - Fee Related US6818556B2 (en) 2000-01-25 2002-09-04 Method of plating a metal or metal compound on a semiconductor substrate that includes using the same main component in both plating and etching solutions
US10/976,758 Expired - Fee Related US7183203B2 (en) 2000-01-25 2004-11-01 Method of plating a metal or metal compound on a semiconductor substrate that includes using the same main component in both plating and etching solutions

Country Status (4)

Country Link
US (4) US6261953B1 (ja)
JP (1) JP3907151B2 (ja)
KR (1) KR100426554B1 (ja)
TW (3) TW543123B (ja)

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6417097B1 (en) * 2000-08-28 2002-07-09 Samsung Electronics Co., Ltd. Methods of forming a contact structure in a semiconductor device
US6432810B1 (en) * 2000-12-06 2002-08-13 Vanguard International Semiconductor Corporation Method of making dual damascene structure
US20020132476A1 (en) * 2000-03-24 2002-09-19 Farrar Paul A. Barrier layer associated with a conductor layer in damascene structures
US20020160610A1 (en) * 2001-04-17 2002-10-31 Toshiyuki Arai Fabrication method of semiconductor integrated circuit device
US6492271B1 (en) * 1999-06-30 2002-12-10 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6509266B1 (en) * 2001-04-02 2003-01-21 Air Products And Chemicals, Inc. Halogen addition for improved adhesion of CVD copper to barrier
EP1229580A3 (en) * 2001-02-01 2003-03-26 Texas Instruments Incorporated Electrochemical reduction of copper seed for reducing voids in electrochemical deposition
US6544891B1 (en) * 2001-09-04 2003-04-08 Taiwan Semiconductor Manufacturing Company Method to eliminate post-CMP copper flake defect
US20030083214A1 (en) * 2000-03-21 2003-05-01 Masahiko Kakizawa Semiconductor wafer cleaning agent and cleaning method
US20040110318A1 (en) * 1999-04-19 2004-06-10 Murata Manufacturing Co., Ltd. Method of manufacturing an external force detection sensor
US6787480B2 (en) * 2001-02-21 2004-09-07 Nec Corporation Manufacturing method of semicondcutor device
US6791197B1 (en) 2002-08-26 2004-09-14 Integrated Device Technology, Inc. Reducing layer separation and cracking in semiconductor devices
US20040198057A1 (en) * 2003-04-01 2004-10-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method forming metal filled semiconductor features to improve structural stability
US6818546B2 (en) * 2000-05-08 2004-11-16 Renesas Technology Corp. Semiconductor integrated circuit device and a method of manufacturing the same
US6818556B2 (en) * 2000-01-25 2004-11-16 Kabushiki Kaisha Toshiba Method of plating a metal or metal compound on a semiconductor substrate that includes using the same main component in both plating and etching solutions
US20040248407A1 (en) * 2003-06-05 2004-12-09 Chi-Wen Liu Displacement method to grow cu overburden
US20050056616A1 (en) * 2003-09-17 2005-03-17 International Business Machines Corporation Method for isotropic etching of copper
US20050110109A1 (en) * 2003-11-20 2005-05-26 Sharp Kabushiki Kaisha Semiconductor device manufacturing method and semiconductor device manufactured by such manufacturing method
US6934032B1 (en) * 2002-09-30 2005-08-23 Advanced Micro Devices, Inc. Copper oxide monitoring by scatterometry/ellipsometry during nitride or BLOK removal in damascene process
US20050196954A1 (en) * 2001-12-18 2005-09-08 Renesas Technology Corp. Method for manufacturing semiconductor integrated circuit device
US20050269704A1 (en) * 2004-02-17 2005-12-08 Sanyo Electric Co., Ltd. Semiconductor device and manufacturing method of the same
US20050275000A1 (en) * 2004-05-25 2005-12-15 Takeshi Kajiyama MRAM and method of manufacturing the same
US20060040487A1 (en) * 2001-08-13 2006-02-23 Hiroaki Inoue Semiconductor device, method for manufacturing the same, and plating solution
US20060046517A1 (en) * 2004-08-30 2006-03-02 Russell Stephen W Methods for forming an enriched metal oxide surface for use in a semiconductor device
US20070020935A1 (en) * 2005-07-19 2007-01-25 Taylor Theodore M Process for enhancing solubility and reaction rates in supercritical fluids
US20070108616A1 (en) * 2004-06-03 2007-05-17 Hideo Nakagawa Semiconductor device and method for fabricating the same
US20070269977A1 (en) * 2006-05-16 2007-11-22 Nec Corporation Method of forming a multilayer wiring by the use of copper damascene technique
US7465408B1 (en) * 2003-12-03 2008-12-16 Advanced Micro Devices, Inc. Solutions for controlled, selective etching of copper
US20090291556A1 (en) * 2005-09-01 2009-11-26 Micron Technology, Inc. Method of selectively depositing materials on a substrate using a supercritical fluid
US20100068884A1 (en) * 2008-09-12 2010-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of etching a layer of a semiconductor device using an etchant layer
US20110207239A1 (en) * 2008-10-27 2011-08-25 Nxp B.V. Bicompatible electrodes
US8026174B1 (en) * 2001-04-05 2011-09-27 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
USRE43320E1 (en) * 2003-02-10 2012-04-24 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US20120190188A1 (en) * 2010-12-15 2012-07-26 Chao Zhao Method for filling a gap
US8431482B1 (en) * 2012-01-31 2013-04-30 GlobalFoundries, Inc. Integrated circuits and methods for processing integrated circuits with embedded features
US20130256890A1 (en) * 2012-03-30 2013-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow via formation by oxidation
US20130270583A1 (en) * 2012-04-13 2013-10-17 Panasonic Liquid Crystal Display Co., Ltd. Method of forming copper wiring and method of manufacturing display device
US8748279B2 (en) * 2011-08-16 2014-06-10 Semiconductor Manufacturing International (Beijing) Corporation Method of manufacturing a semiconductor device
RU2548547C1 (ru) * 2014-02-11 2015-04-20 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Чувашский государственный университет имени И.И. Ульянова" Способ очистки металлических поверхностей от медных отложений
CN104797085A (zh) * 2015-04-23 2015-07-22 广州杰赛科技股份有限公司 电路板埋铜块盲槽制作方法
CN103943604B (zh) * 2013-01-22 2017-03-22 国际商业机器公司 复合铜线互连结构及形成方法
US10109524B2 (en) * 2017-01-24 2018-10-23 Globalfoundries Inc. Recessing of liner and conductor for via formation
CN113061881A (zh) * 2021-03-18 2021-07-02 鑫巨(深圳)半导体科技有限公司 一种电解镀铜的铜处理装置及方法
US12012658B2 (en) 2019-07-05 2024-06-18 Fujifilm Corporation Composition, kit, and method for treating substrate

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000311876A (ja) * 1999-04-27 2000-11-07 Hitachi Ltd 配線基板の製造方法および製造装置
TW550642B (en) * 2001-06-12 2003-09-01 Toshiba Corp Semiconductor device with multi-layer interconnect and method fabricating the same
JP2003068848A (ja) * 2001-08-29 2003-03-07 Fujitsu Ltd 半導体装置及びその製造方法
JP2003160877A (ja) * 2001-11-28 2003-06-06 Hitachi Ltd 半導体装置の製造方法および製造装置
US20060234508A1 (en) * 2002-05-17 2006-10-19 Mitsuhiko Shirakashi Substrate processing apparatus and substrate processing method
KR100833451B1 (ko) * 2002-06-25 2008-05-29 매그나칩 반도체 유한회사 반도체 소자의 구리 배선 형성 방법
US7799200B1 (en) 2002-07-29 2010-09-21 Novellus Systems, Inc. Selective electrochemical accelerator removal
US6670274B1 (en) * 2002-10-01 2003-12-30 Taiwan Semiconductor Manufacturing Company Method of forming a copper damascene structure comprising a recessed copper-oxide-free initial copper structure
DE10257681B4 (de) * 2002-12-10 2008-11-13 Infineon Technologies Ag Verfahren zum Herstellen einer integrierten Schaltungsanordnung, die eine Metallnitridschicht enthält, und integrierte Schaltungsanordnung
US20040121583A1 (en) * 2002-12-19 2004-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming capping barrier layer over copper feature
JP4267331B2 (ja) * 2003-01-14 2009-05-27 株式会社荏原製作所 基板の処理方法及びエッチング液
US7060619B2 (en) * 2003-03-04 2006-06-13 Infineon Technologies Ag Reduction of the shear stress in copper via's in organic interlayer dielectric material
US6995089B2 (en) * 2003-05-08 2006-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method to remove copper without pattern density effect
US20040248405A1 (en) * 2003-06-02 2004-12-09 Akira Fukunaga Method of and apparatus for manufacturing semiconductor device
US8530359B2 (en) 2003-10-20 2013-09-10 Novellus Systems, Inc. Modulated metal removal using localized wet etching
US8372757B2 (en) 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US7972970B2 (en) * 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
FR2861499A1 (fr) * 2003-10-27 2005-04-29 St Microelectronics Sa Procede de traitement des surfaces de cuivre.
JP2006060166A (ja) * 2004-08-24 2006-03-02 Matsushita Electric Ind Co Ltd 電子デバイス及びその製造方法
US7157795B1 (en) * 2004-09-07 2007-01-02 Advanced Micro Devices, Inc. Composite tantalum nitride/tantalum copper capping layer
JP4963349B2 (ja) * 2005-01-14 2012-06-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7422983B2 (en) * 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US7605082B1 (en) 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
JP5076482B2 (ja) * 2006-01-20 2012-11-21 富士通セミコンダクター株式会社 半導体装置の製造方法
US8193087B2 (en) 2006-05-18 2012-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Process for improving copper line cap formation
KR100799133B1 (ko) * 2006-08-21 2008-01-29 주식회사 하이닉스반도체 반도체소자의 리세스게이트 제조 방법
US20080041813A1 (en) * 2006-08-21 2008-02-21 Atmel Corporation Methods and compositions for wet etching
SG10201501328WA (en) * 2006-08-30 2015-04-29 Lam Res Corp Controlled ambient system for interface engineering
JP4714659B2 (ja) * 2006-10-16 2011-06-29 パナソニック株式会社 半導体装置の製造方法
KR100790452B1 (ko) * 2006-12-28 2008-01-03 주식회사 하이닉스반도체 다마신 공정을 이용한 반도체 소자의 다층 금속배선형성방법
WO2008143851A1 (en) * 2007-05-18 2008-11-27 Tribute Creations, Llc Reactor with differentially distributed catalytic activity
WO2009078448A1 (ja) 2007-12-18 2009-06-25 Hitachi Chemical Company, Ltd. 銅導体膜及びその製造方法、導電性基板及びその製造方法、銅導体配線及びその製造方法、並びに処理液
US8597461B2 (en) * 2009-09-02 2013-12-03 Novellus Systems, Inc. Reduced isotropic etchant material consumption and waste generation
KR101177664B1 (ko) * 2011-05-11 2012-08-27 삼성전기주식회사 인쇄회로기판의 제조방법
JP5764445B2 (ja) 2011-09-21 2015-08-19 東京エレクトロン株式会社 半導体装置の製造方法
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9070750B2 (en) 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
JP6128941B2 (ja) * 2013-05-10 2017-05-17 ルネサスエレクトロニクス株式会社 半導体装置の製造方法及び半導体製造装置
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US9865538B2 (en) 2016-03-09 2018-01-09 International Business Machines Corporation Metallic blocking layer for reliable interconnects and contacts
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
JP7034645B2 (ja) * 2017-09-22 2022-03-14 株式会社Screenホールディングス 基板処理方法および基板処理装置
KR102637201B1 (ko) 2018-03-01 2024-02-19 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
WO2019230357A1 (ja) * 2018-05-31 2019-12-05 株式会社カネカ パターン印刷用レジスト組成物及びそれを用いた回路パターンの製造方法
JP7409602B2 (ja) * 2019-05-09 2024-01-09 ナミックス株式会社 複合銅部材
US11322402B2 (en) * 2019-08-14 2022-05-03 International Business Machines Corporation Self-aligned top via scheme
US11139201B2 (en) * 2019-11-04 2021-10-05 International Business Machines Corporation Top via with hybrid metallization
WO2022080288A1 (ja) 2020-10-16 2022-04-21 セントラル硝子株式会社 ウェットエッチング方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3784669A (en) * 1972-10-03 1974-01-08 Us Interior Recovery of metal values from chrome etching solutions
US4428773A (en) * 1982-12-30 1984-01-31 Western Electric Company, Inc. Process for treating spent fluids to recover copper and copper oxide
US4452643A (en) * 1983-01-12 1984-06-05 Halliburton Company Method of removing copper and copper oxide from a ferrous metal surface
JPH0812327A (ja) * 1994-07-04 1996-01-16 Nippon Chem Ind Co Ltd 酸化第二銅の製造法
JPH0870178A (ja) * 1994-08-29 1996-03-12 Matsushita Electric Works Ltd 内層用配線板の銅回路の処理方法
US5567244A (en) * 1992-12-24 1996-10-22 Goldstar Electron Co., Ltd. Process for cleaning semiconductor devices

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5817425B2 (ja) 1979-03-12 1983-04-07 三宝伸銅工業株式会社 銅基合金のエツチング方法
US4993148A (en) * 1987-05-19 1991-02-19 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a circuit board
US4984855A (en) * 1987-11-10 1991-01-15 Anritsu Corporation Ultra-black film and method of manufacturing the same
JP2518391B2 (ja) 1989-05-22 1996-07-24 日本電気株式会社 半導体装置の銅配線形成方法
US5151168A (en) * 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
JPH04320088A (ja) * 1991-04-18 1992-11-10 Cmk Corp プリント配線板の製造方法
US5409567A (en) * 1994-04-28 1995-04-25 Motorola, Inc. Method of etching copper layers
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
EP0859407A3 (en) 1997-02-13 1998-10-07 Texas Instruments Incorporated Method of fabrication of a copper containing structure in a semiconductor device
JP3724110B2 (ja) * 1997-04-24 2005-12-07 三菱電機株式会社 半導体装置の製造方法
US6194032B1 (en) * 1997-10-03 2001-02-27 Massachusetts Institute Of Technology Selective substrate metallization
JPH11204523A (ja) 1998-01-07 1999-07-30 Toshiba Corp 半導体装置の製造方法
WO1999054527A2 (en) * 1998-04-21 1999-10-28 Applied Materials, Inc. Electro-chemical deposition system and method of electroplating on substrates
US6124204A (en) * 1998-05-21 2000-09-26 United Silicon Incorporated Method of removing copper oxide within via hole
JP3629150B2 (ja) * 1998-08-11 2005-03-16 株式会社東芝 メッキ膜の形成方法及び形成装置
US6297154B1 (en) * 1998-08-28 2001-10-02 Agere System Guardian Corp. Process for semiconductor device fabrication having copper interconnects
US6132587A (en) * 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
JP3907151B2 (ja) * 2000-01-25 2007-04-18 株式会社東芝 半導体装置の製造方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3784669A (en) * 1972-10-03 1974-01-08 Us Interior Recovery of metal values from chrome etching solutions
US4428773A (en) * 1982-12-30 1984-01-31 Western Electric Company, Inc. Process for treating spent fluids to recover copper and copper oxide
US4452643A (en) * 1983-01-12 1984-06-05 Halliburton Company Method of removing copper and copper oxide from a ferrous metal surface
US5567244A (en) * 1992-12-24 1996-10-22 Goldstar Electron Co., Ltd. Process for cleaning semiconductor devices
JPH0812327A (ja) * 1994-07-04 1996-01-16 Nippon Chem Ind Co Ltd 酸化第二銅の製造法
JPH0870178A (ja) * 1994-08-29 1996-03-12 Matsushita Electric Works Ltd 内層用配線板の銅回路の処理方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Roy et al., Japanese Patent Application KOKAI Publication No. 10-233397, published Sep. 2, 1998.
Sato, Japanese Patent Application KOKAI Publication No. 2-306631, published Dec. 20, 1990.

Cited By (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7393714B2 (en) 1999-04-19 2008-07-01 Murata Manufacturing Co., Ltd. Method of manufacturing external force detection sensor
US7049165B2 (en) 1999-04-19 2006-05-23 Murata Manufacturing Co., Ltd. Method of manufacturing an external force detection sensor
US20060110843A1 (en) * 1999-04-19 2006-05-25 Takahiro Oguchi Method of manufacturing an external force detection sensor
US20040110318A1 (en) * 1999-04-19 2004-06-10 Murata Manufacturing Co., Ltd. Method of manufacturing an external force detection sensor
US7067344B1 (en) * 1999-04-19 2006-06-27 Murata Manufacturing Co., Ltd. Method of manufacturing an external force detection sensor
US6492271B1 (en) * 1999-06-30 2002-12-10 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6818556B2 (en) * 2000-01-25 2004-11-16 Kabushiki Kaisha Toshiba Method of plating a metal or metal compound on a semiconductor substrate that includes using the same main component in both plating and etching solutions
US7183203B2 (en) 2000-01-25 2007-02-27 Kabushiki Kaisha Toshiba Method of plating a metal or metal compound on a semiconductor substrate that includes using the same main component in both plating and etching solutions
US20050064700A1 (en) * 2000-01-25 2005-03-24 Kabushiki Kaisha Toshiba Method of plating a metal or metal or metal compound on a semiconductor substrate that includes using the same main component in both plating and etching solutions
US7375066B2 (en) * 2000-03-21 2008-05-20 Wako Pure Chemical Industries, Ltd. Semiconductor wafer cleaning agent and cleaning method
US20030083214A1 (en) * 2000-03-21 2003-05-01 Masahiko Kakizawa Semiconductor wafer cleaning agent and cleaning method
US20020132476A1 (en) * 2000-03-24 2002-09-19 Farrar Paul A. Barrier layer associated with a conductor layer in damascene structures
US6713875B2 (en) * 2000-03-24 2004-03-30 Micron Technology, Inc. Barrier layer associated with a conductor layer in damascene structures
US20050095844A1 (en) * 2000-05-08 2005-05-05 Tatsuyuki Saito Semiconductor integrated circuit device
US20080042282A1 (en) * 2000-05-08 2008-02-21 Tatsuyuki Saito Semiconductor integrated circuit device and a method of manufacturing the same
US6818546B2 (en) * 2000-05-08 2004-11-16 Renesas Technology Corp. Semiconductor integrated circuit device and a method of manufacturing the same
US7321171B2 (en) 2000-05-08 2008-01-22 Renesas Technology Corp. Semiconductor integrated circuit device
US7642652B2 (en) 2000-05-08 2010-01-05 Renesas Technology Corp. Semiconductor integrated circuit device and a method of manufacturing the same
KR100779295B1 (ko) * 2000-05-08 2007-11-23 가부시키가이샤 히타치세이사쿠쇼 반도체 집적회로장치 및 그 제조방법
US6417097B1 (en) * 2000-08-28 2002-07-09 Samsung Electronics Co., Ltd. Methods of forming a contact structure in a semiconductor device
US6432810B1 (en) * 2000-12-06 2002-08-13 Vanguard International Semiconductor Corporation Method of making dual damascene structure
EP1229580A3 (en) * 2001-02-01 2003-03-26 Texas Instruments Incorporated Electrochemical reduction of copper seed for reducing voids in electrochemical deposition
US20040266171A1 (en) * 2001-02-21 2004-12-30 Nec Electronics Corporation Manufacturing method of semiconductor device
US6787480B2 (en) * 2001-02-21 2004-09-07 Nec Corporation Manufacturing method of semicondcutor device
US7268087B2 (en) 2001-02-21 2007-09-11 Nec Electronics Corporation Manufacturing method of semiconductor device
US6783868B2 (en) 2001-04-02 2004-08-31 Air Products And Chemicals, Inc. Halogen addition for improved adhesion of CVD copper to barrier
US6509266B1 (en) * 2001-04-02 2003-01-21 Air Products And Chemicals, Inc. Halogen addition for improved adhesion of CVD copper to barrier
US8450210B1 (en) 2001-04-05 2013-05-28 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
US8883640B1 (en) 2001-04-05 2014-11-11 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
US8026174B1 (en) * 2001-04-05 2011-09-27 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
US20100227474A1 (en) * 2001-04-17 2010-09-09 Toshiyuki Arai Fabrication method of semiconductor integrated circuit device
US20050250331A1 (en) * 2001-04-17 2005-11-10 Toshiyuki Arai Fabrication method of semiconductor integrated circuit device
US7250365B2 (en) 2001-04-17 2007-07-31 Renesas Technology Corp. Fabrication method of semiconductor integrated circuit device
US7718526B2 (en) 2001-04-17 2010-05-18 Renesas Technology Corporation Fabrication method of semiconductor integrated circuit device
US20020160610A1 (en) * 2001-04-17 2002-10-31 Toshiyuki Arai Fabrication method of semiconductor integrated circuit device
US7977234B2 (en) 2001-04-17 2011-07-12 Renesas Electronics Corporation Fabrication method of semiconductor integrated circuit device
US20060040487A1 (en) * 2001-08-13 2006-02-23 Hiroaki Inoue Semiconductor device, method for manufacturing the same, and plating solution
US20080011228A1 (en) * 2001-08-13 2008-01-17 Hiroaki Inoue Semiconductor device, method for manufacturing the same, and plating solution
US7279408B2 (en) * 2001-08-13 2007-10-09 Ebara Corporation Semiconductor device, method for manufacturing the same, and plating solution
US6544891B1 (en) * 2001-09-04 2003-04-08 Taiwan Semiconductor Manufacturing Company Method to eliminate post-CMP copper flake defect
US20050196954A1 (en) * 2001-12-18 2005-09-08 Renesas Technology Corp. Method for manufacturing semiconductor integrated circuit device
US6791197B1 (en) 2002-08-26 2004-09-14 Integrated Device Technology, Inc. Reducing layer separation and cracking in semiconductor devices
US6934032B1 (en) * 2002-09-30 2005-08-23 Advanced Micro Devices, Inc. Copper oxide monitoring by scatterometry/ellipsometry during nitride or BLOK removal in damascene process
USRE43320E1 (en) * 2003-02-10 2012-04-24 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US7247939B2 (en) * 2003-04-01 2007-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Metal filled semiconductor features with improved structural stability
US20040198057A1 (en) * 2003-04-01 2004-10-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method forming metal filled semiconductor features to improve structural stability
US20040248407A1 (en) * 2003-06-05 2004-12-09 Chi-Wen Liu Displacement method to grow cu overburden
US6903011B2 (en) * 2003-06-05 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Displacement method to grow cu overburden
US7537709B2 (en) * 2003-09-17 2009-05-26 International Business Machines Corporation Method for isotropic etching of copper
US20050056616A1 (en) * 2003-09-17 2005-03-17 International Business Machines Corporation Method for isotropic etching of copper
US20060183056A1 (en) * 2003-09-17 2006-08-17 International Business Machines Corporation Method for isotropic etching of copper
US7056648B2 (en) * 2003-09-17 2006-06-06 International Business Machines Corporation Method for isotropic etching of copper
US20050110109A1 (en) * 2003-11-20 2005-05-26 Sharp Kabushiki Kaisha Semiconductor device manufacturing method and semiconductor device manufactured by such manufacturing method
US7465408B1 (en) * 2003-12-03 2008-12-16 Advanced Micro Devices, Inc. Solutions for controlled, selective etching of copper
US7256497B2 (en) * 2004-02-17 2007-08-14 Sanyo Electric Co., Ltd. Semiconductor device with a barrier layer and a metal layer
US7759247B2 (en) 2004-02-17 2010-07-20 Sanyo Electric Co., Ltd. Manufacturing method of semiconductor device with a barrier layer and a metal layer
US20050269704A1 (en) * 2004-02-17 2005-12-08 Sanyo Electric Co., Ltd. Semiconductor device and manufacturing method of the same
US20050275000A1 (en) * 2004-05-25 2005-12-15 Takeshi Kajiyama MRAM and method of manufacturing the same
US7564109B2 (en) 2004-05-25 2009-07-21 Kabushiki Kaisha Toshiba MRAM and method of manufacturing the same
US20070108616A1 (en) * 2004-06-03 2007-05-17 Hideo Nakagawa Semiconductor device and method for fabricating the same
US7659626B2 (en) * 2004-06-03 2010-02-09 Panasonic Corporation Semiconductor device including a barrier metal film
US8568900B2 (en) 2004-08-30 2013-10-29 Micron Technology, Inc. Methods for forming an enriched metal oxide surface
US20060258172A1 (en) * 2004-08-30 2006-11-16 Russell Stephen W Methods for forming an enriched metal oxide surface
US7166543B2 (en) 2004-08-30 2007-01-23 Micron Technology, Inc. Methods for forming an enriched metal oxide surface for use in a semiconductor device
US20080254314A1 (en) * 2004-08-30 2008-10-16 Russell Stephen W Methods for forming an enriched metal oxide surface
US7396774B2 (en) 2004-08-30 2008-07-08 Micron Technology, Inc. Methods for forming an enriched metal oxide surface
US20060046517A1 (en) * 2004-08-30 2006-03-02 Russell Stephen W Methods for forming an enriched metal oxide surface for use in a semiconductor device
US20090291545A1 (en) * 2005-07-19 2009-11-26 Micron Technology, Inc. Process for enhancing solubility and reaction rates in supercritical fluids
US8043944B2 (en) 2005-07-19 2011-10-25 Micron Technology, Inc. Process for enhancing solubility and reaction rates in supercritical fluids
US20070020935A1 (en) * 2005-07-19 2007-01-25 Taylor Theodore M Process for enhancing solubility and reaction rates in supercritical fluids
US8329595B2 (en) 2005-07-19 2012-12-11 Micron Technology, Inc. Process for enhancing solubility and reaction rates in supercritical fluids
US7598181B2 (en) 2005-07-19 2009-10-06 Micron Technology, Inc. Process for enhancing solubility and reaction rates in supercritical fluids
US8524610B2 (en) 2005-07-19 2013-09-03 Micron Technology, Inc. Process for enhancing solubility and reaction rates in supercritical fluids
US7897517B2 (en) 2005-09-01 2011-03-01 Micron Technology, Inc. Method of selectively depositing materials on a substrate using a supercritical fluid
US20090291556A1 (en) * 2005-09-01 2009-11-26 Micron Technology, Inc. Method of selectively depositing materials on a substrate using a supercritical fluid
US20070269977A1 (en) * 2006-05-16 2007-11-22 Nec Corporation Method of forming a multilayer wiring by the use of copper damascene technique
US20100068884A1 (en) * 2008-09-12 2010-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of etching a layer of a semiconductor device using an etchant layer
US8153523B2 (en) 2008-09-12 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of etching a layer of a semiconductor device using an etchant layer
US20110207239A1 (en) * 2008-10-27 2011-08-25 Nxp B.V. Bicompatible electrodes
US9281239B2 (en) * 2008-10-27 2016-03-08 Nxp B.V. Biocompatible electrodes and methods of manufacturing biocompatible electrodes
US20120190188A1 (en) * 2010-12-15 2012-07-26 Chao Zhao Method for filling a gap
US8748279B2 (en) * 2011-08-16 2014-06-10 Semiconductor Manufacturing International (Beijing) Corporation Method of manufacturing a semiconductor device
TWI462224B (zh) * 2012-01-31 2014-11-21 Globalfoundries Us Inc 積體電路以及用於處理具有埋入特徵之積體電路的方法
CN103227145B (zh) * 2012-01-31 2016-02-10 格罗方德半导体公司 集成电路以及用于处理具有埋入特征的集成电路的方法
US8704372B2 (en) 2012-01-31 2014-04-22 GlobalFoundries, Inc. Integrated circuits and methods for processing integrated circuits with embedded features
CN103227145A (zh) * 2012-01-31 2013-07-31 格罗方德半导体公司 集成电路以及用于处理具有埋入特征的集成电路的方法
US8431482B1 (en) * 2012-01-31 2013-04-30 GlobalFoundries, Inc. Integrated circuits and methods for processing integrated circuits with embedded features
US20130256890A1 (en) * 2012-03-30 2013-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow via formation by oxidation
US8697565B2 (en) * 2012-03-30 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow via formation by oxidation
US20130270583A1 (en) * 2012-04-13 2013-10-17 Panasonic Liquid Crystal Display Co., Ltd. Method of forming copper wiring and method of manufacturing display device
CN103943604B (zh) * 2013-01-22 2017-03-22 国际商业机器公司 复合铜线互连结构及形成方法
RU2548547C1 (ru) * 2014-02-11 2015-04-20 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Чувашский государственный университет имени И.И. Ульянова" Способ очистки металлических поверхностей от медных отложений
CN104797085A (zh) * 2015-04-23 2015-07-22 广州杰赛科技股份有限公司 电路板埋铜块盲槽制作方法
US10109524B2 (en) * 2017-01-24 2018-10-23 Globalfoundries Inc. Recessing of liner and conductor for via formation
US12012658B2 (en) 2019-07-05 2024-06-18 Fujifilm Corporation Composition, kit, and method for treating substrate
CN113061881A (zh) * 2021-03-18 2021-07-02 鑫巨(深圳)半导体科技有限公司 一种电解镀铜的铜处理装置及方法

Also Published As

Publication number Publication date
TW200300988A (en) 2003-06-16
US6475909B2 (en) 2002-11-05
TW543123B (en) 2003-07-21
KR100426554B1 (ko) 2004-04-08
US20030001271A1 (en) 2003-01-02
US20050064700A1 (en) 2005-03-24
JP3907151B2 (ja) 2007-04-18
TW200300989A (en) 2003-06-16
US6818556B2 (en) 2004-11-16
TW589687B (en) 2004-06-01
TWI225277B (en) 2004-12-11
US7183203B2 (en) 2007-02-27
JP2001210630A (ja) 2001-08-03
KR20010074557A (ko) 2001-08-04
US20010034125A1 (en) 2001-10-25

Similar Documents

Publication Publication Date Title
US6261953B1 (en) Method of forming a copper oxide film to etch a copper surface evenly
JP5268215B2 (ja) 銅結線のシード層の処理方法および処理装置
KR100419272B1 (ko) 반도체 장치 및 반도체 장치의 제조 방법
US20030062068A1 (en) Method of and system for cleaning a semiconductor wafer simultaneously using electrolytically ionized water and diluted hydrofluoric acid
US20070181150A1 (en) Cleaning Solution and Cleaning Method of a Semiconductor Device
US20090045519A1 (en) Semiconductor Device and Method of Producing the Same
US6605536B2 (en) Treatment of low-k dielectric films to enable patterning of deep submicron features
KR0185230B1 (ko) 금속배선 및 반도체장치
US7267127B2 (en) Method for manufacturing electronic device
US8652966B2 (en) Semiconductor device manufacturing method and semiconductor device
US20070190797A1 (en) Cleaning method for use in semiconductor device fabrication
JP4864949B2 (ja) 半導体装置の製造方法及び半導体製造装置
US20060063388A1 (en) Method for using a water vapor treatment to reduce surface charge after metal etching
JP2006080559A (ja) 半導体装置の製造方法、半導体製造装置及び半導体装置
JP4583678B2 (ja) 半導体装置の製造方法及び半導体装置用洗浄液
WO2002075803A2 (en) Ruthenium silicide processing methods
EP1005078B1 (en) Process for forming a conductive structure
JP3715975B2 (ja) 多層配線構造の製造方法
US20030203553A1 (en) Method of cleaning damascene structure of semiconductor wafer during fabrication of semiconductor device
US6251776B1 (en) Plasma treatment to reduce stress corrosion induced voiding of patterned metal layers
JP4205489B2 (ja) 半導体装置の製造方法
US7316972B2 (en) Contact hole formation method
KR100600530B1 (ko) 반도체 소자의 세정 방법
CN104051322A (zh) 一种制作半导体器件的方法
JP2004319917A (ja) 絶縁膜除去方法および配線構造形成方法

Legal Events

Date Code Title Description
AS Assignment

Owner name: KABUSHIKI KAISHA TOSHIBA, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:UOZUMI, YOSHIHIRO;REEL/FRAME:010550/0054

Effective date: 20000118

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20130717