KR20150128615A - 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들 - Google Patents

텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들 Download PDF

Info

Publication number
KR20150128615A
KR20150128615A KR1020150064578A KR20150064578A KR20150128615A KR 20150128615 A KR20150128615 A KR 20150128615A KR 1020150064578 A KR1020150064578 A KR 1020150064578A KR 20150064578 A KR20150064578 A KR 20150064578A KR 20150128615 A KR20150128615 A KR 20150128615A
Authority
KR
South Korea
Prior art keywords
tungsten
reducing agent
wcl
substrate
flow rate
Prior art date
Application number
KR1020150064578A
Other languages
English (en)
Inventor
한나 밤놀커
라쉬나 후마윤
주웬 가오
미갈 다넥
조슈아 콜린스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150128615A publication Critical patent/KR20150128615A/ko
Priority to KR1020230043083A priority Critical patent/KR102641077B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

텅스텐 클로라이드와 같이 불소가 없는 텅스텐 전구체들을 사용하여 텅스텐 막을 형성하는 방법들이 제공된다. 방법들은 디보란 (B2H6) 과 같은 환원제에 기판을 노출시키고 상기 기판을 텅스텐 클로라이드에 노출시킴으로써 텅스텐 핵생성층을 디포지션하는 단계 및 이어서 텅스텐 클로라이드 및 환원제에 기판을 노출시킴으로써 벌크 텅스텐을 디포지션하는 단계를 수반한다. 방법들은 또한 환원제를 희석하는 단계 및 텅스텐 핵생성층을 디포지션하기 위해 펄스 상의 불소가 없는 전구체에 기판을 노출시키는 단계를 수반한다. 디포지션된 막들은 양호한 단차 커버리지 및 플러그충진을 나타낸다.

Description

텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들{METHODS OF PREPARING TUNGSTEN AND TUNGSTEN NITRIDE THIN FILMS USING TUNGSTEN CHLORIDE PRECURSOR}
CVD (chemical vapor deposition) 기법들을 사용하는 텅스텐 막 디포지션은 반도체 제조 프로세스들에 통합된 부분이다. 예를 들어, 텅스텐 막들은 실리콘 기판 상의 수평 상호연결부들, 인접한 금속층들 간의 비아들, 및 제 1 금속층과 디바이스들 간의 연결부들의 형태의 저저항률 전기 접속부들로서 사용될 수도 있다. 예시적인 텅스텐 디포지션 프로세스에서, 배리어층이 유전체 기판 상에 디포지션되고, 이어서 텅스텐 막의 얇은 핵생성층이 디포지션된다. 그 후, 텅스텐 막의 잔여물이 벌크층으로서 핵생성층 상에 디포지션된다. 통상적으로, 텅스텐 벌크층은 화학적 기상 디포지션 프로세스에서 텅스텐 헥사플루오라이드 (WF6) 를 수소 (H2) 로 환원시킴으로써 형성된다.
텅스텐을 디포지션하는 방법이 본 명세서에 제공된다. 일 방법은, 상기 기판을 환원제 및 수소에 노출시키는 단계, 및 상기 텅스텐을 디포지션하기 위해 상기 기판을 텅스텐 클로라이드에 노출시키는 단계를 포함하고, 환원제 플로우 레이트에 대한 수소 플로우 레이트의 비는 약 10:1 내지 약 100:1이다. 상기 텅스텐 클로라이드는 WCl2, WCl4, WCl5, WCl6, 및 이들의 혼합물들로 구성된 그룹으로부터 선택될 수도 있다. 일부 실시예들에서, 상기 텅스텐은 약 450℃ 내지 약 650℃의 온도에서 디포지션된다.
상기 환원제는 보란들, 실레인들, 및 게르만들로 구성된 그룹으로부터 선택될 수도 있다. 다양한 실시예들에서, 상기 환원제의 플로우 레이트는 약 100 sccm 내지 약 500 sccm이다. 상기 기판은 약 0.25 초 내지 약 10 초의 지속기간 동안 상기 환원제에 노출될 수도 있다.
다른 양태는 벌크 텅스텐층을 디포지션하기 전에, 상기 피처를 희석된 환원제 및 텅스텐 펜타클로라이드의 교번하는 펄스들에 노출시킴으로써 텅스텐 핵생성층을 형성하는 단계를 포함하는, 방법을 수반한다.
상기 교번하는 펄스들의 사이클 당 디포지션된 텅스텐의 양은 적어도 약 100 Å이다. 상기 환원제는 보란들, 실레인들, 및 게르만들로 구성된 그룹으로부터 선택될 수도 있다. 일부 실시예들에서, 상기 환원제는 수소를 유동시킴으로써 희석되고, 상기 환원제 플로우 레이트에 대한 수소 플로우 레이트의 비는 약 10:1 내지 약 100:1이다.
이 방법은 또한 텅스텐 함유 전구체를 사용하여 화학적 기상 디포지션에 의해 상기 텅스텐 핵생성층 상에 벌크 텅스텐층을 디포지션하는 단계를 포함할 수도 있다. 상기 텅스텐 함유 전구체는 WF6, WCl2, WCl4, WCl5, WCl6, 및 이들의 혼합물들로 구성된 그룹으로부터 선택될 수도 있다.
다른 방법들은, 텅스텐 펜타클로라이드 및 환원제를 펄싱하고 상기 환원제의 분해를 경감시킴으로써 텅스텐 핵생성층을 디포지션하는 단계, 및 텅스텐 펜타클로라이드를 사용하여 화학적 기상 디포지션에 의해 텅스텐 벌크층을 디포지션하는 단계를 포함한다.
다양한 실시예들에서, 상기 환원제는 실레인들, 보란들, 및 게르만들로 구성된 그룹으로부터 선택된다. 상기 환원제 분해는 상기 환원제의 플로우를 희석함으로써 경감될 수도 있다.
일부 실시예들에서, 상기 환원제 분해는 상기 환원제의 플로우 레이트보다 적어도 약 10 배 큰 플로우 레이트로 수소 가스를 도입함으로써 경감된다. 일부 실시예들에서, 상기 환원제 분해는 상기 텅스텐 펜타클로라이드를 펄싱할 때 온도보다 낮은 온도로 상기 환원제를 펄싱함으로써 경감된다.
다른 양태는 (a) 제 1 온도에서 상기 기판을 환원제에 노출시키는 단계, 및 (b) 제 2 온도에서 상기 기판을 불소가 없는 텅스텐 전구체에 노출시키는 단계를 포함하고, 상기 제 1 온도는 상기 제 2 온도보다 낮은, 방법을 수반한다.
다른 양태는 (a) 기판을 홀딩하도록 구성된 페데스탈을 포함하는 적어도 하나의 프로세스 챔버, (b) 진공에 커플링하기 위한 적어도 하나의 유출부, (c) 하나 이상의 프로세스 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들, 및 (d) 머신-판독가능한 인스트럭션들을 포함하는, 상기 장치 내에서 동작들을 제어하기 위한 제어기를 포함하고, 상기 인스트럭션들은, (i) 환원제 및 수소를 상기 프로세스 챔버에 도입하고, (ii) 상기 프로세스 챔버에 불소가 없는 텅스텐 전구체를 도입하고, 그리고 (iii) 텅스텐 핵생성층을 디포지션하기 위해 제 1 스테이지에서 (i) 내지 (ii) 를 반복하기 위한 인스트럭션이고, (i) 동안 상기 환원제 플로우 레이트에 대한 수소 플로우 레이트의 비는 약 10:1 내지 약 100:1인, 기판을 프로세싱하기 위한 장치를 수반한다. 상기 불소가 없는 텅스텐 전구체는 WCl2, WCl4, WCl5, WCl6, 및 이들의 혼합물들로 구성된 그룹으로부터 선택될 수도 있다.
이들 및 다른 양태들은 도면을 참조하여 이하에 추가로 기술된다.
도 1은 개시된 실시예들에 따라 수행된 동작들을 위한 프로세스 흐름도이다.
도 2는 개시된 실시예들을 수행하기에 적합한 장치 또는 툴의 개략도이다.
도 3은 개시된 실시예들을 수행하기 위한 프로세스 챔버의 개략도이다.
도 4는 디포지션 레이트들의 실험적 데이터의 플롯이다.
도 5는 개시된 실시예들을 수행함으로써 실행된 실험에 따라 텅스텐으로 충진된 비아의 개략도이다.
이하의 기술에서, 제시된 실시예들의 전체적인 이해를 제공하기 위해 다수의 구체적인 상세들이 언급된다. 개시된 실시예들은 이들 구체적인 상세들의 일부 또는 전부가 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않는다. 개시된 실시예들이 구체적인 실시예와 함께 기술되지만, 이는 개시된 실시예들로 제한하는 것으로 의도되지 않는다.
반도체 디바이스 제조는 종종 상호연결부들을 형성하기 위해 특히 트렌치들 또는 비아들 내에 텅스텐 막들의 디포지션을 수반한다. 텅스텐 막들을 디포지션하는 종래의 방법들에서, 핵생성 텅스텐층이 먼저 비아 또는 컨택트 내로 디포지션된다. 일반적으로, 핵생성층은 그 위에 벌크 재료의 후속 형성을 용이하게 하도록 기능하는 얇은 컨포멀 층이다. 텅스텐 핵생성층은 피처의 측벽들 및 하단부를 컨포멀하게 코팅하도록 디포지션될 수도 있다. 아래에 놓인 피처 하단부 및 측벽들을 컨포멀하게 하는 것 (conforming) 은 고품질 디포지션을 지원하기 위해 중요할 수 있다. 핵생성층들은 종종 ALD (atomic layer deposition) 또는 PNL (pulsed nucleation layer) 방법들을 사용하여 디포지션될 수 있다.
PNL 기법에서, 반응물질의 펄스들은 순차적으로 주입되고 통상적으로 반응물질들 사이의 퍼지 가스의 펄스에 의해 반응 챔버로부터 퍼지된다. 제 1 반응물질은 다음 반응물질과 반응할 수 있는, 기판 상에 흡착될 수 있다. 프로세스는 목표된 두께가 달성될 때까지 순환적인 방식으로 반복된다. PNL은 ALD 기법들과 유사하다. PNL은 일반적으로 (1 Torr 보다 큰) 보다 높은 작동 압력 범위 및 (사이클 당 1 모노레이어 막 성장보다 큰) 보다 높은 성장 레이트에 의해 ALD와 구별된다. PNL 디포지션 동안 챔버 압력은 약 1 Torr 내지 약 400 Torr 범위일 수 있다. 본 명세서에 제공된 기술의 맥락에서, PNL은 반도체 기판 상에 반응을 위한 반응물질들을 순차적으로 첨가하는 임의의 순환적 프로세스를 넓게 구현한다. 따라서, 개념은 통상적으로 ALD로 참조된 기법들을 구현한다. 개시된 실시예들의 맥락에서, CVD는 증기-상 반응을 위한 반응기에 반응물질들이 함께 도입되는 프로세스들을 구현한다. PNL 및 ALD 프로세스들은 CVD 프로세스들과 구별되고, 그 반대도 마찬가지다.
텅스텐 핵생성층이 디포지션된 후, 벌크 텅스텐은 통상적으로 수소 (H2) 와 같은 환원제를 사용하여 텅스텐 헥사플루오라이드 (WF6) 를 환원시킴으로써 CVD에 의해 디포지션된다.
통상적인 텅스텐의 디포지션은 불소 함유 전구체 WF6의 사용을 수반한다. 그러나, WF6의 사용은 디포지션된 텅스텐 막으로 다소의 불소의 포함을 유발한다. 불소의 존재는 인접한 컴포넌트들로의 일렉트로마이그레이션 (electromigration) 및/또는 불소 확산 및 대미지 컨택트들을 초래할 수 있어서 디바이스의 성능을 감소시킨다. 디바이스들이 소형화됨에 따라, 피처들은 보다 작아지고 이온 확산뿐만 아니라 일렉트로마이그레이션의 유해한 효과들이 보다 두드러지게 되어, 디바이스 실패를 초래한다. 따라서 불소의 트레이스들 (traces) 을 함유하는 텅스텐 막들은 아래에 놓인 막들 또는 비아들 및 게이트들과 같은, 디바이스 구조들과 관련된 디바이스 성능 문제들뿐만 아니라, 통합 및 신뢰성 문제들을 제기할 수 있다.
불소가 없는 텅스텐 (FFW: fluorine-free tungsten) 전구체들은 이러한 신뢰성 및 통합 문제들 또는 디바이스 성능 문제들을 방지하는데 유용하다. 현재 FFW 전구체들은 금속 유기 전구체들을 포함하지만, 탄소, 수소, 질소, 및 산소와 같은, 금속 유기 전구체들로부터의 원소들의 원치 않은 트레이스들이 텅스텐 막에 포함될 수도 있다. 일부 금속 유기 불소가 없는 전구체들은 또한 텅스텐 디포지션 프로세스들에서 쉽게 구현되거나 통합되지 않는다.
전구체로서, 텅스텐 펜타클로라이드 (WCl5) 또는 텅스텐 헥사클로라이드 (WCl6) 와 같은 텅스텐 클로라이드 (WClx) 를 사용하여, 불소가 없는 텅스텐 막들을 디포지션하는 방법들이 본 명세서에 제공된다. 본 명세서의 예들은 WCl5 및 WCl6를 참조하지만 WCl2, WCl4, 및 이들의 혼합물들을 포함하는 다른 텅스텐 클로라이드들이 개시된 실시예와 함께 사용될 수 있다는 것이 이해된다. WCl5 및 WCl6에 의한 디포지션은, WF6의 보다 큰 반응성 및 텅스텐 클로라이드의 에칭 가능한 특성으로 인해 WF6를 사용할 때는 나타나지 않는 과제들이 나타난다. 증기화된 WCl6는 이를 텅스텐 디포지션 챔버로 이송할 수 있는 충분히 높은 증기압을 갖는다. 그러나, WCl6는 WCl5보다 기판을 에칭하기 쉽다. WCl5가 기판을 덜 에칭하지만, WCl5는 또한 WCl6보다 높은 증기압을 갖는다. 보다 낮은 증기압이 저저항률을 갖는 텅스텐 막들을 디포지션하는데 유용하지만, 일부 디포지션은 열악한 단차 커버리지를 가질 수도 있다. 텅스텐 클로라이드들은 덜 반응성이고, 그 결과, 디포지션이 WF6를 사용한 디포지션보다 높은 온도에서 수행된다. 그러나, 텅스텐 클로라이드를 환원하기 위해 사용된 일부 환원제들은 텅스텐 핵생성층 디포지션 동안과 같은, 고온에서 분해될 수도 있다. 개시된 실시예들은 작은 피처들에서 저저항률, 양호한 접착력, 및 양호한 단차 커버리지 및 갭 충진 (gap fill) 을 갖는, 컨포멀하고, 매끄럽고, 비다공성 (non-porous) 막들을 디포지션하기 위해 이들 환원제들의 분해를 경감한다. 일부 예시적인 방법들은 2 사이클만큼 작은 사이클 동안 B2H6 및 WCl5의 사이클들을 교번하고 WCl5를 사용하여 CVD에 의해 벌크 텅스텐을 디포지션하는 것을 수반한다. 텅스텐 막으로의 염소 포함 레벨은 또한 낮고 일부 경우들에서, 염소가 텅스텐 막에 포함되지 않는다.
도 1은 개시된 실시예들에 따라 수행된 동작들을 도시하는 프로세스 흐름도이다. 도 1에 대하여 기술된 방법은 임의의 챔버 압력에서 수행될 수도 있다. 일부 실시예들에서, 챔버 압력은 약 5 Torr 내지 약 100 Torr, 또는 약 40 Torr이지만, 보다 높은 압력들이 (예를 들어, 대기압까지) 사용될 수도 있다.
동작 101에서, 기판이 제공된다. 예로서, 기판은 텅스텐으로 충진될 하나 이상의 피처들을 갖는 기판일 수도 있다. 다양한 실시예들에 따라, 기판 피처는 적어도 약 10:1, 적어도 약 15:1, 적어도 약 20:1, 적어도 약 25:1, 또는 적어도 약 30:1의 애스팩트 비를 갖는다. 또한 다양한 실시예들에 따라, 애스팩트 비에 더하여 또는 대신 피처 개구 사이즈를 특징으로 할 수도 있다. 개구는 약 10 ㎚ 내지 약 100 ㎚, 또는 10 ㎚ 내지 약 50 ㎚, 또는 약 20 ㎚의 폭을 가질 수도 있다. 예를 들어, 특정한 실시예들에서, 방법들은 애스팩트 비와 무관하게, 좁은 개구들을 갖는 피처들을 사용하는 것이 유리할 수도 있다. 특정한 실시예들에서, 아래에 놓인 금속층으로의 접촉을 제공하는 피처의 하단부를 갖는, 리세스된 피처들이 기판 상의 유전체층 내에 형성된다. 또한, 특정한 실시예들에서, 피처는 측벽들 및/또는 하단부 상에 라이너/배리어 층을 포함한다. 라이너 층들의 예들은 티타늄/티타늄 나이트라이드 (Ti/TiN), TiN, 및 텅스텐 나이트라이드 (WN) 를 포함한다. 일부 실시예들에서, 기판은 TiN 막의 두께가 약 100 Å 내지 약 300 Å이도록 PVD (physical vapor deposition) 또는 다른 적합한 기법을 사용하여 디포지션된 TiN의 디포지션된 층을 포함할 수도 있다. 일부 실시예들에서, 기판은 약 30 Å 내지 약 35 Å의 두께로 ALD를 사용하여 디포지션된 TiN의 디포지션된 층을 포함할 수도 있다. 일부 실시예들에서, TiN 층은 배어 실리콘 기판 (bare silicon substrate) 상의 2000 Å 산화물층 상에 디포지션된다. 확산 배리어 층들에 더하여 또는 대신하여, 피처는 접착층, 핵생성층, 이들의 조합, 또는 피처의 측벽들 및 하단부를 라이닝하는 임의의 다른 적용가능한 재료와 같은 층들을 포함할 수도 있다.
동작 103에서, 기판은 분해를 경감시키면서 환원제에 노출된다. 이는 환원제 담금 (soak) 으로 특징화될 수 있다. 다양한 실시예들에서, 환원제는 디보란 (B2H6) 이다. B2H6 대신 동작 103에서 사용될 수도 있는 환원제들의 다른 예들은 다른 보란들, 실레인 (SiH4) 과 같은 실레인들, 및 게르만 (GeH4) 과 같은 게르만들을 포함한다. 본 명세서에서 기술을 위해, B2H6 가 예로서 사용될 것이지만, 개시된 실시예들에 따라 사용될 수 있는 다른 환원제들이 이해될 것이다. 기판을 텅스텐 클로라이드에 노출시키기 전에 환원제를 사용하여 기판을 담그지 않는 것은 매우 바람직하지 않고 텅스텐 디포지션이 거의 일어나지 않거나 없게 할 수 있다는 것을 주의해야 한다. 일부 실시예들에서, 수소 외의 환원제를 사용하는 것은 후속 동작들에서 텅스텐의 성장을 보다 용이하게 할 수 있다. 임의의 특정한 이론으로 제한하지 않고, 동작 103에서의 환원제 담금은 후속하는 동작들에서 목표된 온도 범위에서 균일하고, 비다공성 텅스텐 성장을 실현하는 것을 돕는다고 믿어진다. 예로서, WCl5 또는 WCl6를 사용한 텅스텐 핵생성 동안 사용된 디보란 담금은 양호한 단차 커버리지를 획득하면서, 약 500℃보다 낮은 온도에서 텅스텐 디포지션을 실현하도록 도울 수도 있다. 일부 실시예들에서, 환원 가스 노출은 질소 (N2), 아르곤 (Ar), 헬륨 (He), 수소 (H2), 또는 다른 불활성 가스들과 같은 캐리어 가스를 포함한다. 예로서, 35 sccm의 환원제가 250 sccm의 Ar 내에서 유동할 수도 있다.
기판은 환원제에 노출되고 환원제의 분해는 약 250℃ 내지 약 450℃와 같은 저온에서 동작 103을 수행함으로써 또는 환원제를 희석함으로써 이 동작 동안 경감된다. 동작 103이 저온에서 수행될 수도 있지만, 동작 105을 이하에 기술된 바와 같이 보다 높은 온도 (예를 들어, 약 450℃ 내지 약 650℃) 에서 수행하면서, 일부 장치들 또는 프로세스들에서, 이들 두 동작들 간의 온도를 조정하는 것은 실현불가능할 수도 있다. 예를 들어, 본 명세서에 기술된 바와 같이 온도는 단일 스테이션 또는 챔버 툴 내에서 방법들이 수행되는 동안 새로운 설정 온도로 조정하기 위해 시간이 걸리는, 페데스탈 온도일 수도 있다. 일부 개시된 실시예들은 또한 멀티-스테이션 툴에서 수행될 수도 있지만, 텅스텐 핵생성층 디포지션 동안 스테이션들 간의 시프팅은 쓰루풋을 감소시킬 수도 있다.
그 결과, 예를 들어 약 450℃보다 높은 고온에서 동작 103을 수행하는 동안 환원제를 희석함으로써 분해가 경감될 수도 있다. 환원제 플로우는, 환원제의 플로우 레이트 감소, 동작 113의 지속기간을 감소시키는 플로우, 및 고 플로우 레이트로 수소를 도입하는 것을 포함하는, 다수의 방법들로 희석될 수도 있다. 다양한 실시예들에서, 환원제의 플로우 레이트는 약 100 sccm 내지 약 500 sccm, 예를 들어 약 300 sccm일 수도 있다. 다양한 실시예들에서, 환원제의 노출은 약 15 초 미만동안 지속될 수도 있고, 예를 들어, 노출은 약 0.25 초 내지 약 10 초 지속될 수도 있다.
수소는 또한 고 플로우 레이트로 유동할 수도 있다. 환원제에 대한 수소의 플로우 레이트 비는 약 5:1 내지 약 300:1, 예를 들어 약 100:1일 수도 있다. 예를 들어, 환원제의 플로우 레이트보다 적어도 약 100 배 크다. 예를 들어, 환원제가 약 300 sccm의 플로우 레이트로 유동한다면, 수소는 약 30 slm의 플로우 레이트로 챔버로 함께 유동할 수도 있다.
임의의 특정한 이론으로 제한되지 않고, 동작 103 동안, 일부 실시예들에서, 원소적 붕소, 실리콘, 또는 게르마늄의 박층이 환원제의 열 분해에 의해 기판의 표면 상에 흡착될 수도 있다고 믿어진다. 이하의 예의 목적을 위해, B2H6가 예로서 사용될 것이지만, 개시된 실시예들에 따라 사용될 수도 있는 다른 환원제들이 이해될 것이다. 예를 들어, B2H6 분해를 위한 반응은 다음과 같을 수 있다:
B2H6(g) → 2B(s) + 3H2 (g)
본 예에서, 많은 량의 수소는 B2H6와 함께 왼쪽으로 반응을 시프트하도록 유동할 수도 있어서 이 동작 동안 원소적 붕소를 형성하도록 B2H6 분해를 방지할 수 있다. 예를 들어, 수소는 붕소로의 분해를 경감시키기 위해 B2H6의 플로우 레이트보다 적어도 약 100 배 큰 플로우 레이트로 챔버 하우징 기판으로 유동할 수도 있다.
도 1의 동작 105에서, 기판은 텅스텐 클로라이드 WClx와 같은 FFW 전구체에 노출되어, 텅스텐 핵생성층을 디포지션한다. 텅스텐 클로라이드는 WCl2, WCl4, WCl5, WCl6, 또는 이들의 조합일 수도 있다. 일부 실시예들에서, 동작 105는 약 450℃ 내지 약 650℃의 온도에서 수행될 수도 있다. 일부 실시예들에서, 동작 105 동안의 온도는 동작 103 동안의 온도보다 높을 수도 있다. 환원제가 동작 103에서 희석되지 않으면, 동작 103은 환원제의 분해를 저감시키기 위해 동작 105보다 낮은 온도에서 수행될 수도 있다. 다양한 실시예들에 따라, H2가 동작 105 동안 유동할 수도 유동하지 않을 수도 있다. 일부 실시예들에서, 동작 105의 도즈 시간은 동작 103보다 길 수도 있다. 예를 들어, 일부 실시예들에서, 도즈 시간은 동작 103의 도즈 시간의 2 내지 5 배만큼 길 수도 있다. 일부 실시예들에서, 동작 105의 도즈 시간은 약 1 초 내지 약 20 초일 수도 있다.
상기된 바와 같이, 일부 실시예들에서, 동작 105 동안, 기판은 텅스텐 클로라이드 및 동작 105 동안 H2에 노출된다. 일부 실시예들에서, 부가적인 캐리어 가스가 또한 유동한다. 예시적인 캐리어 가스들은 질소 (N2), 아르곤 (Ar), 헬륨 (He), 또는 다른 불활성 가스들을 포함한다.
동작 107에서, 동작들 103 및 105는 적어도 1회의 부가적인 사이클 동안 반복될 수도 있다. “사이클”은 도 1에서 동작 103을 수행하고, 이어서 동작 105를 수행하는 것으로 규정될 수도 있다. 많은 실시예들에서, 핵생성층을 디포지션하기 위해 총 2회의 사이클이 수행될 수도 있다. 본 명세서에 기술된 실시예들을 사용하여, 사이클 당 텅스텐의 성장 레이트는 사이클 당 약 1 Å 내지 약 5 Å일 수도 있다.
상기에 기술된 바와 같이, 환원제의 열 분해는 개시된 실시예들에서 경감되거나 저감된다. 일부 실시예들에서, 동작들 103 및 105은, 핵생성 프로세스 동안, 사이클의 제 1 단계가 일 온도에서 수행되고, 사이클의 제 2 단계가 제 1 온도보다 높은 다른 온도로 수행되도록 상이한 온도들로 수행된다. 보다 낮은 온도에서 동작 103을 수행하는 것은 환원제의 열 분해를 저감시키는 한편, 보다 높은 온도에서 동작 105를 수행하는 것은 FFW 전구체로 하여금 텅스텐층을 형성하는데 충분히 반응성이 되게 한다. 즉, 동작 103에 대하여 상기에 기술된 바와 같이 제 1 온도는 동작 105에 대하여 기술된 바와 같은 제 2 온도보다 높을 수도 있다. 예를 들어, 동작 103은 약 300℃의 온도에서 수행될 수도 있고 동작 105는 약 450℃의 온도에서 수행될 수도 있다. 일부 실시예들에서, 동작들 103 및 105의 사이클을 수행하는 것 또는 동작 107 마다 제 2 사이클을 수행하는 것은, 환원제 및 FFW 전구체로의 노출 동안 단일 스테이션 내에서 온도를 토글링 (toggling) 하는 것을 수반한다. 일부 실시예들에서, 사이클들을 수행하는 것은 환원제로의 노출에 적합한 온도에서 일 스테이션으로부터 FFW 전구체로의 노출에 적합한 온도에서 제 2 스테이션으로 기판을 이송하는 것을 수반한다.
일부 실시예들에서, 동작들 103 및 105은 동일한 온도에서 수행된다. 예를 들어, 동작들 103 및 105 양자는 약 450℃에서 수행될 수도 있다. 다양한 실시예들에서, 동작들 103 및 105은, 동작 103 동안 수소가 캐리어 가스로서 유동할 때 동일한 온도에서 수행된다. 많은 실시예들에서, 동작들이 가능한 한 저온에서 수행되도록 열 예산 (thermal budget) 이 관찰된다.
일부 실시예들에서, 동작들 103 및 105은 동일한 온도에서 수행될 수도 있다. 이들 실시예들에서, 동작 103에서 붕소 또는 붕소 함유층의 과도한 형성을 방지하기 위해 B2H6를 H2로 희석하는 것이 유리할 수 있다.
일 예에서, B2H6의 플로우가 약 250 sccm의 플로우 레이트의 아르곤을 사용하여 약 35 sccm의 플로우 레이트로 도입된다. WCl5 또는 WCl6를 B2H6와 반응시킴으로써 핵생성층을 디포지션하기 위한 후속하는 WCl5 또는 WCl6의 플로우는 수소를 약 2000 sccm으로 유동시키는 동안 약 50 sccm으로 유동하는 아르곤과 같은 캐리어 가스를 사용하여 WCl5 또는 WCl6를 유동시키는 것을 포함할 수도 있다. 기판을 B2H6 및 이어서 WCl5 또는 WCl6에 노출시키는 것은 2 사이클들 동안 수행될 수도 있다.
임의의 특정한 이론으로 제한되지 않고, 동작 103 동안, 일부 실시예들에서, 원소적 붕소의 박층이 상기에 기술된 바와 같이 열 디포지션에 의해 기판의 표면 상에 흡착될 수도 있다고 믿어진다. 그 후 후속하는 WCl5 또는 WCl6의 도입은 텅스텐을 형성하기 위해 기판의 표면 상에 존재하는 붕소와 반응할 수도 있다. 임의의 특정한 이론으로 제한되지 않고, WCl5를 사용하여 텅스텐을 디포지션하기 위한 일 가능한 메커니즘은 다음과 같다:
3WCl5 (g) + 5B(s) → 3W(s) + 5BCl3 (g)
임의의 특정한 이론으로 제한되지 않고, WCl6를 사용하여 텅스텐을 디포지션하기 위한 일 가능한 메커니즘은 다음과 같다:
WCl6 (g) + 2B(s) → W(s) + 2BCl3 (g)
동작 103 동안 과도한 노출 시간은 후속하는 WCl5 또는 WCl6의 노출 후에도 기판 상에 남아 있는 원소적 붕소의 과도한 층을 유발할 수도 있다는 것이 관찰되었다. 동작들 103 및 105의 조건들은 WCl5 또는 WCl6에 의한 붕소의 완전한 소비를 달성하기 위해 가변될 수도 있다. 본 명세서에 개시된 바와 같이 B2H6 및 WCl5 또는 WCl6의 PNL 기법을 사용하여 증가된 텅스텐의 디포지션 레이트로 인해, 2 회만큼 짧은 핵생성 사이클이 CVD에 의해 벌크 텅스텐을 디포지션하기 전에 충분한 텅스텐 핵생성층을 디포지션하기 위해 사용될 수도 있다.
도 1로 돌아가서, 동작 109에서, 기판을 FFW 전구체 및 환원제에 노출시킴으로써 CVD를 사용하여 텅스텐 벌크 충진이 디포지션된다. 예시적인 기판 온도는 450℃만큼 낮고 CVD 반응 동안 650℃만큼 높을 수도 있다. 특정한 실시예들에서, FFW 전구체는 WCl5 또는 WCl6와 같은, 할로겐 함유 화합물이다. 특정한 실시예들에서, 환원제는 수소 가스이지만, 실레인들, 보란들, 및 게르만들을 포함하는, 다른 환원제들이 사용될 수도 있다. 일부 실시예들에서, CVD는 저온 스테이지 및 고온 스테이지와 같은, 다양한 스테이지들에서 구현될 수도 있다. 특정한 실시예들에서, CVD 동작은 하나 이상의 플로우들이 우회된 기간들에 의해 분리된 반응물질들의 연속적이고 동시적인 플로우의 다수의 기간들을 갖는, 다수의 스테이지들에서 발생할 수도 있다.
불활성 캐리어 가스는 사전-혼합되거나 사전-혼합되지 않을 수도 있는, 하나 이상의 반응물질 스트림들을 전달하기 위해 사용될 수도 있다. 다양한 실시예들에서, 캐리어 가스로서 아르곤을 사용하여 전구체들이 도입된다. 다른 캐리어 가스들이 적절하게 사용될 수도 있다. 아르곤과 같은 불활성 가스 또는 질소와 같은 다른 가스, 또는 이들의 조합이 백그라운드 가스로서, 환원 가스들 또는 WCl5 또는 WCl6 가스들과 동시에 제공될 수도 있다. 일부 실시예들에서, 백그라운드 가스 플로우는 연속적이고, 즉, 동작들 103 내지 109에 걸쳐 스위치 온 및 스위치 오프되지 않는다.
PNL 또는 ALD 프로세스들과는 달리, 동작 109는 일반적으로 목표된 양이 디포지션될 때까지 반응물질들을 연속적으로 도입하는 것을 수반할 수도 있다. 특정한 실시예들에서, CVD 동작은 하나 이상의 우회된 반응물질 플로우들의 기간들에 의해 분리된 반응물질들의 연속적이고 동시적인 플로우의 다수의 기간들을 갖는, 다수의 스테이지들에서 발생할 수도 있다. 플로우들은 또한 약 1 초 내지 약 2 초의 펄스 시간 동안 펄싱될 수도 있다. 일부 실시예들에서, 반응물질들은 약 400 초 내지 약 600 초의 시간 동안 연속적으로 유동한다. CVD 디포지션 동안 챔버 압력의 예시적인 범위들은 약 10 Torr 내지 약 500 Torr, 또는 약 40 Torr의 범위일 수도 있다.
특정한 실시예들에서, 동작 103으로부터 동작 105로의 천이는 멀티-스테이션 챔버에서 일 디포지션 스테이션으로부터 다른 디포지션 스테이션으로 기판을 이동시키는 것을 수반한다. 또한, 동작 103, 동작 105, 및 동작 109 각각은 동일한 멀티-스테이션 챔버의 상이한 스테이션에서 수행될 수도 있다.
동작들 103 및 105을 수행하기 위해 단일 스테이션이 사용되는 대안적인 실시예들에서, 동작 103으로부터 동작 105로의 천이는 환원제 또는 수소 가스의 플로우를 조정하는 것을 수반할 수도 있고, 또는 기판 온도가 상승하는 동안 환원제의 플로우를 셧오프하는 것 (선택적으로 수소 또는 다른 캐리어 가스가 유동하게 함) 을 수반할 수도 있다. 일단 기판 온도가 안정화되면, FFW 전구체 및 다른 가스들이 필요하다면, 텅스텐 디포지션을 위해 반응 챔버 내로 유동한다.
일부 실시예들에서, 텅스텐 나이트라이드 (WN) 층과 같은 배리어 층이 전구체로서 WCl5 또는 WCl6를 사용하여 산화물 표면들 상에 디포지션될 수도 있다. 예를 들어, 텅스텐 나이트라이드층은 암모니아 (NH3) 를 유동시키고, 이어서 WCl5 또는 WCl6를 유동시킴으로써 디포지션되어, WN층을 형성한다. 일부 실시예들에서, WCl5 또는 WCl6를 유동시킴으로써 디포지션된 텅스텐층이 텅스텐 나이트라이드 (WN) 배리어 층을 형성하도록 암모니아 (NH3) 에 노출된다.
장치
임의의 적합한 챔버가 개시된 실시예들을 구현하도록 사용될 수도 있다. 예시적인 디포지션 장치들은 다양한 시스템들, 예를 들어, 캘리포니아, 프레몬트의 Lam Research Corp.로부터 입수가능한 ALTUS® 및 ALTUS® Max 또는 임의의 다양한 다른 상업적으로 입수가능한 프로세싱 시스템들을 포함한다. 프로세스는 다수의 디포지션 스테이션들에서 병렬로 수행될 수 있다.
일부 실시예들에서, 텅스텐 핵생성 프로세스는 단일 디포지션 챔버 내에 위치된 2, 5 또는 보다 많은 디포지션 스테이션들 중 하나인, 제 1 스테이션에서 수행된다. 일부 실시예들에서, 핵생성 프로세스를 위한 2 단계들이 디포지션 챔버의 2 개의 상이한 스테이션들에서 수행된다. 예를 들어, 기판은 기판 표면에 국부화된 분위기를 생성하는 개별 가스 공급 시스템을 사용하여 제 1 스테이션 내에서 디보란 (B2H6) 에 노출될 수도 있고, 이어서 기판은 핵생성층을 디포지션하기 위해, 텅스텐 클로라이드와 같은 불소가 없는 텅스텐 (FFW) 전구체, 예를 들어, 텅스텐 펜타클로라이드 (WCl5) 또는 텅스텐 헥사클로라이드 (WCl6) 에 노출되는 제 2 스테이션으로 이송될 수도 있다. 일부 실시예들에서, 이어서 기판은 환원제의 제 2 노출을 위해 제 1 스테이션으로 다시 이송될 수도 있다. 이어서 기판은 텅스텐 핵생성을 완료하고 같거나 상이한 스테이션에서 벌크 텅스텐 디포지션을 진행하도록 WCl5 또는 WCl6에 노출하기 위해 제 2 스테이션으로 이송될 수도 있다. 일부 실시예들에서, 핵생성 프로세스는 디포지션 챔버의 단일 스테이션에서 수행된다. 예를 들어, 환원제가 일 스테이션에서 고 플로우 레이트의 수소와 함께 유동될 수도 있고, 후속하여 FFW 전구체가 선택적인 퍼지 후에 환원제와 반응하도록 동일한 스테이션에 도입될 수도 있다. 이어서 하나 이상의 스테이션들이 기술된 바와 같이 CVD를 수행하도록 사용될 수도 있다. 2 이상의 스테이션들이 병렬 프로세싱으로 CVD를 수행하도록 사용될 수도 있다. 대안적으로 웨이퍼는 CVD 동작들이 2 이상의 스테이션들에서 순차적으로 수행되도록 인덱싱될 수도 있다.
도 2는 개시된 실시예들에 따른 텅스텐 박막 디포지션 프로세스를 수행하기에 적합한 프로세싱 시스템의 블록도이다. 시스템 (200) 은 이송 모듈 (203) 을 포함한다. 이송 모듈 (203) 은 다양한 반응 모듈들 사이에서 프로세싱될 기판들이 이동될 때 기판들의 오염 위험을 최소화하기 위해 클린 (clean), 가압된 환경을 제공한다. 개시된 실시예들에 따라 PNL 디포지션 및 CVD를 수행할 수 있는 멀티-스테이션 반응기 (209) 가 이송 모듈 (203) 상에 장착된다. 챔버 (209) 는, 이들 동작들을 순차적으로 수행하는 다수의 스테이션들 (211, 213, 215, 및 217) 을 포함할 수도 있다. 예를 들어, 챔버 (209) 는 스테이션들 (211 및 213) 이 PNL 디포지션을 수행하고, 스테이션들 (215 및 217) 이 CVD를 수행하도록 구성될 수 있다. 디포지션 스테이션 각각은 가열된 웨이퍼 페데스탈 및 샤워헤드, 확산 플레이트 또는 다른 가스 유입부를 포함한다. 일부 실시예들에서, 스테이션 (211) 은 환원제 펄스들 동안 수소가 고 플로우 레이트로 유동되는, 환원제와 FFW 전구체의 교번하는 펄스들을 사용하여 텅스텐 핵생성층을 디포지션하기 위해 사용되는 반면, 스테이션 (213) 은 수소 및 FFW 전구체를 사용하여 CVD를 수행하도록 사용된다. 디포지션 스테이션 (300) 의 예는, 웨이퍼 지지부 (302) 및 샤워헤드 (303) 를 포함하는, 도 3에 도시된다. 가열기가 페데스탈 부분 (301) 에 제공될 수도 있다.
또한 플라즈마 또는 화학적 (비플라즈마) 선-세정을 수행할 수 있는 하나 이상의 단일 또는 멀티-스테이션 모듈들 (207) 이 이송 모듈 (203) 상에 장착될 수도 있다. 모듈은 또한 다양한 다른 처리들, 예를 들어, 환원제 담금을 위해 사용될 수도 있다. 시스템 (200) 은 프로세싱 전후에 웨이퍼들이 저장되는 하나 이상 (이 경우에서 2) 의 웨이퍼 소스 모듈들 (201) 을 또한 포함한다. 대기 이송 챔버 (219) 내의 대기 로봇 (atmospheric robot) (미도시) 은 먼저 소스 모듈들 (201) 로부터 로드록들 (221) 로 웨이퍼들을 제거한다. 이송 모듈 (203) 내의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) 은 로드록들 (221) 로부터 이송 모듈 (203) 상에 장착된 모듈로 그리고 모듈들 사이에서 웨이퍼들을 이동시킨다.
특정한 실시예들에서, 시스템 제어기 (229) 는 디포지션 동안 프로세스 조건들을 제어하도록 채용된다. 시스템 제어기 (229) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
시스템 제어기 (229) 는 디포지션 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (229) 는 타이밍, 가스들의 혼합, 가스들의 플로우 레이트, 챔버 압력, 챔버 온도, 웨이퍼 온도, 사용된다면 무선 주파수 (RF) 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트를 포함하는 시스템 제어 소프트웨어를 실행한다. 일부 실시예들에서 제어기와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 채용될 수도 있다.
통상적으로 시스템 제어기 (229) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
시스템 제어 로직이 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 설계되거나 구성될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드 코딩되거나 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 “프로그래밍”에 의해 제공될 수도 있다. 이러한 프로그래밍은 디지털 신호 프로세서들 내에 하드 코딩된 로직, ASIC들 (application-specific integrated circuits), 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들을 포함하는, 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것을 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 언어로 코딩될 수도 있다. 대안적으로, 제어 로직은 시스템 제어기 (229) 내에 하드 코딩될 수도 있다. ASIC들 (Applications Specific Integrated Circuits), PLD들 (Programmable Logic Devices) (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, “소프트웨어” 또는 “코드”가 사용되면, 기능적으로 비교가능한 하드 코딩된 로직이 그 위치에 사용될 수도 있다.
프로세스 시퀀스에서 디포지션 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran 등으로 작성될 수 있다. 컴파일된 객체 코드 또는 스크립트는 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다.
제어기 파라미터들은 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, RF 전력 레벨들 및 저주파수 RF 주파수와 같은 플라즈마 조건, 냉각 가스 압력, 및 챔버 벽 온도들과 같은 프로세스 조건들과 관련된다. 이들 파라미터들은 레시피의 형태로 사용자에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다.
모니터링 프로세스를 위한 신호들이 시스템 제어기 (229) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해서 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 디포지션 장치의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력된다.
일부 구현예들에서, 시스템 제어기 (229) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 전, 프로세싱 동안, 및 프로세싱 후에 이들의 동작을 제어하기 위해 전자제품에 통합될 수도 있다. 전자제품은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위 부품들을 제어할 수 있는, “제어기”로 참조될 수도 있다. 프로세싱 요건들 및/또는 시스템의 타입에 의존하는, 시스템 제어기 (229) 는, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 특정 시스템에 연결되거나 인터페이싱하는 툴 또는 다른 이송 툴들 내외로의 웨이퍼 이송을 포함하는, 본 명세서에 개시된 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (229) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 실현하고, 엔드포인트 측정, 등을 실현하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자제품으로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어 형태의 칩들, DSP들 (digital signal processors), ASIC들 (application specific integrated circuits) 로 규정된 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은, 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대해 특정한 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (229) 로 또는 시스템으로 전달된 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안 하나 이상의 프로세싱 단계들을 달성하기 위해 프로세스 엔지니어들에 의해 규정된 레시피의 일부일 수도 있다.
일부 구현예들에서, 시스템 제어기 (229) 는 시스템에 통합되고, 시스템에 커플링되거나, 그렇지 않으면 시스템과 네트워크되거나 또는 이들의 조합인 컴퓨터의 일부이거나 컴퓨터에 커플링될 수도 있다. 예를 들어, 시스템 제어기 (229) 는 “클라우드 (cloud)” 내에 있을 수도 있고, 또는 웨이퍼 프로세싱의 원격 액세스를 허용하는, 공장 호스트 컴퓨터 시스템의 전부 또는 일부일 수도 있다. 컴퓨터는 제조 동작의 현재 진행사항을 모니터링하고, 과거 제조 동작들의 이력을 검토하고, 복수의 제조 동작들로부터 트렌드들 또는 성능 매트릭들을 검토하고, 현재 프로세싱의 파라미터들을 변경하고, 현재 프로세싱에 이어질 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해 시스템으로의 원격 액세스를 인에이블할 수 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 시스템으로 프로세스 레시피들을 제공할 수 있다. 원격 컴퓨터는 원격 컴퓨터로부터 시스템으로 나중에 통신되는, 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (229) 는 하나 이상의 동작들 동안 수행되는 프로세싱 단계들 각각을 위한 파라미터들을 명시하는 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 수행될 프로세스의 타입 및 시스템 제어기 (229) 가 인터페이스하거나 제어하도록 구성된 툴의 타입으로 명시될 수도 있다는 것을 이해해야 한다. 따라서, 상기에 기술된 바와 같이, 시스템 제어기 (229) 는 예를 들어, 서로 네트워크되고 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공통의 목표를 향해 작용하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목표들을 위한 분산된 제어기의 예는 챔버 상의 프로세스를 제어하도록 결합하는 원격으로 위치된 (플랫폼 레벨로 또는 원격 컴퓨터의 일부로서) 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
제한 없이, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 디포지션 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작과 연관되거나 사용될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상기에 주지된 바와 같이, 툴에 의해 수행되는 프로세스 단계 또는 단계들에 따라, 시스템 제어기 (229) 는 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접한 툴들, 이웃 툴들, 공장 전체에 위치된 툴들, 메인 컴퓨터, 다른 제어기 또는 반도체 제작 공장 내의 툴 위치들 및/또는 로딩 포트들로/로부터 웨이퍼들의 컨테이너들을 가져오는 재료 이송에 사용된 툴들 중 하나 이상과 통신할 수도 있다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 본 발명의 디포지션 프로세스들을 수행하는데 필요한 챔버 컴포넌트들의 동작을 제어하기 위해 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 가열기 제어 코드, 및 플라즈마 제어 코드를 포함한다.
기판 포지셔닝 프로그램은 페데스탈 또는 척 상으로 기판을 로딩하는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드 및 가스 유입부 및/또는 타깃과 같은 챔버의 다른 부분들과 기판 사이의 공간을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 그리고 선택적으로 챔버 내의 압력을 안정화시키기 위해 디포지션 전에 챔버 내로 가스를 유동시키기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템의 쓰로틀 밸브를 조절함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 기판을 가열하기 위해 사용된 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 헬륨과 같은 열 전달 가스의 웨이퍼 척으로의 전달을 제어할 수도 있다.
디포지션 동안 모니터링될 수도 있는 챔버 센서들의 예들은 질량 유량 제어기들, 마노미터들과 같은 압력 센서들, 및 페데스탈 또는 척 내에 위치된 써모커플들을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터로 사용될 수도 있다. 전술한 바는 단일 또는 멀티-챔버 반도체 프로세싱 툴에서 개시된 실시예들의 구현을 기술한다.
전술한 바는 단일 또는 멀티-챔버 반도체 프로세싱 툴에서 개시된 실시예들의 구현예를 기술한다. 본 명세서에서 기술된 장치 및 프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 가공 또는 제조 동안, 리소그래피 패터닝 툴 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 사용 또는 수행될 수 있다. 막의 리소그래픽 패터닝은 일반적으로 단계들 각각이 다수의 가능한 툴들을 사용하여 제공되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 레지스트를 선택적으로 제거하도록 레지스트를 현상하여 습식 벤치와 같은 툴을 사용하여 레지스트를 패터닝하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.
실험
실험 1
실험이 수행되어, 개시된 실시예들에 따라 디포지션된 텅스텐 막들의 디포지션 레이트가 측정되었다. CVD에 의해 텅스텐을 디포지션하기 위해, 15 내지 20 Å 두께 핵생성층을 포함하는 기판이 450℃ 및 60 Torr에서 WCl5 및 H2에 노출되었다. 15 내지 20 Å 두께 핵생성층을 포함하는 다른 기판이 450℃ 및 60 Torr에서 WCl6 및 B2H6에 노출되었다. 디포지션 레이트는 평균되고 도 4에 플롯팅되었다.
도 4에서 실선은 WCl5-디포지션된 텅스텐의 평균 디포지션 레이트를 나타낸다. 도 4에서 점선은 WCl6-디포지션된 텅스텐의 평균 디포지션 레이트를 나타낸다. 디포지션 레이트는 전구체 농도에 대하여 플롯팅되었다. 전구체의 농도가 WCl6에 대하여 증가되기 때문에, CVD 디포지션 레이트는 결국 약 0.3 내지 0.4 % 농도로 감소되고, 이들 농도들에서, WCl6는 기판 상에 막을 디포지션하기 보다는 에칭한다는 것을 암시하는 것을 주의해야 한다. 이러한 WCl6의 문턱값 특성은 고 디포지션 레이트로 텅스텐을 디포지션하는 것으로 이의 애플리케이션을 제한할 수도 있다. 반대로, WCl5는 약 0.8 % 농도에서 7.00 Å/초만큼 높은 디포지션 레이트로 전구체 농도를 지속적으로 증가시키는 것으로 도시된다. WCl5 디포지션 레이트가 최대 문턱값에 이르는 것으로 예상되어 WCl5가 막을 디포지션하기 보다는 기판을 에칭하기 시작하지만, 이들 결과들은 WCl5가 WCl6보다 텅스텐 막들을 디포지션하기 위한 보다 실현가능한 옵션일 수 있다는 것을 암시한다.
실험 2
전구체로서 텅스텐 헥사클로라이드 (WCl6) 를 사용하여 디포지션된 텅스텐 피처 충진부들의 단차 커버리지를 평가하기 위한 프로세스가 수행되었다. 25 ㎚의 임계 치수를 갖는 피처를 갖는 기판이 제공되었다. 텅스텐은 개시된 실시예들에 따라 텅스텐 핵생성 및 CVD (chemical vapor deposition) 를 사용하여 디포지션되었다. 이하의 프로세싱 조건들이 사용되었다:
B 2 H 6 담금
사이클 온도
(℃)
B2H6
플로우
(sccm)
도즈 시간
(초)
압력
(Torr)
Ar 플로우
(sccm)
제 1 300 35 15 40 250
제 2 300 35 15 40 250
WCl 6 / H 2
사이클 온도
(℃)
Ar 플로우
(sccm)
도즈 시간
(초)
압력
(Torr)
H2 플로우
(sccm)
제 1 450 50 60 40 2000
제 2 450 50 600 40 2000
핵생성 사이클 각각은 디보란 (B2H6) 노출, 이어서, B2H6 노출 온도와 상이한 온도에서 WCl6 노출을 포함한다. 텅스텐 핵생성 프로세스는 2 개의 사이클들 (B2H6/WCl6/B2H6/WCl6) 을 사용하여 수행된다. 후속하여, 전구체로서 WCl6를 사용하여 CVD에 의해 텅스텐 벌크 충진부가 디포지션된다. 상기 예에서, 제 2 사이클의 WF6/H2 부분은 벌크층을 형성하기 위해 표면 기반 흡착된 붕소 또는 붕소 함유 화합물과 WF6의 반응에 의한 핵생성 및 이어지는 H2에 의한 WF6의 CVD 환원 양자를 포함한다고 믿어진다. 결과적인 디포지션된 텅스텐은, 도 5의 비아의 개략도에 도시된 바와 같이, 우수한 단차 커버리지 및 완료된 플러그 충진을 나타낸다. 도시된 바와 같이, 도 5는 텅스텐 핵생성층 또는 아마도 텅스텐 보라이드층 (미도시) 의 상단에 텅스텐 벌크층 (501) 을 도시한다. 아마도 디보란으로 최초로 노출된, 30 Å의 얇은 원소적 붕소층이 텅스텐 아래에 형성된다. 25 Å의 TiN 배리어 층 (505) 이 붕소층 아래에 형성된다. 일부 조건들에서, 붕소층은 형성되지 않을 수도 있다는 것을 주의한다. 붕소 박층이 존재하지만, 결과들은 B2H6 담금을 사용하여 텅스텐을 디포지션하기 위해 전구체로서 WCl6를 사용하는 것이 효과적임을 보여준다.
결론
전술한 실시예들은 이해의 명료성을 위해서 어느 정도 세부적으로 기술되었지만, 첨부된 청구항들의 범위 내에서 특정 변경들 및 수정들이 실시될 수 있다는 것이 명백할 것이다. 기술된 실시예들의 프로세스들, 시스템들 및 장치를 구현하는 다수의 대안적인 방식들이 존재할 수 있다는 것을 주의해야 한다. 따라서, 기술된 실시예들은 예시적이면서 비한정적으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (20)

  1. 기판 상에 텅스텐을 디포지션하는 방법으로서,
    상기 기판을 환원제 및 수소에 노출시키는 단계; 및
    상기 텅스텐을 디포지션하기 위해 상기 기판을 텅스텐 클로라이드에 노출시키는 단계를 포함하고,
    환원제 플로우 레이트에 대한 수소 플로우 레이트의 비는 약 10:1 내지 약 100:1인, 기판 상에 텅스텐을 디포지션하는 방법.
  2. 제 1 항에 있어서,
    상기 텅스텐 클로라이드는 WCl2, WCl4, WCl5, WCl6, 및 이들의 혼합물들로 구성된 그룹으로부터 선택되는, 기판 상에 텅스텐을 디포지션하는 방법.
  3. 제 1 항에 있어서,
    상기 환원제는 보란들, 실레인들, 및 게르만들로 구성된 그룹으로부터 선택되는, 기판 상에 텅스텐을 디포지션하는 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 텅스텐은 약 450℃ 내지 약 650℃의 온도에서 디포지션되는, 기판 상에 텅스텐을 디포지션하는 방법.
  5. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 환원제의 플로우 레이트는 약 100 sccm 내지 약 500 sccm인, 기판 상에 텅스텐을 디포지션하는 방법.
  6. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 기판은 약 0.25 초 내지 약 10 초의 지속기간 동안 상기 환원제에 노출되는, 기판 상에 텅스텐을 디포지션하는 방법.
  7. 기판 상의 피처 내에 텅스텐을 디포지션하는 방법으로서,
    벌크 텅스텐층을 디포지션하기 전에, 상기 피처를 희석된 환원제 및 텅스텐 펜타클로라이드의 교번하는 펄스들에 노출시킴으로써 텅스텐 핵생성층을 형성하는 단계를 포함하는, 기판 상의 피처 내에 텅스텐을 디포지션하는 방법.
  8. 제 7 항에 있어서,
    상기 교번하는 펄스들의 사이클 당 디포지션된 텅스텐의 양은 적어도 약 100 Å인, 기판 상의 피처 내에 텅스텐을 디포지션하는 방법.
  9. 제 7 항에 있어서,
    상기 환원제는 보란들, 실레인들, 및 게르만들로 구성된 그룹으로부터 선택되는, 기판 상의 피처 내에 텅스텐을 디포지션하는 방법.
  10. 제 7 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 환원제는 수소를 유동시킴으로써 희석되고,
    상기 환원제 플로우 레이트에 대한 수소 플로우 레이트의 비는 약 10:1 내지 약 100:1인, 기판 상의 피처 내에 텅스텐을 디포지션하는 방법.
  11. 제 7 항 내지 제 9 항 중 어느 한 항에 있어서,
    텅스텐 함유 전구체를 사용하여 화학적 기상 디포지션에 의해 상기 텅스텐 핵생성층 상에 벌크 텅스텐층을 디포지션하는 단계를 더 포함하는, 기판 상의 피처 내에 텅스텐을 디포지션하는 방법.
  12. 제 11 항에 있어서,
    상기 텅스텐 함유 전구체는 WF6, WCl2, WCl4, WCl5, WCl6, 및 이들의 혼합물들로 구성된 그룹으로부터 선택되는, 기판 상의 피처 내에 텅스텐을 디포지션하는 방법.
  13. 반도체 기판 상에 텅스텐을 디포지션하는 방법으로서,
    텅스텐 펜타클로라이드 및 환원제를 펄싱하고 상기 환원제의 분해를 경감시킴으로써 텅스텐 핵생성층을 디포지션하는 단계; 및
    텅스텐 펜타클로라이드를 사용하여 화학적 기상 디포지션에 의해 텅스텐 벌크층을 디포지션하는 단계를 포함하는, 반도체 기판 상에 텅스텐을 디포지션하는 방법.
  14. 제 13 항에 있어서,
    상기 환원제 분해는 상기 환원제의 플로우를 희석함으로써 경감되는, 반도체 기판 상에 텅스텐을 디포지션하는 방법.
  15. 제 13 항에 있어서,
    상기 환원제 분해는 상기 환원제의 플로우 레이트보다 적어도 약 10 배 큰 플로우 레이트로 수소 가스를 도입함으로써 경감되는, 반도체 기판 상에 텅스텐을 디포지션하는 방법.
  16. 제 13 항에 있어서,
    상기 환원제 분해는 상기 텅스텐 펜타클로라이드를 펄싱할 때 온도보다 낮은 온도로 상기 환원제를 펄싱함으로써 경감되는, 반도체 기판 상에 텅스텐을 디포지션하는 방법.
  17. 제 13 항 내지 제 16 항 중 적어도 한 항에 있어서,
    상기 환원제는 실레인들, 보란들, 및 게르만들로 구성된 그룹으로부터 선택되는, 반도체 기판 상에 텅스텐을 디포지션하는 방법.
  18. 기판 상에 텅스텐을 디포지션하는 방법으로서,
    (a) 제 1 온도에서 상기 기판을 환원제에 노출시키는 단계; 및
    (b) 제 2 온도에서 상기 기판을 불소가 없는 텅스텐 전구체에 노출시키는 단계를 포함하고,
    상기 제 1 온도는 상기 제 2 온도보다 낮은, 반도체 기판 상에 텅스텐을 디포지션하는 방법.
  19. 기판들을 프로세싱하기 위한 장치로서,
    (a) 기판을 홀딩하도록 구성된 페데스탈을 포함하는 적어도 하나의 프로세스 챔버;
    (b) 진공에 커플링하기 위한 적어도 하나의 유출부;
    (c) 하나 이상의 프로세스 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 및
    (d) 머신-판독가능한 인스트럭션들을 포함하는, 상기 장치 내에서 동작들을 제어하기 위한 제어기를 포함하고,
    상기 인스트럭션들은,
    (i) 환원제 및 수소를 상기 프로세스 챔버에 도입하고,
    (ii) 상기 프로세스 챔버에 불소가 없는 텅스텐 전구체를 도입하고, 그리고
    (iii) 텅스텐 핵생성층을 디포지션하기 위해 제 1 스테이지에서 (i) 내지 (ii) 를 반복하기 위한 인스트럭션이고,
    (i) 동안 상기 환원제 플로우 레이트에 대한 수소 플로우 레이트의 비는 약 10:1 내지 약 100:1인, 기판들을 프로세싱하기 위한 장치.
  20. 제 19 항에 있어서,
    상기 불소가 없는 텅스텐 전구체는 WCl2, WCl4, WCl5, WCl6, 및 이들의 혼합물들로 구성된 그룹으로부터 선택되는, 기판들을 프로세싱하기 위한 장치.
KR1020150064578A 2014-05-09 2015-05-08 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들 KR20150128615A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230043083A KR102641077B1 (ko) 2014-05-09 2023-03-31 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201461991356P 2014-05-09 2014-05-09
US61/991,356 2014-05-09
US201462075092P 2014-11-04 2014-11-04
US62/075,092 2014-11-04
US14/703,732 2015-05-04
US14/703,732 US9595470B2 (en) 2014-05-09 2015-05-04 Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230043083A Division KR102641077B1 (ko) 2014-05-09 2023-03-31 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들

Publications (1)

Publication Number Publication Date
KR20150128615A true KR20150128615A (ko) 2015-11-18

Family

ID=54368489

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150064578A KR20150128615A (ko) 2014-05-09 2015-05-08 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들
KR1020230043083A KR102641077B1 (ko) 2014-05-09 2023-03-31 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230043083A KR102641077B1 (ko) 2014-05-09 2023-03-31 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들

Country Status (5)

Country Link
US (1) US9595470B2 (ko)
JP (1) JP6799903B2 (ko)
KR (2) KR20150128615A (ko)
CN (1) CN105097446A (ko)
TW (1) TWI730942B (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170136982A (ko) * 2016-06-02 2017-12-12 램 리써치 코포레이션 향상된 충진 및 감소된 기판 충돌을 위한 텅스텐의 ald
KR20190100859A (ko) * 2018-02-21 2019-08-29 도쿄엘렉트론가부시키가이샤 텅스텐막의 성막 방법, 성막 시스템 및 기억 매체
WO2020236749A1 (en) * 2019-05-22 2020-11-26 Lam Research Corporation Nucleation-free tungsten deposition
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
JP6416679B2 (ja) * 2015-03-27 2018-10-31 東京エレクトロン株式会社 タングステン膜の成膜方法
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9595473B2 (en) 2015-06-01 2017-03-14 International Business Machines Corporation Critical dimension shrink through selective metal growth on metal hardmask sidewalls
CN106328500B (zh) * 2015-07-02 2019-11-05 无锡华润上华科技有限公司 钨膜的沉积方法
US9768177B2 (en) * 2015-08-04 2017-09-19 Micron Technology, Inc. Method of forming conductive material of a buried transistor gate line and method of forming a buried transistor gate line
JP6710089B2 (ja) * 2016-04-04 2020-06-17 東京エレクトロン株式会社 タングステン膜の成膜方法
WO2018013778A1 (en) 2016-07-14 2018-01-18 Entegris, Inc. Cvd mo deposition by using mooc14
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9991362B2 (en) * 2016-09-30 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including tungsten gate and manufacturing method thereof
WO2018105220A1 (ja) 2016-12-05 2018-06-14 Jx金属株式会社 高純度五塩化タングステン及びその製造方法
JP7214656B2 (ja) * 2017-01-25 2023-01-30 ユミコア・アクチエンゲゼルシャフト・ウント・コムパニー・コマンディットゲゼルシャフト 金属ハロゲン化物の還元方法
KR20230127377A (ko) 2017-04-10 2023-08-31 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US10460987B2 (en) * 2017-05-09 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package device with integrated antenna and manufacturing method thereof
KR102474876B1 (ko) 2017-06-15 2022-12-07 삼성전자주식회사 텅스텐 전구체 및 이를 이용한 텅스텐 함유막의 형성 방법
US10199267B2 (en) * 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
CN111095488A (zh) * 2017-08-14 2020-05-01 朗姆研究公司 三维竖直nand字线的金属填充过程
KR20200037218A (ko) 2017-08-21 2020-04-08 가부시키가이샤 아데카 텅스텐 화합물, 박막 형성용 원료 및 박막의 제조 방법
KR20200079339A (ko) * 2017-11-20 2020-07-02 램 리써치 코포레이션 자기 제한 성장
JP7018748B2 (ja) * 2017-11-28 2022-02-14 東京エレクトロン株式会社 成膜方法及び成膜条件の算出方法
US10710896B2 (en) 2018-04-30 2020-07-14 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Tungsten pentachloride conditioning and crystalline phase manipulation
US10899630B2 (en) 2018-04-30 2021-01-26 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Tungsten pentachloride conditioning and crystalline phase manipulation
CN113195783A (zh) * 2018-12-19 2021-07-30 恩特格里斯公司 在还原共反应剂存在下沉积钨或钼层的方法
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
JP7362258B2 (ja) * 2019-02-08 2023-10-17 東京エレクトロン株式会社 基板処理方法及び成膜システム
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
US20220181158A1 (en) * 2019-04-11 2022-06-09 Lam Research Corporation High step coverage tungsten deposition
WO2021030836A1 (en) * 2019-08-12 2021-02-18 Lam Research Corporation Tungsten deposition
US20210384035A1 (en) * 2020-06-04 2021-12-09 Applied Materials, Inc. Fluorine-Free Tungsten ALD And Tungsten Selective CVD For Dielectrics
CN117460859A (zh) * 2021-05-07 2024-01-26 恩特格里斯公司 钼或钨材料的沉积方法
TWI825674B (zh) * 2022-01-19 2023-12-11 南亞科技股份有限公司 半導體元件的製備方法
US11842925B2 (en) 2022-01-19 2023-12-12 Nanya Technology Corporation Method for fabricating conductive feature and semiconductor device

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61224313A (ja) * 1985-03-29 1986-10-06 Hitachi Ltd 気相薄膜成長方法
JP2829143B2 (ja) * 1991-03-25 1998-11-25 シャープ株式会社 半導体装置の製造方法
CN1115723C (zh) * 1996-11-15 2003-07-23 三星电子株式会社 氮化钨层制造方法及使用同样原理的金属连线制造方法
US6162715A (en) * 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US20030190424A1 (en) 2000-10-20 2003-10-09 Ofer Sneh Process for tungsten silicide atomic layer deposition
US20020190379A1 (en) * 2001-03-28 2002-12-19 Applied Materials, Inc. W-CVD with fluorine-free tungsten nucleation
US9076843B2 (en) * 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
CN101308794B (zh) * 2007-05-15 2010-09-15 应用材料股份有限公司 钨材料的原子层沉积
KR101559425B1 (ko) 2009-01-16 2015-10-13 삼성전자주식회사 반도체 소자의 제조 방법
US9034768B2 (en) * 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8119527B1 (en) * 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20120003833A1 (en) * 2010-07-01 2012-01-05 Applied Materials, Inc. Methods for forming tungsten-containing layers
KR101990051B1 (ko) * 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) * 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9637395B2 (en) * 2012-09-28 2017-05-02 Entegris, Inc. Fluorine free tungsten ALD/CVD process
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US20150348840A1 (en) 2014-05-31 2015-12-03 Lam Research Corporation Methods of filling high aspect ratio features with fluorine free tungsten

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170136982A (ko) * 2016-06-02 2017-12-12 램 리써치 코포레이션 향상된 충진 및 감소된 기판 충돌을 위한 텅스텐의 ald
KR20190100859A (ko) * 2018-02-21 2019-08-29 도쿄엘렉트론가부시키가이샤 텅스텐막의 성막 방법, 성막 시스템 및 기억 매체
US10954593B2 (en) 2018-02-21 2021-03-23 Tokyo Electron Limited Tungsten film-forming method, film-forming system and storage medium
US11802334B2 (en) 2018-02-21 2023-10-31 Tokyo Electron Limited Tungsten film-forming method, film-forming system and storage medium
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
WO2020236749A1 (en) * 2019-05-22 2020-11-26 Lam Research Corporation Nucleation-free tungsten deposition

Also Published As

Publication number Publication date
KR20230050290A (ko) 2023-04-14
TWI730942B (zh) 2021-06-21
JP2015221940A (ja) 2015-12-10
TW201606121A (zh) 2016-02-16
US9595470B2 (en) 2017-03-14
JP6799903B2 (ja) 2020-12-16
CN105097446A (zh) 2015-11-25
US20150325475A1 (en) 2015-11-12
KR102641077B1 (ko) 2024-02-27

Similar Documents

Publication Publication Date Title
KR102641077B1 (ko) 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들
KR102572271B1 (ko) 몰리브덴을 함유하는 저 저항률 막들
KR102386744B1 (ko) 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
US10529722B2 (en) Tungsten for wordline applications
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
KR20210027507A (ko) 순수 금속 막의 증착
KR20210089260A (ko) 보이드 프리 (void free) 저응력 (low stress) 충진
KR20160140448A (ko) 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착
KR20140034081A (ko) 낮은 거칠기 및 낮은 저항을 갖는 텅스텐 막을 증착시키기 위한 방법
KR102394249B1 (ko) 코발트에 대한 망간 배리어 층 및 접착 층
US11970776B2 (en) Atomic layer deposition of metal films
KR20220129105A (ko) 텅스텐을 위한 몰리브덴 템플릿들
KR20210092840A (ko) 3d nand 구조체 상의 원자 층 증착
KR102637315B1 (ko) 텅스텐 나이트라이드 배리어 층 증착

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X601 Decision of rejection after re-examination