TWI730942B - 利用氯化鎢前驅物製備鎢及氮化鎢薄膜的方法 - Google Patents
利用氯化鎢前驅物製備鎢及氮化鎢薄膜的方法 Download PDFInfo
- Publication number
- TWI730942B TWI730942B TW104114532A TW104114532A TWI730942B TW I730942 B TWI730942 B TW I730942B TW 104114532 A TW104114532 A TW 104114532A TW 104114532 A TW104114532 A TW 104114532A TW I730942 B TWI730942 B TW I730942B
- Authority
- TW
- Taiwan
- Prior art keywords
- tungsten
- reducing agent
- substrate
- wcl
- hydrogen
- Prior art date
Links
- 229910052721 tungsten Inorganic materials 0.000 title claims abstract description 132
- 239000010937 tungsten Substances 0.000 title claims abstract description 132
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 title claims abstract description 130
- 238000000034 method Methods 0.000 title claims abstract description 85
- 239000002243 precursor Substances 0.000 title claims abstract description 40
- YOUIDGQAIILFBW-UHFFFAOYSA-J tetrachlorotungsten Chemical compound Cl[W](Cl)(Cl)Cl YOUIDGQAIILFBW-UHFFFAOYSA-J 0.000 title claims abstract description 22
- -1 tungsten nitride Chemical class 0.000 title description 5
- 239000010409 thin film Substances 0.000 title description 2
- 239000003638 chemical reducing agent Substances 0.000 claims abstract description 97
- 239000000758 substrate Substances 0.000 claims abstract description 88
- 238000000151 deposition Methods 0.000 claims abstract description 84
- 238000010899 nucleation Methods 0.000 claims abstract description 38
- 230000006911 nucleation Effects 0.000 claims abstract description 38
- 238000007865 diluting Methods 0.000 claims abstract description 5
- 239000001257 hydrogen Substances 0.000 claims description 38
- 229910052739 hydrogen Inorganic materials 0.000 claims description 38
- 238000012545 processing Methods 0.000 claims description 32
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 27
- 239000007789 gas Substances 0.000 claims description 26
- 238000000354 decomposition reaction Methods 0.000 claims description 16
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 claims description 15
- 150000002431 hydrogen Chemical class 0.000 claims description 15
- 239000004065 semiconductor Substances 0.000 claims description 13
- 229910000078 germane Inorganic materials 0.000 claims description 10
- WIDQNNDDTXUPAN-UHFFFAOYSA-I tungsten(v) chloride Chemical compound Cl[W](Cl)(Cl)(Cl)Cl WIDQNNDDTXUPAN-UHFFFAOYSA-I 0.000 claims description 10
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 9
- 239000000203 mixture Substances 0.000 claims description 9
- 229910000077 silane Inorganic materials 0.000 claims description 9
- 229910000085 borane Inorganic materials 0.000 claims description 8
- 230000009467 reduction Effects 0.000 claims description 3
- 230000008878 coupling Effects 0.000 claims description 2
- 238000010168 coupling process Methods 0.000 claims description 2
- 238000005859 coupling reaction Methods 0.000 claims description 2
- OHZZTXYKLXZFSZ-UHFFFAOYSA-I manganese(3+) 5,10,15-tris(1-methylpyridin-1-ium-4-yl)-20-(1-methylpyridin-4-ylidene)porphyrin-22-ide pentachloride Chemical compound [Cl-].[Cl-].[Cl-].[Cl-].[Cl-].[Mn+3].C1=CN(C)C=CC1=C1C(C=C2)=NC2=C(C=2C=C[N+](C)=CC=2)C([N-]2)=CC=C2C(C=2C=C[N+](C)=CC=2)=C(C=C2)N=C2C(C=2C=C[N+](C)=CC=2)=C2N=C1C=C2 OHZZTXYKLXZFSZ-UHFFFAOYSA-I 0.000 claims 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 abstract description 3
- 239000010410 layer Substances 0.000 description 67
- 230000008021 deposition Effects 0.000 description 51
- 230000008569 process Effects 0.000 description 44
- 238000005229 chemical vapour deposition Methods 0.000 description 34
- 239000010408 film Substances 0.000 description 24
- 235000012431 wafers Nutrition 0.000 description 21
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 15
- 229910052796 boron Inorganic materials 0.000 description 15
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 14
- 239000000376 reactant Substances 0.000 description 13
- 238000004519 manufacturing process Methods 0.000 description 12
- 238000012546 transfer Methods 0.000 description 12
- 238000000231 atomic layer deposition Methods 0.000 description 10
- 239000012159 carrier gas Substances 0.000 description 10
- 229910052786 argon Inorganic materials 0.000 description 7
- 230000004888 barrier function Effects 0.000 description 7
- 229910052751 metal Inorganic materials 0.000 description 7
- 239000002184 metal Substances 0.000 description 7
- 229920002120 photoresistant polymer Polymers 0.000 description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 6
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 6
- 238000006243 chemical reaction Methods 0.000 description 6
- 238000010586 diagram Methods 0.000 description 6
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 5
- 238000002474 experimental method Methods 0.000 description 5
- 229910052731 fluorine Inorganic materials 0.000 description 5
- 239000011737 fluorine Substances 0.000 description 5
- 239000000463 material Substances 0.000 description 5
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 238000005530 etching Methods 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 238000002791 soaking Methods 0.000 description 4
- 238000005979 thermal decomposition reaction Methods 0.000 description 4
- KPGXUAIFQMJJFB-UHFFFAOYSA-H tungsten hexachloride Chemical compound Cl[W](Cl)(Cl)(Cl)(Cl)Cl KPGXUAIFQMJJFB-UHFFFAOYSA-H 0.000 description 4
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 3
- 230000005540 biological transmission Effects 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 239000000945 filler Substances 0.000 description 3
- 238000011049 filling Methods 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- 230000009257 reactivity Effects 0.000 description 3
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 3
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000000460 chlorine Substances 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 238000011010 flushing procedure Methods 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- OFEAOSSMQHGXMM-UHFFFAOYSA-N 12007-10-2 Chemical compound [W].[W]=[B] OFEAOSSMQHGXMM-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 239000012792 core layer Substances 0.000 description 1
- 238000001723 curing Methods 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 238000010574 gas phase reaction Methods 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical group 0.000 description 1
- 230000009931 harmful effect Effects 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical group C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 239000003504 photosensitizing agent Substances 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000011573 trace mineral Substances 0.000 description 1
- 235000013619 trace mineral Nutrition 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
- 238000011282 treatment Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02697—Forming conducting materials on a substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
- C23C16/14—Deposition of only one other metal element
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/448—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45557—Pulsed pressure or control pressure
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28568—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76876—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Crystallography & Structural Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
提供形成鎢膜的方法,該方法使用諸如氯化鎢之無氟的鎢前驅物。該方法包含沉積鎢成核層,其藉由曝露基板於諸如二硼烷(B2
H6
)的還原劑及曝露基板於氯化鎢,接著藉由曝露基板於氯化鎢和還原劑沉積主體的鎢。該方法亦包含稀釋還原劑,及曝露基板於呈脈衝之無氟的前驅物以沉積鎢成核層。沉積的膜展現良好的階梯覆蓋率及栓塞填充(plugfill)。
Description
本發明係關於使用鎢前驅物製備鎢薄膜的方法。
使用化學氣相沉積(CVD, chemical vapor deposition)技術的鎢膜沉積係半導體製程不可或缺的一部分。例如:鎢膜可以下列形式作為低電阻係數的電連接:水平互連線、毗鄰金屬層之間的介層窗、及介於第一金屬層和矽基板上元件之間的接觸窗。在一示例的鎢沉積過程中,阻障層係沉積在介電基板上,接著進行鎢膜之薄成核層的沉積。之後,鎢膜的剩餘部分係沉積在成核層上作為主體層(bulk layer)。傳統上,鎢主體層係藉由六氟化鎢(WF6
, tungsten hexafluoride)在化學氣相沉積過程中與氫氣(H2
, hydrogen)作用還原所形成。
在此提供沉積鎢的方法。一個方法包含曝露基板於還原劑和氫氣,及曝露基板於氯化鎢以沉積鎢,其中氫氣流率與還原劑流率的比例係介於約10:1和約100:1之間。氯化鎢可選自由WCl2
、WCl4
、WCl5
、WCl6
、及其混合物組成的群組。在一些實施例中,鎢係沉積在介於約450o
C和約650o
C之間的溫度。
還原劑可選自由硼烷、矽烷、和鍺烷組成的群組。在各種不同的實施例中,還原劑的流率係介於約100 sccm和約500 sccm之間。基板可曝露於還原劑介於約0.25和約10秒之間的持續時間。
另一個實施態樣包含一個方法,其包括在沉積主體鎢層之前,藉由曝露特徵部於稀釋的還原劑和五氯化鎢之交替脈衝,形成鎢成核層。
交替脈衝之每週期沉積的鎢量可為至少約100 Å。還原劑可選自由硼烷、矽烷、和鍺烷組成的群組。在一些實施例中,還原劑係藉由流動氫氣稀釋,且氫氣流率與還原劑流率的比例係介於約10:1和約100:1之間。
該方法亦可包括:藉由使用含鎢前驅物的化學氣相沉積於鎢成核層上沉積主體鎢層。含鎢前驅物可選自由WF6
、WCl2
、WCl4
、WCl5
、WCl6
、及其混合物組成的群組。
另一個方法包括:藉由施予五氯化鎢和還原劑脈衝及減輕還原劑的分解,沉積鎢成核層,及藉由使用五氯化鎢的化學氣相沉積沉積鎢主體層。
在各種不同的實施例中,還原劑係選自由矽烷、硼烷、和鍺烷組成的群組。還原劑的分解係可藉由稀釋還原劑的流量減輕。
在一些實施例中,減輕還原劑的分解係藉由以高於該還原劑的流率至少約10倍的流率引入氫氣氣體。在一些實施例中,減輕還原劑的分解係藉由以一溫度施予還原劑脈衝,該溫度低於當施予五氯化鎢脈衝時的溫度。
另一個實施態樣包含包括下述之方法:(a)以第一溫度曝露基板於還原劑,及(b)以第二溫度曝露基板於無氟的鎢前驅物,其中第一溫度係低於第二溫度。
另一個實施態樣包含處理基板的裝置,其包括:(a)至少一間處理腔室,其包含配置以支撐基板的基座;(b)至少一個出口,其用於耦接至真空;(c)一個或多個處理氣體進氣口,其耦接至一個或多個處理氣體源;及(d)一個控制器,其用於控制裝置內的操作,包含下述之機器可讀指令:(i)引入還原劑和氫氣至處理腔室;(ii)引入無氟的鎢前驅物至處理腔室;及(iii)在第一階段中重覆(i)-(ii)以沉積鎢成核層,其中在(i)期間,氫氣流率與還原劑流率的比例係介於約10:1和約100:1之間。無氟的鎢前驅物可選自由WCl2
、WCl4
、WCl5
、WCl6
、及其混合物組成的群組。
這些和其他實施態樣係參照圖示進一步描述於下。
為了透徹理解本發明的實施例,在以下的說明中說明眾多具體細節。所揭露的實施例可以不具有某些或全部這些具體細節而加以實施。另一方面,為了不要不必要地模糊所揭露的實施例,未詳細說明眾所周知的製程操作。雖然所揭露的實施例將結合具體的實施例描述,可理解其非意圖限制所揭露的實施例。
半導體元件製程經常包含鎢膜的沉積,尤其在溝或介層窗內以形成互連線。在沉積鎢膜的傳統方法中,成核鎢層係首先沉積進入介層窗或接觸窗。通常,成核層係薄的保形層,其用作協助於其上後續主體材料的形成。鎢成核層可沉積以保形地覆蓋特徵部的側壁及底部。符合底特徵部的底部和側壁對提供高品質的沉積可為關鍵。成核層係通常使用原子層沉積(ALD, atomic layer deposition)或脈衝成核層(PNL, pulsed nucleation layer)的方式沉積。
在PNL的技術中,反應物的脈衝係依序注入和自反應腔室沖洗(通常藉由反應物之間沖洗氣體的脈衝)。第一反應物可被吸附在基板之上,其可與下一個反應物反應。該過程係以週期性的方式重覆直到達成期望的厚度。PNL係相似於ALD的技術。但PNL通常不同於ALD之處係在於其較高的操作壓力範圍(大於1 Torr)及其較高的每週期增長速率(每週期大於1單層膜的增長)。PNL沉積期間的腔室壓力可從約1 Torr至約400 Torr。於此提供描述的背景中,PNL廣泛地體現任何依序添加用於半導體基板上反應之反應物的循環性製程。因此,此概念體現出傳統上稱作為ALD的技術。於所揭露之實施例的背景中,CVD體現反應物係一起引入至用於氣相反應之反應器的製程。PNL和ALD製程係不同於CVD製程,且反之亦然。
在鎢成核層係沉積之後,主體的鎢係通常藉由化學氣相沉積(CVD)製程沉積,其藉由使用諸如氫氣(H2
)的還原劑以還原六氟化鎢(WF6
)。
傳統的鎢沉積已包含含氟前驅物WF6
的使用。然而,WF6
的使用致使某些氟摻入沉積的鎢膜。氟的存在可造成電子漂移及/或氟擴散進毗鄰的成分及破壞接觸窗,從而降低元件的性能。隨著裝置縮小,特徵部變得更小且電子漂移和離子擴散的危害效果變得更明顯,從而造成元件失效。含微量氟的鎢膜因此可造成集成度和可靠性的問題,以及關於底膜或元件結構(諸如介層窗和閘)的元件性能問題。
無氟的鎢(FFW, fluorine-free tungsten)前驅物係有效避免此可靠性和集成度的問題或元件性能的問題。當前的FFW前驅物包含金屬有機前驅物,但來自金屬有機前驅物之不期望的微量元素(諸如碳、氫、氮、及氧)亦可摻入鎢膜中。某些金屬有機無氟前驅物亦係不易實現或整合在鎢沉積的製程中。
在此提供沉積無氟鎢膜的方法,其使用氯化鎢(WClx
, tungsten chloride)為前驅物,諸如五氯化鎢(WCl5
, tungsten pentachloride)或六氯化鎢(WCl6
, tungsten hexachloride)。本說明書的例子係關於WCl5
和WCl6
,但可理解,其他氯化鎢(包括WCl2
、WCl4
、及其混合物)可被用於所揭露的實施例。藉由WCl5
和WCl6
的沉積呈現使用WF6
時所沒有的挑戰,這是由於後者化合物的較高反應性及氯化鎢之可能的蝕刻特性。氣化的WCl6
具有夠高的蒸氣壓而能夠被帶進鎢沉積腔室。然而,WCl6
比WCl5
可更有可能蝕刻基板。雖然WCl5
係較不可能蝕刻基板,WCl5
卻比WCl6
具有較高的蒸氣壓。雖然較低的蒸氣壓係有助於沉積具低電阻係數的鎢膜,但某些沉積可能具有差的階梯覆蓋率。氯化鎢係低反應性,因此,沉積係施行於比使用WF6
沉積時較高的溫度。然而,諸如在鎢成核層沉積期間用於還原氯化鎢的某些還原劑在較高的溫度下可能分解。所揭露的實施例減輕這些還原劑的分解,以在小特徵部中沉積具有低電阻係數、良好附著力、及良好階梯覆蓋率和間隙填充之保形、平滑、無孔的膜。某些示例方法包含少至兩週期之B2
H6
和WCl5
的交替週期,及藉由使用WCl5
的CVD沉積主體的鎢。氯被包含入鎢膜的程度亦係低,且在某些情況下,沒有氯被包含至鎢膜。
圖1係描述根據所描述的實施例執行之操作的處理流程圖。關於圖1描述的方法可被執行於任何腔室壓力。在一些實施例中,腔室壓力係介於約5 Torr和約100 Torr之間,或約40 Torr,儘管較高的壓力(例如:高達大氣壓力)可被使用。
在操作101中,提供一基板。作為一個例子,該基板可為具有一個或多個將填充鎢之特徵部的基板。根據各種不同的實施例,基板的特徵部具有至少約10:1、至少約15:1、至少約20:1、至少約25:1、或至少約30:1的深寬比。此外,根據各種不同的實施例,除了深寬比之外或代替深寬比,特徵部尺寸的特徵在於特徵部的開口尺寸。開口寬度可為從約10 nm至約100 nm,或約10 nm至約50 nm,或約20 nm。例如,在某些實施例中,該方法可與具有窄開口(不管深寬比)的特徵部一起被有利地使用。在某些實施例中,凹入的特徵部係形成於基板上的介電層內,該特徵部的底部提供與下方金屬層的接觸。此外,在某些實施例中,特徵部包含襯墊/阻障層在其側壁及/或底部。襯墊層的例子包含鈦/氮化鈦(Ti/TiN)、TiN、及氮化鎢(WN)。在一些實施例中,基板可包含TiN的沉積層,其使用物理氣相沉積(PVD, physical vapor deposition)或其他合適的技術沉積,使得TiN膜的厚度係介於約100Å和約300Å之間。在一些實施例中,基板可包含TiN的沉積層,其使用ALD沉積至介於約30Å和約35Å之間的厚度。在一些實施例中,TiN層係沉積在裸矽基板上之2000Å的氧化層上。除了擴散阻障層之外或代替擴散阻障層,特徵部可包括諸如黏合層、成核層、其組合、或襯裡於特徵部之側壁及底部的任何其他可適用之材料的層。
在操作103中,基板係曝露於還原劑,且同時減輕還原劑分解。此可表徵為還原劑浸泡。在各種不同的實施例中,還原劑係二硼烷(B2
H6
, diborane)。在操作103中,可用於取代B2
H6
之其他還原劑的例子包括其他硼烷、諸如矽甲烷(SiH4
, silane)的矽烷、和諸如鍺甲烷(GeH4
, germane)的鍺烷。為了在此描述的目的,將用B2
H6
作為例子,但應理解諸如上述的其他還原劑可根據所揭露的實施例被使用。吾人注意,在曝露基板於氯化鎢之前未以還原劑浸泡基板係非常不建議的,且可能導致極少或沒有鎢的沉積。在一些實施例中,使用別於氫氣的還原劑可在後續操作中較佳地促進鎢的增長。不受限於任何特定的理論,吾人相信操作103中之還原劑的浸泡,在後續操作中幫助促進均質、無孔的鎢增長於期望的溫度範圍。作為一個例子,於使用WCl5
或WCl6
之鎢成核期間使用的二硼烷浸泡可幫助促進鎢沉積於少於約500o
C的溫度,且得到良好的階梯覆蓋率。在一些實施例中,還原氣體的曝露包含載體氣體,諸如氮氣(N2
)、氬氣(Ar)、氦氣(He)、氫氣(H2
)、或其他惰性氣體。作為一個例子,35 sccm的還原劑可流動於250 sccm的氬氣中。
基板係曝露於還原劑,且於此操作期間,藉由在低溫(諸如約250oC
和約450oC
之間)執行操作103或藉由稀釋還原劑,減輕該還原劑的分解。雖然操作103可執行於低溫而操作105係如下所述執行於較高的溫度(例如,在約450o
C和約650o
C之間),在某些裝置或製程中,在此兩操作間調整溫度可能行不通。例如:如在此描述的溫度可為基座溫度,其對於在單一工作站或腔室工具中執行的方法,需要一段時間以調整至新的設定溫度。一些所揭露的實施例亦可於多工作站式工具內執行,但在鎢成核層沉積期間移動於工作站之間可能降低生產率。
因此,可藉由稀釋還原劑且同時於高溫(高於約450oC
)執行操作103以減輕分解。還原劑的流量可以多種方式稀釋,包括降低還原劑的流率、減少在操作103中曝露基板於還原劑的持續時間、及以高流率引入氫氣。在各種不同的實施例中,還原劑的流率可為在約100 sccm和約500 sccm之間,例如約300 sccm。在各種不同的實施例中,還原劑的曝露可持續少於約15秒,例如,該曝露可持續在約0.25秒和約10秒之間。
氫氣亦可以高流率流動。氫氣與還原劑流率的比例可為在約5:1和約300:1之間,例如約100:1。例如:高於還原劑的流率至少約100倍。例如:若還原劑係以約300 sccm的流率流動,那麼氫氣可以約30 slm的流率一同流至腔室。
不受限於任何特定的理論,吾人相信於操作103期間,在一些實施例中,元素硼、矽、或鍺的薄層可藉由還原劑的熱分解吸附在基板的表面之上。為了下述例子的目的,將用B2
H6
作為例子,但應理解諸如上述的其他還原劑可根據所揭露的實施例被使用。例如:B2
H6
分解的反應式可為: B2
H6 (g)
à 2 B(s)
+ 3 H2 (g)
在此例子中,大量的氫氣可與B2
H6
一起流動以使反應向左移動,藉此於此操作期間避免B2
H6
分解形成元素硼。例如:氫氣可以高於B2
H6
的流率至少約100倍的流率流至容納基板的腔室,以減少B2
H6
分解為硼。
在圖1的操作105中,基板係曝露於FFW前驅物(諸如氯化鎢(WClx
))從而沉積鎢成核層。氯化鎢可為WCl2
、WCl4
、WCl5
、WCl6
、或其組合。在一些實施例中,操作105可執行於介於約450o
C和約650o
C之間的溫度。在一些實施例中,操作105期間的溫度可為高於操作103期間的溫度。在操作103期間還原劑係未稀釋的情況下,操作103可執行於與操作105相比較低的溫度,以減少還原劑的分解。根據各種不同的實施例,於操作105期間,H2
可能流動或不流動。在一些實施例中,操作105的劑量時間可長於操作103。例如:在一些實施例中,該劑量時間可為操作103中的劑量時間約2-5倍。在一些實施例中,操作105的劑量時間可為介於約1秒和約20秒之間。
如上所述,在一些實施例中,於操作105期間基板係曝露於氯化鎢和H2
。在一些實施例中,亦流動額外的載體氣體。示例的載體氣體包含氮氣(N2
)、氬氣(Ar)、氦氣(He)、或其他惰性氣體。
在操作107中,操作103和105可重覆至少一個額外的週期。一個「週期」可定義為執行操作103,接著執行圖1中的操作105。在許多實施例中,可執行總共兩個週期以沉積成核層。使用在此描述的實施例,每週期鎢的增長速率可介於每週期約1 Å和約5 Å之間。
如上所述,在所揭露的實施例中,減輕或降低還原劑的熱分解。在一些實施例中,操作103和105係執行於不同的溫度,使得在成核過程期間,一個週期的第一步驟係執行於一個溫度,而該週期的第二步驟係執行於高於該第一溫度的另一個溫度。以較低的溫度執行操作103減少還原劑的熱分解,而以較高的溫度執行操作105允許FFW前驅物具有足夠的反應性以形成鎢層。亦即,如上所述關於操作103的第一溫度可低於上述關於操作105的第二溫度。例如:操作103可執行於約300o
C的溫度,而操作105可執行於約450o
C的溫度。在一些實施例中,執行操作103和105的週期或執行每個操作107的第二週期,包含在單一工作站中切換溫度以曝露於還原劑和FFW前驅物。在一些實施例中,執行週期的操作包含從適合曝露於還原劑之溫度的一個工作站轉移基板至適合曝露於FFW前驅物之溫度的第二工作站。
在一些實施例中,操作103和105係執行於同樣的溫度。例如:操作103和105皆可執行於約450oC
。在各種不同的實施例中,當氫氣作為載體氣體流動於操作103期間時,操作103和105係執行於同樣的溫度。在許多實施例中,熱預算係加以觀測,使得此等操作應執行於盡可能低的溫度。
在一些實施例中,操作103和105可執行於同樣的溫度。在這些實施例中,以H2
稀釋B2
H6
以避免額外的硼或含硼層形成於操作103中可能係有利的。
在一個例子中,B2
H6
的氣流係以約35 sccm的流率引入,其使用約250 sccm流率的氬氣作為載體氣體。後續WCl5
或WCl6
的氣流藉由將WCl5
或WCl6
與B2
H6
反應以沉積成核層,可包括以約2000 sccm流動氫氣的同時,使用諸如以約50 sccm流動的氬氣為載體氣體流動WCl5
或WCl6
。基板曝露於B2
H6
接著曝露於WCl5
或WCl6
的步驟可執行兩個週期。
不受限於任何特定的理論,在一些實施例中,吾人相信於操作103期間,元素硼的薄層可藉由上述的熱分解吸附在基板的表面之上。後續WCl5
或WCl6
的引入可接著與存在於基板表面上的硼反應以形成鎢。不受限於任何特定的理論,對於使用WCl5
之鎢的沉積,一個可能的機構可為如下:
吾人觀察到於操作103期間過量的曝露時間,可導致多餘的剩餘元素硼層在基板上,即使在後續曝露於WCl5
或WCl6
之後。操作103及105的條件可改變以藉由WCl5
或WCl6
達到硼的完全消耗。由於使用如上述之B2
H6
和WCl5
或WCl6
的PNL技術而增加的鎢沉積速率,在藉由CVD沉積主體的鎢之前,少至兩個成核週期可被使用以沉積足夠的鎢成核層。
回到圖1,在操作109中,鎢主體的填充係藉由曝露基板於FFW前驅物和還原劑使用CVD沉積。在CVD反應期間,示例基板溫度係低至450oC
且可為高至650oC
。在某些實施例中,FFW前驅物係含鹵素的化合物,諸如WCl5
或WCl6
。在某些實施例中,還原劑係氫氣,雖然包括矽烷、硼烷、及鍺烷的其他還原劑可被使用。在一些實施例中,CVD可在各種不同的階段中實行,諸如低溫階段及高溫階段。在某些實施例中,CVD操作可發生在多個階段中,其中多個反應物之連續及同時氣流的多個週期係由將一個或多個反應物氣流轉向之週期加以分隔開。
惰性的載體氣體可用以遞送該等反應物氣流其中一者以上,其可或可不預先混和。在各種不同的實施例中,前驅物係使用氬氣為載體氣體引入。其它的載體氣體可被合適地使用。惰性氣體,諸如氬氣或其他氣體(諸如氮氣)或其組合,可與還原氣體或WCl5
或WCl6
氣體同時提供而作為背景氣體。在一些實施例中,背景氣體氣流係連續的,亦即,整個操作103至109,其係無法打開及關閉。
不像PNL或ALD製程,操作109通常可包含持續地引入反應物直到沉積期望的量。在某些實施例中,CVD操作可發生在多個階段中,其中多個反應物之連續及同時流動的多個週期係以一個以上轉向之反應物流動的週期加以分隔開。氣流亦可以介於約1秒至約2秒的脈衝時間脈衝輸送。在一些實施例中,反應物係以介於約400秒至約600秒之間的時間持續地流動。於CVD沉積期間,腔室壓力的示例範圍可從約10 Torr至約500 Torr,或約40 Torr。
在某些實施例中,從操作103轉變至操作105包含在多重工作站腔室中從一個沉積工作站移動基板至另一個工作站。更進一步,操作103、操作105、及操作109的各個操作可執行於同個多重工作站腔室的不同的工作站中。
在替代的實施例中,單一工作站係用來執行操作103和105,從操作103轉變為操作105可包含調整還原劑和氫氣的流量,或可包含在提升基板溫度的同時關閉還原劑的氣流(選擇性地允許氫氣或其他載體氣體通過)。一旦基板溫度係穩定,FFW前驅物及其他氣體(如有必要)係流進反應腔室以進行鎢沉積。
在一些實施例中,諸如氮化鎢(WN)層的阻障層可使用WCl5
或WCl6
為前驅物沉積在氧化物表面上。例如:氮化鎢層可由流動氨(NH3
)接著流動WCl5
或WCl6
而加以沉積,從而形成WN層。在一些實施例中,藉由流動WCl5
或WCl6
沉積的鎢層係曝露於氨(NH3
)以形成氮化鎢(WN)的阻障層。 裝置
任何合適的腔室可用以執行所揭露的實施例。示例的沉積裝置包括各種不同的系統,例如:由Lam Research Corp., of Fremont, California市售的ALTUS®
及 ALTUS®
Max、或任何各種其他市售的處理系統。該製程可並行執行在多個沉積工作站中。
在一些實施例中,鎢成核製程係執行於第一工作站,其係位於單一沉積腔室內之兩個、五個、或甚至更多個沉積工作站的其中一者。在一些實施例中,成核製程的兩個步驟係執行於一沉積腔室的兩個不同的工作站中。例如:基板可在使用個別氣體供應系統的第一工作站中曝露於二硼烷(B2
H6
),該個別氣體供應系統在基板表面產生局部氛圍;且接著,基板可被轉移至第二工作站以曝露於無氟的鎢(FFW)前驅物,諸如氯化鎢(例如:五氯化鎢(WCl5
)或六氯化鎢(WCl6
))以沉積成核層。在一些實施例中,基板可接著被轉移回第一工作站進行還原劑的第二次曝露。接著,基板可轉移至第二工作站曝露於WCl5
或WCl6
,以完成鎢成核作用,以及在相同或不同的工作站中繼續進行主體的鎢沉積。在一些實施例中,成核製程係執行於沉積腔室的單一工作站中。例如:還原劑可在工作站中與高流率的氫氣一起流動,且FFW前驅物可隨後引入至相同的工作站以在選用的沖洗之後與還原劑反應。一個或多個工作站可接著用來執行所述之化學氣相沉積(CVD)。兩個或多個工作站可用來在平行處理中執行CVD。或者,晶圓可被分度,致使CVD操作依序執行於兩個或多個工作站。
圖2係適合執行根據所揭露的實施例之鎢薄膜沉積製程之處理系統的方塊圖。系統200包含傳送模組203。該傳送模組203提供乾淨的加壓環境,以當被處理基板移動於各種不同的反應器模組之間時,使被處理基板之污染的風險最小化。多重工作站腔室209安裝在傳送模組203上,腔室209係可執行根據所揭露之實施例的PNL沉積和CVD。腔室209可包含多個工作站211、213、215、和217,其可依序執行這些操作。例如:腔室209可配置成使得工作站211和213執行PNL沉積,而工作站215和217執行CVD。每個沉積工作站包含加熱晶圓基座及噴淋頭、分散板或其他進氣口。在一些實施例中,工作站211可用以使用還原劑和FFW前驅物之交替的脈衝沉積鎢成核層,且同時於還原劑以高流率脈衝輸送期間流動氫氣,而工作站213係用以使用氫氣和FFW前驅物執行CVD。沉積工作站300的一個例子係描繪於圖3,包含晶圓支撐部302和噴淋頭303。加熱器可設置在基座部分301之中。
在傳送模組203上亦可安裝一個或多個單一或多重工作站的模組207,其可執行電漿或化學(非電漿)之預清潔。該模組亦可用於各種不同的其他處理,例如:還原劑浸泡。系統200亦包含一個或多個(在此例子兩個)晶圓源模組201,其在處理之前和之後儲存晶圓。常壓傳送腔室219中的常壓機器人(atmospheric robot)(未顯示)首先從源模組201調動晶圓至裝載鎖定部(loadlock)221。傳送模組203內的晶圓傳送裝置(通常為機械手臂單元)從裝載鎖定部221移動晶圓至安裝於傳送模組203上的多個模組及移動於該多個模組之間。
在某些實施例中,系統控制器229係於沉積期間用於控制製程條件。控制器229通常包含一個或多個記憶裝置及一個或多個處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
控制器229可控制沉積裝置的所有活動。系統控制器229執行系統控制軟體,包含控制下述的指令集:時序、氣體混合、氣體流率、腔室壓力、腔室溫度、晶圓溫度、射頻(RF, radio frequency)功率位準(若使用)、晶圓卡盤或基座位置、及特殊製程的其他參數。儲存於記憶裝置關於控制器的其他電腦軟體可在一些實施例中使用。
通常,具有關於控制器229的使用者介面。該使用者介面可包括顯示螢幕、裝置及/或製程條件的圖形軟體顯示、及使用者輸入裝置諸如指向裝置、鍵盤、觸控螢幕、麥克風等。
系統控制邏輯可以任何適合的方式配置。通常,邏輯可被設計或配置於硬體及/或軟體中。控制驅動電路的指令可為硬編碼或被提供作為軟體。該指令可由「程式設計」提供。此程式設計係被理解為包括任何形式的邏輯,包含在數位訊號處理器、特殊應用積體電路、及其他具有實現為硬體之特定演算法之裝置中的硬編碼邏輯。程式設計亦係被理解為包含可在通用處理器上執行的軟體或韌體指令。系統控制軟體可以任何適合的電腦可讀程式設計語言編碼。或者,控制邏輯可硬編碼在控制器229上。特殊應用積體電路、可程式邏輯裝置(例如:現場可程式閘陣列(FPGAs, field-programmable gate arrays))等可用於這些目的。在以下的討論中,無論「軟體」或「編碼」於何處使用,功能上相當的硬編碼邏輯可在這些地方使用。
在製程序列中控制沉積和其他製程的電腦程式碼可以任何傳統的電腦可讀程式設計語言撰寫:例如,組合語言、C、C++、巴斯卡(Pascal)、福傳(Fortran)、或其他。編譯的目的碼或腳本係由處理器實行以執行在程式中所確定的任務。
控制器參數涉及製程條件,例如:處理氣體成分和流率、溫度、壓力、電漿條件(諸如RF功率位準和低頻之RF頻率)、冷卻氣體壓力、及腔室壁溫度。這些參數係以配方的形式提供給使用者,且可利用使用者介面輸入。
監測製程的訊號可由系統控制器229的類比及/或數位輸入連接提供。控制製程的訊號係輸出在沉積裝置的類比和數位輸出連接上。
在一些實施方式中,控制器229為系統的一部分,其可為上述例子的一部分。此等系統可包括半導體處理設備,包含一個以上處理工具、一個以上腔室、用於處理的一個以上平臺,及/或特定處理元件(晶圓基座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制該一個以上系統之各種不同的元件或子部分。依據系統的處理需求及/或類型,控制器229可被編程以控制任何在此揭露的製程,包括:處理氣體的運送、溫度設定(例如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體運送設定、位置及操作設定、出入一工具和其他轉移工具及/或與特定系統連接或介接的裝載鎖定部之晶圓轉移。
廣義地說,控制器229可定義為電子設備,其具有各種不同的積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清洗操作、啟用端點量測等。積體電路可包含儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或一個或多個微處理器、或執行程式指令(例如軟體)的微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器229通訊的指令,該等設定定義對於半導體晶圓或系統執行特殊製程的操作參數。在一些實施例中,該操作參數可為由製程工程師定義之配方的部分,以在一個或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒製造期間完成一個或多個製程步驟。
在一些實施方式中,控制器229可為電腦的一部分或耦接至電腦,該電腦係與系統整合、連接,或以其他方式網路連至系統,或其組合。例如:控制器229可為在「雲端」或晶圓廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監測製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數個製造操作的趨勢或性能度量,以改變目前處理的參數、以設定目前操作之後的處理步驟、或啟動新的製程。在一些例子中,遠程電腦(例如:伺服器)可經由網路提供製程配方給系統,該網路可包括區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些例子中,控制器229接收數據形式的指令,該數據明確指定於一個或多個操作期間將被執行之各個處理步驟的參數。應理解參數可專門用於將執行之製程的類型和配置控制器229以介接或控制之工具的類型。因此,如上所述,控制器229可為分散式的,諸如藉由包含一個或多個分散的控制器,其由網路連在一起且朝共同的目標(諸如在此描述的製程和控制)作業。一個用於此目的之分散式控制器的例子將為腔室上的一個或多個積體電路,連通位於遠端(諸如在平台級或作為遠程電腦的一部分)的一個或多個積體電路,其結合以控制腔室中之製程。
示例系統可不受限制地包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-清洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE, atomic layer etch)腔室或模組、離子植入腔室或模組、軌道(track)腔室或模組、及任何其他可關聯或使用於半導體晶圓的製造及/或生產中的半導體處理系統。
如上所述,依據將由工具執行的一個以上製程步驟,控制器229可與下述通訊:一個或多個其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,該用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或負載端。
系統軟體可以許多不同的方式設計或配置。例如:各種不同腔室元件的副程式(subroutine)或控制物件可被撰寫,以控制執行本發明之沉積製程必須的腔室元件之操作。為了此目的之程式或程式部分的示例包含基板定位碼、處理氣體控制碼、壓力控制碼、加熱器控制碼、及電漿控制碼。
基板定位程式可包含控制腔室元件的程式碼,用以裝載基板到基座或卡盤之上,及用以控制基板和腔室其他部分(諸如進氣口及/或目標物)之間的間距。處理氣體控制程式可包含程式碼,用於控制氣體成分和流率,及選用性地用於在沉積之前流動氣體進入腔室以使腔室內的氣壓穩定。壓力控制程式可包含程式碼,用於藉由調節如腔室排氣系統內的節流閥而控制腔室內的壓力。加熱器控制程式可包含控制電流流至用以加熱基板之加熱單元的碼。或者,該加熱器控制程式可控制諸如氦氣的加熱轉移氣體遞送至晶圓卡盤。
於沉積期間可被監測之腔室感測器的例子包括:質量流量控制器,諸如壓力計的壓力感測器、及位於基座或卡盤的熱電偶。適當編程的反饋和控制演算法可與來自這些感測器的資料一起使用以維持期望的製程條件。以上描述在單一或多腔室半導體處理工具內所揭露實施例的實施方式。
以上描述在單一或多腔室半導體處理工具內所揭露實施例的實施方式。在此描述的裝置和製程可結合微影圖案化的工具或製程而使用,例如,半導體裝置、顯示器、LED、太陽光電板等的製造或生產。通常,雖然不一定,此等工具/製程將一起使用或執行於共同的製造設施內。膜的微影圖案化通常包含一些或全部下述步驟,每個步驟以幾個可能的工具提供:(1)工件(亦即基板)上光阻的塗佈,使用旋轉式或噴塗式的工具;(2)光敏劑的固化,使用加熱板或加熱爐或UV固化工具;(3)以諸如晶圓步進機的工具曝露光阻於可見光或UV或x射線光;(4)顯影光阻以便選擇性地移除光阻及從而使其圖案化,使用諸如溼檯的工具;(5)藉由使用乾式或電漿輔助蝕刻工具轉移光阻圖案進入底膜或工件;及(6)使用諸如RF或微波電漿光阻剝除器的工具移除光阻。 實驗 實驗1
實行一個實驗:量測根據所揭露的實施例所沉積之鎢膜的沉積速率。具有15-20 Å厚之成核層的基板係在450oC
和60 Torr下曝露於WCl5
和H2
,以藉由化學氣相沉積(CVD)沉積鎢。另一個具有15-20 Å厚之成核層的基板係在450oC
和60 Torr下曝露於WCl6
和B2
H6
。將沉積速率平均並繪於圖4。
圖4中的實線代表以WCl5
沉積鎢的平均沉積速率。圖4中的虛線代表以WCl6
沉積鎢的平均沉積速率。沉積速率係對前驅物的濃度作圖。注意對於WCl6
,隨著前驅物的濃度增加,CVD沉積速率最終降至約0.3-0.4%的濃度,表示在這些濃度時,在基板上WCl6
係蝕刻膜多於沉積膜。WCl6
的此閾值特性可能限制其以高沉積速率沉積鎢的應用。相比之下,WCl5
係顯示為持續增加前驅物的濃度時,高達7.00 Å/秒之較高的沉積速率在濃度約0.8%處。雖然WCl5
的沉積速率係預期到達最大的閾值且由此WCl5
開始蝕刻基板而非沉積膜,但這些結果顯示對於沉積鎢膜,WCl5
比WCl6
可為更可行的選擇。 實驗2
實行一個製程以評估鎢特徵部之填充物的階梯覆蓋率,該填充物使用六氯化鎢(WCl6
)為前驅物沉積。提供基板,該基板具有25 nm關鍵尺寸的特徵部。根據所揭露的實施例,使用鎢成核作用和化學氣相沉積(CVD)沉積鎢。使用以下的製程條件:
每個成核週期包含二硼烷(B2
H6
)的曝露,接著以不同於該B2
H6
曝露之溫度的溫度進行WCl6
的曝露。鎢成核製程係使用兩個週期(B2
H6
/WCl6
/B2
H6
/WCl6
)執行。接著,鎢之主體填充物由使用WCl6
為前驅物的CVD沉積。吾人相信在上面的例子中,第二週期之WCl6
/H2
的部分包含:藉由WCl6
與吸附的硼或含硼化合物之基於表面反應的成核作用,及後續藉由H2
的WCl6
的CVD還原作用兩者以形成主體層。所得之沉積的鎢顯示極佳的階梯覆蓋率及完整的栓塞填充,如顯示於圖5中介層窗的示意性圖示。如圖所示,圖5顯示鎢主體層501在鎢成核或可能的硼化鎢層(未顯示)的頂部上。在鎢下方係元素硼的30 Å薄層503,該元素硼可能來自二硼烷的最初曝露。在硼層之下係25 Å的TiN阻障層505。注意在一些情況下,硼層可能不形成。雖然存在硼的薄層,但結果顯示對於使用B2
H6
浸泡的鎢沉積,WCl6
作為前驅物的有效使用。 結論
雖然上述實施例為了清楚理解的目的已以一些細節描述,但顯然地,某些改變和修飾可在隨附申請專利範圍之範疇內實施。應注意有許多替代方式執行本發明實施例的製程、系統、和裝置。因此,本發明實施例係被視為說明性而非限制性,及該實施例係非限制在此給出的細節。
200‧‧‧系統
201‧‧‧源模組
203‧‧‧傳送模組
207‧‧‧模組
209‧‧‧反應器
211‧‧‧工作站
213‧‧‧工作站
215‧‧‧工作站
217‧‧‧工作站
219‧‧‧常壓傳送腔室
221‧‧‧裝載鎖定部
229‧‧‧控制器
300‧‧‧工作站
301‧‧‧基座部分
302‧‧‧晶圓支撐部
303‧‧‧噴淋頭
501‧‧‧鎢主體層
503‧‧‧薄層
505‧‧‧阻障層
圖1係根據所揭露的實施例執行之操作的製程流程圖。
圖2係適合執行所揭露之實施例的裝置或工具之示意圖。
圖3係執行所揭露之實施例的處理腔室之示意圖。
圖4係沉積速率之實驗數據的圖。
圖5係根據由執行所揭露之實施例進行的實驗,以鎢填充之介層窗的示意圖。
Claims (18)
- 一種在基板上沉積鎢的方法,該方法包含:曝露該基板於一還原劑和氫氣,及曝露該基板於氯化鎢以沉積鎢,及週期性地重複曝露該基板於該還原劑和氫氣、曝露該基板於氯化鎢以沉積鎢其中,在曝露該基板於該還原劑和氫氣期間,氫氣流率與還原劑流率的比例係介於約10:1和約100:1之間。
- 如申請專利範圍第1項之在基板上沉積鎢的方法,其中,該氯化鎢係選自由WCl2、WCl4、WCl5、WCl6、及其混合物組成的群組。
- 一種在基板上沉積鎢的方法,該方法包含:曝露該基板於一還原劑和氫氣,及曝露該基板於氯化鎢以沉積鎢,及週期性地重複曝露該基板於該還原劑和氫氣、曝露該基板於氯化鎢以沉積鎢,其中,在曝露該基板於該還原劑和氫氣期間,氫氣流率與還原劑流率的比例係介於約10:1和約100:1之間,及其中,該還原劑係選自由硼烷、矽烷、和鍺烷組成的群組。
- 如申請專利範圍第1-3項中任一項之在基板上沉積鎢的方法,其中,該鎢係沉積於介於約450℃和約650℃之間的溫度。
- 如申請專利範圍第1-3項中任一項之在基板上沉積鎢的方法,其中,該還原劑的流率係介於約100sccm和約500sccm之間。
- 如申請專利範圍第1-3項中任一項之在基板上沉積鎢的方法,其中,該基板係曝露於該還原劑介於約0.25和約10秒之間的持續時間。
- 一種沉積鎢的方法,在基板上的特徵部內沉積鎢,該方法包含:在沉積一主體鎢層之前,藉由曝露該特徵部於一稀釋的還原劑和五氯化鎢之交替脈衝,形成一鎢成核層,其中,該還原劑係由流動的氫氣稀釋,且該氫氣流率與該還原劑流率的比例係介於約10:1和約100:1之間。
- 如申請專利範圍第7項之沉積鎢的方法,其中,該等交替脈衝之每週期沉積的鎢量係至少約100Å。
- 如申請專利範圍第7項之沉積鎢的方法,其中,該還原劑係選自由硼烷、矽烷、及鍺烷組成之群組。
- 如申請專利範圍第7-9項中任一項之沉積鎢的方法,更包含使用一含鎢前驅物,沉積一主體鎢層在該鎢成核層上。
- 如申請專利範圍第10項之沉積鎢的方法,其中,該含鎢前驅物係選自由WF6、WCl2、WCl4、WCl5、WCl6、及其混合物組成之群組。
- 一種沉積鎢的方法,在半導體基板上沉積鎢,該方法包含:藉由施予五氯化鎢和一還原劑脈衝及減輕該還原劑的分解,沉積一鎢成核層,及使用五氯化鎢,沉積一鎢主體層,其中,減輕該還原劑的分解,係藉由以高於該還原劑的流率至少約10倍的流率引入氫氣。
- 如申請專利範圍第12項之沉積鎢的方法,其中,減輕該還原劑的分解係藉由稀釋該還原劑的流量。
- 如申請專利範圍第12項之沉積鎢的方法,其中,減輕該還原劑的分解,係藉由以低於施予該五氯化鎢脈衝時的溫度之一溫度施予該還原劑脈衝。
- 如申請專利範圍第12-14項中任一項之沉積鎢的方法,其中,該還原劑係選自由矽烷、硼烷、及鍺烷組成之群組。
- 一種在基板上沉積鎢的方法,該方法包含:(a)以一第一溫度曝露該基板於一還原劑,及(b)以一第二溫度曝露該基板於一無氟的鎢前驅物,其中,該第一溫度係低於該第二溫度,且其中,該還原劑係由流動的氫氣稀釋,且該氫氣流率與該還原劑流率的比例係介於約10:1和約100:1之間。
- 一種處理基板的裝置,該裝置包含:(a)至少一處理腔室,包含配置以支撐一基板的一基座;(b)至少一出口,用於耦接至一真空;(c)一或多個處理氣體進氣口,耦接至一或多個處理氣體源;及(d)一控制器,用於控制該裝置內的操作,包含下述之機器可讀指令:(i)引入一還原劑和氫氣至該處理腔室;(ii)引入一無氟的鎢前驅物至該處理腔室;及(iii)在一第一階段中重覆(i)-(ii)以沉積一鎢成核層,其中,在(i)期間,該氫氣流率與該還原劑流率的比例係介於約10:1和約100:1之間。
- 如申請專利範圍第17項之處理基板的裝置,其中,該無氟的鎢前驅物係選自由WCl2、WCl4、WCl5、WCl6、及其混合物組成之群組。
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201461991356P | 2014-05-09 | 2014-05-09 | |
US61/991,356 | 2014-05-09 | ||
US201462075092P | 2014-11-04 | 2014-11-04 | |
US62/075,092 | 2014-11-04 | ||
US14/703,732 US9595470B2 (en) | 2014-05-09 | 2015-05-04 | Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor |
US14/703,732 | 2015-05-04 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201606121A TW201606121A (zh) | 2016-02-16 |
TWI730942B true TWI730942B (zh) | 2021-06-21 |
Family
ID=54368489
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW104114532A TWI730942B (zh) | 2014-05-09 | 2015-05-07 | 利用氯化鎢前驅物製備鎢及氮化鎢薄膜的方法 |
Country Status (5)
Country | Link |
---|---|
US (1) | US9595470B2 (zh) |
JP (1) | JP6799903B2 (zh) |
KR (2) | KR20150128615A (zh) |
CN (1) | CN105097446A (zh) |
TW (1) | TWI730942B (zh) |
Families Citing this family (39)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9953984B2 (en) | 2015-02-11 | 2018-04-24 | Lam Research Corporation | Tungsten for wordline applications |
JP6416679B2 (ja) * | 2015-03-27 | 2018-10-31 | 東京エレクトロン株式会社 | タングステン膜の成膜方法 |
US9978605B2 (en) | 2015-05-27 | 2018-05-22 | Lam Research Corporation | Method of forming low resistivity fluorine free tungsten film without nucleation |
US9595473B2 (en) | 2015-06-01 | 2017-03-14 | International Business Machines Corporation | Critical dimension shrink through selective metal growth on metal hardmask sidewalls |
CN106328500B (zh) * | 2015-07-02 | 2019-11-05 | 无锡华润上华科技有限公司 | 钨膜的沉积方法 |
US9768177B2 (en) * | 2015-08-04 | 2017-09-19 | Micron Technology, Inc. | Method of forming conductive material of a buried transistor gate line and method of forming a buried transistor gate line |
JP6710089B2 (ja) * | 2016-04-04 | 2020-06-17 | 東京エレクトロン株式会社 | タングステン膜の成膜方法 |
US10214807B2 (en) * | 2016-06-02 | 2019-02-26 | Lam Research Corporation | Atomic layer deposition of tungsten for enhanced fill and reduced substrate attack |
KR102266610B1 (ko) | 2016-07-14 | 2021-06-21 | 엔테그리스, 아이엔씨. | MoOCl4의 사용에 의한 CVD Mo 증착 |
US10573522B2 (en) | 2016-08-16 | 2020-02-25 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US9991362B2 (en) * | 2016-09-30 | 2018-06-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including tungsten gate and manufacturing method thereof |
US11427479B2 (en) | 2016-12-05 | 2022-08-30 | Jx Nippon Mining & Metals Corporation | High purity tungsten pentachloride and production method thereof |
WO2018138150A1 (de) * | 2017-01-25 | 2018-08-02 | Umicore Ag & Co. Kg | Verfahren zur reduktion von metallhalogeniden |
WO2018191183A1 (en) | 2017-04-10 | 2018-10-18 | Lam Research Corporation | Low resistivity films containing molybdenum |
US10460987B2 (en) * | 2017-05-09 | 2019-10-29 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor package device with integrated antenna and manufacturing method thereof |
KR102474876B1 (ko) | 2017-06-15 | 2022-12-07 | 삼성전자주식회사 | 텅스텐 전구체 및 이를 이용한 텅스텐 함유막의 형성 방법 |
US10199267B2 (en) * | 2017-06-30 | 2019-02-05 | Lam Research Corporation | Tungsten nitride barrier layer deposition |
SG11202001268TA (en) * | 2017-08-14 | 2020-03-30 | Lam Res Corp | Metal fill process for three-dimensional vertical nand wordline |
CN110831950B (zh) | 2017-08-21 | 2022-08-23 | 株式会社Adeka | 钨化合物、薄膜形成用原料和薄膜的制造方法 |
CN111357083A (zh) * | 2017-11-20 | 2020-06-30 | 朗姆研究公司 | 自限制生长 |
JP7018748B2 (ja) * | 2017-11-28 | 2022-02-14 | 東京エレクトロン株式会社 | 成膜方法及び成膜条件の算出方法 |
JP7072399B2 (ja) * | 2018-02-21 | 2022-05-20 | 東京エレクトロン株式会社 | タングステン膜の成膜方法、成膜システム及び記憶媒体 |
US10899630B2 (en) | 2018-04-30 | 2021-01-26 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude | Tungsten pentachloride conditioning and crystalline phase manipulation |
US10710896B2 (en) | 2018-04-30 | 2020-07-14 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Tungsten pentachloride conditioning and crystalline phase manipulation |
CN112262457A (zh) * | 2018-05-03 | 2021-01-22 | 朗姆研究公司 | 在3d nand结构中沉积钨和其他金属的方法 |
CN113169056A (zh) | 2018-11-19 | 2021-07-23 | 朗姆研究公司 | 用于钨的钼模板 |
US11972952B2 (en) | 2018-12-14 | 2024-04-30 | Lam Research Corporation | Atomic layer deposition on 3D NAND structures |
KR20210077797A (ko) * | 2018-12-19 | 2021-06-25 | 엔테그리스, 아이엔씨. | 환원성 공-반응물의 존재 하에 텅스텐 또는 몰리브데넘 층을 증착시키는 방법 |
US11970776B2 (en) | 2019-01-28 | 2024-04-30 | Lam Research Corporation | Atomic layer deposition of metal films |
JP7362258B2 (ja) * | 2019-02-08 | 2023-10-17 | 東京エレクトロン株式会社 | 基板処理方法及び成膜システム |
EP3931863A4 (en) | 2019-02-25 | 2023-04-26 | Board of Regents, The University of Texas System | LARGE SURFACE METROLOGY AND PROCESS CONTROL FOR ANISOTROPIC CHEMICAL ETCHING |
KR20210127262A (ko) | 2019-03-11 | 2021-10-21 | 램 리써치 코포레이션 | 몰리브덴-함유 막들의 증착을 위한 전구체들 |
JP2022522226A (ja) * | 2019-04-11 | 2022-04-14 | ラム リサーチ コーポレーション | 高ステップカバレッジのタングステン堆積 |
CN113874545A (zh) * | 2019-05-22 | 2021-12-31 | 朗姆研究公司 | 无成核的钨沉积 |
JP2022544931A (ja) * | 2019-08-12 | 2022-10-24 | ラム リサーチ コーポレーション | タングステン堆積 |
US20210384035A1 (en) * | 2020-06-04 | 2021-12-09 | Applied Materials, Inc. | Fluorine-Free Tungsten ALD And Tungsten Selective CVD For Dielectrics |
US11932935B2 (en) * | 2021-05-07 | 2024-03-19 | Entegris, Inc. | Deposition process for molybdenum or tungsten materials |
US11842925B2 (en) | 2022-01-19 | 2023-12-12 | Nanya Technology Corporation | Method for fabricating conductive feature and semiconductor device |
TWI817445B (zh) * | 2022-01-19 | 2023-10-01 | 南亞科技股份有限公司 | 導電特徵及半導體元件的製備方法 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20130168864A1 (en) * | 2001-05-22 | 2013-07-04 | Sang-Hyeob Lee | Method for producing ultra-thin tungsten layers with improved step coverage |
US20140120723A1 (en) * | 2012-10-26 | 2014-05-01 | Xinyu Fu | Methods for depositing fluorine/carbon-free conformal tungsten |
Family Cites Families (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS61224313A (ja) * | 1985-03-29 | 1986-10-06 | Hitachi Ltd | 気相薄膜成長方法 |
JP2829143B2 (ja) * | 1991-03-25 | 1998-11-25 | シャープ株式会社 | 半導体装置の製造方法 |
CN1115723C (zh) * | 1996-11-15 | 2003-07-23 | 三星电子株式会社 | 氮化钨层制造方法及使用同样原理的金属连线制造方法 |
US6162715A (en) * | 1997-06-30 | 2000-12-19 | Applied Materials, Inc. | Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride |
US7405158B2 (en) * | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US20030190424A1 (en) | 2000-10-20 | 2003-10-09 | Ofer Sneh | Process for tungsten silicide atomic layer deposition |
US20020190379A1 (en) * | 2001-03-28 | 2002-12-19 | Applied Materials, Inc. | W-CVD with fluorine-free tungsten nucleation |
US7211144B2 (en) * | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
CN101308794B (zh) * | 2007-05-15 | 2010-09-15 | 应用材料股份有限公司 | 钨材料的原子层沉积 |
KR101559425B1 (ko) | 2009-01-16 | 2015-10-13 | 삼성전자주식회사 | 반도체 소자의 제조 방법 |
US8119527B1 (en) * | 2009-08-04 | 2012-02-21 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US9034768B2 (en) * | 2010-07-09 | 2015-05-19 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US20120003833A1 (en) * | 2010-07-01 | 2012-01-05 | Applied Materials, Inc. | Methods for forming tungsten-containing layers |
KR101990051B1 (ko) * | 2012-08-31 | 2019-10-01 | 에스케이하이닉스 주식회사 | 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법 |
US8853080B2 (en) * | 2012-09-09 | 2014-10-07 | Novellus Systems, Inc. | Method for depositing tungsten film with low roughness and low resistivity |
WO2014052642A1 (en) * | 2012-09-28 | 2014-04-03 | Advanced Technology Materials, Inc. | Fluorine free tungsten ald/cvd process |
US20150348840A1 (en) | 2014-05-31 | 2015-12-03 | Lam Research Corporation | Methods of filling high aspect ratio features with fluorine free tungsten |
-
2015
- 2015-05-04 US US14/703,732 patent/US9595470B2/en active Active
- 2015-05-07 TW TW104114532A patent/TWI730942B/zh active
- 2015-05-08 JP JP2015095549A patent/JP6799903B2/ja active Active
- 2015-05-08 KR KR1020150064578A patent/KR20150128615A/ko not_active IP Right Cessation
- 2015-05-11 CN CN201510236179.5A patent/CN105097446A/zh active Pending
-
2023
- 2023-03-31 KR KR1020230043083A patent/KR102641077B1/ko active IP Right Grant
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20130168864A1 (en) * | 2001-05-22 | 2013-07-04 | Sang-Hyeob Lee | Method for producing ultra-thin tungsten layers with improved step coverage |
US20140120723A1 (en) * | 2012-10-26 | 2014-05-01 | Xinyu Fu | Methods for depositing fluorine/carbon-free conformal tungsten |
Also Published As
Publication number | Publication date |
---|---|
CN105097446A (zh) | 2015-11-25 |
US9595470B2 (en) | 2017-03-14 |
KR20230050290A (ko) | 2023-04-14 |
JP2015221940A (ja) | 2015-12-10 |
KR20150128615A (ko) | 2015-11-18 |
KR102641077B1 (ko) | 2024-02-27 |
US20150325475A1 (en) | 2015-11-12 |
TW201606121A (zh) | 2016-02-16 |
JP6799903B2 (ja) | 2020-12-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI730942B (zh) | 利用氯化鎢前驅物製備鎢及氮化鎢薄膜的方法 | |
KR102572271B1 (ko) | 몰리브덴을 함유하는 저 저항률 막들 | |
US10546751B2 (en) | Forming low resistivity fluorine free tungsten film without nucleation | |
TWI707973B (zh) | 在基板上沉積鎢的方法及裝置 | |
TW202020203A (zh) | 純金屬膜的沉積 | |
JP2017008412A (ja) | 順次cvdプロセスによる低フッ素タングステンの堆積 | |
JP2017008412A5 (zh) | ||
CN113366144B (zh) | 金属膜的沉积 | |
KR20210092840A (ko) | 3d nand 구조체 상의 원자 층 증착 | |
KR20220129105A (ko) | 텅스텐을 위한 몰리브덴 템플릿들 | |
TW201920748A (zh) | 氮化鎢阻障層沉積 | |
TW202104638A (zh) | 高階梯覆蓋率鎢沉積 | |
TW202317798A (zh) | 鉬的沉積 | |
JP2024534326A (ja) | 半導体処理の間のプロセスガスランプ |