KR102266610B1 - MoOCl4의 사용에 의한 CVD Mo 증착 - Google Patents

MoOCl4의 사용에 의한 CVD Mo 증착 Download PDF

Info

Publication number
KR102266610B1
KR102266610B1 KR1020197003968A KR20197003968A KR102266610B1 KR 102266610 B1 KR102266610 B1 KR 102266610B1 KR 1020197003968 A KR1020197003968 A KR 1020197003968A KR 20197003968 A KR20197003968 A KR 20197003968A KR 102266610 B1 KR102266610 B1 KR 102266610B1
Authority
KR
South Korea
Prior art keywords
molybdenum
substrate
vapor
moocl
diborane
Prior art date
Application number
KR1020197003968A
Other languages
English (en)
Other versions
KR20190028743A (ko
Inventor
토머스 에이치 바움
필립 에스에이치 천
로버트 라이트
브라이언 헨드릭스
솽 멍
리처드 앗시온
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Publication of KR20190028743A publication Critical patent/KR20190028743A/ko
Application granted granted Critical
Publication of KR102266610B1 publication Critical patent/KR102266610B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Catalysts (AREA)

Abstract

기상 증착 조건하에 기판을 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기와 접촉시켜, 기판 상에 몰리브데넘-함유 물질을 증착시키는 것인, 기판 상의 몰리브데넘-함유 물질의 형성 방법이 기재된다. 다양한 구현에서, 기판의 디보란 접촉은, 예를 들어 펄스형 CVD와 같은 화학 기상 증착 (CVD) 기법에 의한, 몰리브데넘의 후속적 벌크 증착에 유리한 핵생성 조건을 설정하기 위해 사용될 수 있다.

Description

MoOCl4의 사용에 의한 CVD Mo 증착
본 개시내용은 몰리브데넘-함유 물질의 기상 증착에 관한 것이다. 특히, 배타적이지는 않지만, 본 개시내용은 이러한 증착을 위한 전구체로서의 몰리브데넘 옥시테트라클로라이드 (MoOCl4)의 용도에 관한 것이다.
매우 높은 융점, 낮은 열팽창 계수, 낮은 저항률, 및 높은 열전도도의 특성으로 인해, 몰리브데넘은 확산 배리어, 전극, 포토마스크, 전력 전자 기판, 저-저항률 게이트, 및 인터커넥트에서의 사용을 포함하여, 반도체 디바이스의 제조에 점점 더 사용되고 있다.
이러한 유용성은 증착된 막의 높은 정합성 및 효율적인 대량 제조 작업을 수용하기 위한 높은 증착 속도를 특징으로 하는 이러한 적용을 위해 몰리브데넘 막의 증착을 달성하려는 노력을 촉발시켰다. 이것은 결국 기상 증착 작업에 유용한 개선된 몰리브데넘 공급원 시약뿐만 아니라, 이러한 시약을 이용한 개선된 공정 흐름을 개발하려는 노력에 정보를 제공하였다.
몰리브데넘 펜타클로라이드는 몰리브데넘-함유 물질의 화학 기상 증착을 위한 몰리브데넘 공급원으로서 가장 통상적으로 사용된다. 그러나, 효율적인 대량 제조 작업을 수용하기 위해 더 높은 증착 속도로 몰리브데넘-함유 물질의 증착을 달성할 필요가 있다.
요약
본 개시내용은 몰리브데넘-함유 물질의 기상 증착, 및 보다 구체적으로는 이러한 기상 증착을 위한 공급원 시약으로서의 몰리브데넘 옥시테트라클로라이드 (MoOCl4)의 용도, 뿐만 아니라 공급원 시약으로서 몰리브데넘 옥시테트라클로라이드 (MoOCl4)를 사용하는 방법 및 디바이스에 관한 것이다.
한 측면에서, 개시내용은 기상 증착 조건하에 기판을 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기와 접촉시켜, 기판 상에 몰리브데넘-함유 물질을 증착시키는 것을 포함하는, 기판 상의 몰리브데넘-함유 물질의 형성 방법에 관한 것이다.
다양한 실시양태에서, 개시내용은 기판 상에 핵생성 표면을 설정하는 접촉 조건하에 기판을 디보란과 접촉시키고, 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 전구체를 이용하는 기상 증착 공정에 의해 핵생성 표면 상에 몰리브데넘을 증착시켜, 기판 상에 몰리브데넘-함유 물질을 생성하는 것을 포함하는, 기판 상의 몰리브데넘-함유 물질의 형성 방법에 관한 것이다.
개시내용의 다른 측면, 특징 및 실시양태는 이하의 설명 및 첨부된 청구범위로부터 보다 완벽하게 명백해질 것이다.
도 1은 몰리브데넘 옥시테트라클로라이드의 열중량 분석 (TGA)의 그래프이다.
도 2는 본 개시내용의 한 실시양태에 따라 증착된 몰리브데넘-함유 물질을 포함하는 반도체 디바이스 구조의 개략적 단면 입면도이다.
도 3은 실시예 1로부터의 결과를 보여주는 몰리브데넘 옥시테트라클로라이드 (MoOCl4)/수소 (H2) 증착 곡선을 나타낸다.
도 4는 실시예 1에 따른 MoOCl4/H2 공정에 의한 몰리브데넘의 증착에 대한 두께의 함수로서의 저항률의 그래프이다.
도 5 및 도 6은 실시예 2에 따라 형성된 증착된 몰리브데넘 막의 주사 전자 현미경사진 (SEM) 이미지이다.
도 7은 실시예 3에 따른 MoOCl4/H2 공정에 의한 몰리브데넘의 증착에 대한 증착 시간의 함수로서의 몰리브데넘 두께의 그래프이다.
도 8은 실시예 4에 따른 MoOCl4/H2 공정에 의해 수행된 몰리브데넘 증착에 대한 몰리브데넘 두께의 함수로서의 막 저항률의 그래프이다.
도 9는 실시예 5에 따른 몰리브데넘 증착에 대한 실행 번호의 함수로서 증착 속도를 비교한 그래프이다.
도 10은 실시예 6에 따른 몰리브데넘 막 두께의 함수로서 증착된 몰리브데넘 막의 막 저항률을 비교한 그래프이다.
도 11은 실시예 7로부터의 결과를 보여주는 디보란 소킹 시간(soak time)의 함수로서의 몰리브데넘 막 두께의 그래프이다.
도 12 및 13은 실시예 8에서 형성된 막 증착물의 SEM 현미경사진이다.
도 14는 실시예 10에 따른 증착 공정에 대한 디보란 소킹 시간의 함수로서의 몰리브데넘 두께 및 저항률의 그래프이다.
도 15는 실시예 11에 따른 증착 공정에 대한, MoOCl4/H2 노출 시간의 함수로서의 몰리브데넘 두께 및 저항률의 그래프이다.
도 16은 실시예 12에 따라 증착된 몰리브데넘 막의 SEM 이미지이다.
도 17은 실시예 12에 따라 증착된 몰리브데넘 막의 SEM 횡단면 이미지이다.
도 18은 실시예 13에 따른, 디보란 핵생성이 없는 MoOCl4/H2 공정에 대한 반응 속도 제한적 레짐을 보여주는, 스테이지 온도의 함수로서의 몰리브데넘 두께 및 저항률의 그래프이다.
도 19는 실시예 13에 따른, 디보란 핵생성이 있는 MoOCl4/H2 공정에 대한 반응 속도 제한적 레짐을 보여주는, 스테이지 온도의 함수로서의 몰리브데넘 두께 및 저항률의 그래프이다.
도 20은 핵생성이 없이 수행된 것 (Δ), 및 핵생성이 있이 수행된 것 (Ο)으로서, MoOCl4/H2 반응에 대한 활성화 에너지의 아레니우스 도표(Arrhenius plot) (K = A e-Ea/RT )이다.
상세한 설명
본 개시내용은 몰리브데넘의 기상 증착, 및, 예를 들어 우수한 정합성 및 성능 특성을 가진 몰리브데넘 막이 요구되는 반도체 디바이스의 제조에서의 이러한 증착을 위한 몰리브데넘 옥시테트라클로라이드 (MoOCl4)의 용도에 관한 것이다.
본 개시내용에 따르면, 몰리브데넘 옥시테트라클로라이드 (MoOCl4)는 고도의 정합 특성을 가진 낮은 저항률, 높은 증착 속도의 막을 제공하기 위해 화학 기상 증착과 같은 기상 증착 공정에서 발견되었다.
개시내용은 한 측면에서 기상 증착 조건하에 기판을 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기와 접촉시켜, 기판 상에 몰리브데넘-함유 물질을 증착시키는 것을 포함하는, 기판 상의 몰리브데넘-함유 물질의 형성 방법에 관한 것이다.
개시내용의 다양한 실시양태에서, 기판 상의 몰리브데넘-함유 물질의 기상 증착을 위한 전구체로서 몰리브데넘 옥시테트라클로라이드 (MoOCl4)의 사용은 횡단면 주사 전자 현미경 이미징 기법에 의해 결정된 바와 같이, 100% 정합성에 근접하는, 놀랍게도 높은 정도의 정합성을 제공할 수 있다는 것이 밝혀졌다. 유리하게, 몰리브데넘 옥시테트라클로라이드 (MoOCl4)의 (MoOCl4) 증착은 몰리브데넘 펜타클로라이드 (MoCl5)의 증착보다 높은 속도로 진행될 수 있다. 또한, 놀랍게도 몰리브데넘 옥시테트라클로라이드 (MoOCl4)의 구조에 산소가 존재함에도 불구하고, 몰리브데넘-함유 물질은 낮은 저항률 및 산소 함량을 가질 수 있다.
다양한 실시양태에서, 방법은 기판 상에 핵생성 표면을 설정하는 것을 포함하고 상기 기판을 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기와 접촉시키는 것은 기판의 핵생성 표면을 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기와 접촉시켜 기판 상에 몰리브데넘-함유 물질을 증착시키는 것을 포함한다.
핵생성 표면은 보다 저온에서 기판 상의 저 저항률 몰리브데넘-함유 물질의 증착을 유리하게 촉진시킬 수 있다.
기판 상에 핵생성 표면을 설정하는 것은 적합하게는 기판을 디보란 증기 및 임의로는 개별적으로 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기와 접촉시키는 것을 포함할 수 있다. 유리하게, 핵생성 표면을 설정하는 것은 기판을 디보란 증기 및 개별적으로 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기와 접촉시키는 사이클을 복수회 포함할 수 있다. 다양한 실시양태에서, 질화티타늄 층과 디보란 증기와의 접촉은 300℃ 내지 450℃의 범위의 온도에서 수행된다.
유리하게, 기상 증착 조건은 펄스화될 수 있다. 이것은 증착의 단차 피복률을 향상시킬 수 있다는 것이 밝혀졌다. 적합하게 펄스형 증착의 "펄스" 및 "퍼지" 시간은 각각 독립적으로 1 내지 20 초의 범위일 수 있다.
다양한 실시양태에서, 기상 조건은 증착된 몰리브데넘-함유 물질이 최대 20 μΩㆍ㎝, 임의로는 최대 15 μΩㆍ㎝의 저항률을 갖도록 선택된다.
적합하게는, 몰리브데넘-함유 물질은 400℃ 내지 750℃의 범위, 또는 400℃ 내지 600℃의 범위, 또는 400℃ 내지 575 ℃의 범위의 (스테이지) 온도에서 증착될 수 있다. 적합하게는, 몰리브데넘-함유 물질은 450℃ 내지 750℃의 범위, 또는 450℃ 내지 600℃의 범위, 또는 450℃ 내지 575 ℃의 범위의 (스테이지) 온도에서 증착될 수 있다. 적합하게는, 몰리브데넘-함유 물질은 500℃ 내지 750℃의 범위, 또는 500℃ 내지 600℃의 범위, 또는 500℃ 내지 575 ℃의 범위의 (스테이지) 온도에서 증착될 수 있다.
다양한 실시양태에서, 기상 증착 조건은 수소와 같은 환원제의 임의적인 존재를 제외하고는, 불활성 분위기를 포함한다. 적합하게는, 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기는 다른 금속 증기의 실질적인 부재하에 증착될 수 있다.
상기 방법은 몰리브데넘 옥시테트라클로라이드 (MoOCl4)를 휘발시켜 기상 증착 작업을 위한 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기를 형성하는 것을 포함할 수 있다. 기상 증착 조건은 임의의 적합한 유형을 가질 수 있고, 예를 들어 몰리브데넘-함유 물질이 원소 몰리브데넘 물질을 포함하도록 환원 분위기를 포함할 수 있다. 몰리브데넘-함유 물질은 원소 몰리브데넘, 또는 몰리브데넘 산화물, 또는 다른 몰리브데넘-함유 물질을 포함하거나, 또는 대안적으로는 그것으로 이루어지거나, 또는 본질적으로 이루어질 수 있다.
개시내용의 방법에서 사용된 기판은 임의의 적합한 유형을 가질 수 있고, 예를 들어 반도체 디바이스 기판, 예를 들어, 실리콘 기판, 이산화규소 기판, 또는 다른 실리콘-기재 기판을 포함할 수 있다. 다양한 실시양태에서, 기판은 TiN, Mo, MoC, B, SiO2, W, 및 WCN 중 하나 이상을 포함할 수 있다.
유리하게, 예를 들어 이산화규소와 같은 산화물 기판, 또는 대안적으로는 실리콘 또는 폴리실리콘 기판의 경우에, 기판은 후속적으로 증착된 물질을 위해, 그 위에 배리어 층, 예를 들어 질화티타늄을 포함하도록 가공 또는 제조될 수 있다. 예를 들면, 기판은 질화티타늄 층 상에 핵생성 층을 포함할 수 있고, 몰리브데넘-함유 물질은 부속 공정 흐름 순서에서 핵생성 층 상에 증착된다.
이러한 핵생성 층 또는 표면은 예를 들어 펄스형 CVD 또는 ALD 또는 다른 기상 증착 기법에 의해 형성될 수 있고, 이러한 핵생성 층의 형성은 질화티타늄 층을 디보란 증기 및 개별적으로 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기와 접촉시킴으로써 수행될 수 있다. 각각의 디보란 증기 및 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기 접촉 단계는 원하는 두께의 핵생성 층을 형성하도록 원하는 만큼의 많은 사이클 동안 교대로 그리고 반복적으로 수행될 수 있다. 이러한 핵생성 층 형성을 위한 공정 조건은 임의의 적합한 원하는 온도, 압력, 유량, 및 다른 공정 조건을 포함할 수 있다. 다양한 실시양태에서, 질화티타늄 층과 디보란 증기와의 접촉은 300℃ 내지 450℃의 범위의 온도에서 수행된다. 다양한 실시양태에서, 질화티타늄 층과 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기와의 접촉은 400℃ 내지 575℃의 범위, 또는 (MoOCl4) 기상 증착을 위한 상기 본원에서 정의된 바와 같은 또 다른 범위의 온도에서 수행된다.
기판과 디보란 증기 및 개별적으로 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기와의 접촉에 의한 핵생성 층의 형성 이후에, 몰리브데넘-함유 물질은 핵생성 층 상에 증착되어, 원소 몰리브데넘 또는 몰리브데넘 산화물 또는 다른 몰리브데넘-함유 화합물 또는 조성물의 벌크 증착물을 형성할 수 있다.
다양한 실시양태에서, 몰리브데넘-함유 물질은 400℃ 내지 575℃의 범위 또는 (MoOCl4) 기상 증착을 위한 상기 본원에서 정의된 바와 같은 또 다른 범위의 온도에서 핵생성 층 또는 표면 상에 증착된다. 공정은, 기상 증착 조건이 기판의 핵생성 층 상에 몰리브데넘-함유 물질로서 원소 몰리브데넘의 증착을 초래하도록 수행될 수 있다. 기상 증착 조건은 임의의 적합한 특징을 가질 수 있고, 예를 들어 핵생성 층 상에 원소 몰리브데넘의 벌크 층을 형성하도록, 수소 또는 다른 환원 기체의 존재를 포함할 수 있다.
보다 일반적으로, 본 개시내용에 따른 기판 상에 몰리브데넘-함유 물질을 형성하는 광범위한 방법은 수소 또는 다른 환원 기체의 존재를 포함하는 기상 증착 조건을 포함할 수 있다. 몰리브데넘-함유 물질은 수소의 존재 또는 부재하에 배리어 층 또는 핵생성 층 또는 표면 상에 증착될 수 있다. 예를 들어, 배리어 층은 질화티타늄에 의해 구성될 수 있고, 질화티타늄 층은 수소의 존재하에 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기와 접촉될 수 있다.
본 개시내용의 방법은 수많은 대안적 방식으로, 그리고 매우 다양한 공정 조건하에 수행될 수 있다는 것이 인식될 것이다. 개시내용의 방법은 예를 들어 기판 상에 반도체 디바이스를 제조하는 공정에서 수행될 수 있다. 반도체 디바이스는 임의의 적합한 유형을 가질 수 있고, 예를 들어 DRAM 디바이스, 3-D NAND 디바이스, 또는 다른 디바이스 또는 디바이스 전구체 구조를 포함할 수 있다. 다양한 실시양태에서, 기판은 몰리브데넘-함유 물질이 증착된 것인 비아를 포함할 수 있다. 비아는 예를 들어 20:1 내지 30:1의 범위인 깊이 대 측면 치수의 종횡비를 가질 수 있다.
본 개시내용에 따른 몰리브데넘-함유 물질을 증착시키는 공정 화학은 MoOCl4 + 3H2 → Mo + 4 HCl + H2O 반응에 의한 원소 몰리브데넘, Mo(0)의 증착을 포함할 수 있다. 기판을 디보란 및 MoOCl4와 연속 접촉시켜 핵생성 층을 형성함으로써 상기 본원에 기재된 바와 같이 형성된 핵생성 층 또는 표면은 2MoOCl4 + B2H6 → 2Mo + 2BOCl + 6HCl의 형성 반응을 수반할 수 있다.
본 개시내용의 방법에 따라 증착된 몰리브데넘-함유 물질은 임의의 적절한 평가 메트릭 및 파라미터, 예컨대 몰리브데넘-함유 물질의 증착 속도, 증착된 몰리브데넘-함유 물질의 막 저항률, 증착된 몰리브데넘-함유 물질의 막 형태학, 증착된 몰리브데넘-함유 물질의 막 응력, 물질의 단차 피복률, 및 적절한 공정 조건의 공정 윈도우 또는 공정 엔빌로프에 의해 특징지워질 수 있다. 증착된 물질을 특징짓고 구체적 공정 조건에 대해 동일한 상관관계를 갖고, 상응하는 반도체 제품의 대량 생산을 가능하게 하기 위해, 임의의 적절한 평가 메트릭 및 파라미터가 사용될 수 있다.
다양한 실시양태에서, 개시내용은 기판 상에 핵생성 표면을 설정하는 접촉 조건하에 기판을 디보란과 접촉시키고, 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 전구체를 이용하는 기상 증착 공정에 의해 핵생성 표면 상에 몰리브데넘을 증착시켜, 기판 상에 몰리브데넘-함유 물질을 생성하는 것을 포함하는, 기판 상의 몰리브데넘-함유 물질의 형성 방법에 관한 것이다.
이러한 방법은 본원에서 다양하게 기재된 바와 같은 임의의 적합한 방식으로 수행될 수 있다. 구체적 실시양태에서, 이러한 방법은 화학 기상 증착, 예를 들어, 펄스형 화학 기상 증착을 포함하는 기상 증착 공정으로 수행될 수 있다. 상기 방법은 생성되는 몰리브데넘-함유 물질이 원소 몰리브데넘으로 본질적으로 구성되도록 수행될 수 있고, 다양한 실시양태에서 몰리브데넘은 수소 또는 다른 적합한 환원 기체의 존재하에 핵생성 표면 상에 증착될 수 있다. 상기 방법은 DRAM 디바이스, 또는 3-D NAND 디바이스와 같은 반도체 제품의 제조에서 수행될 수 있다.
일반적으로, 기판 상에 몰리브데넘-함유 물질을 형성하는 본 개시내용의 방법은 높은 수준의 단차 피복률, 예를 들어 90 내지 110%의 단차 피복률로 몰리브데넘-함유 물질의 증착을 달성하기 위해 수행될 수 있다.
본 개시내용의 방법론의 특징 및 이점은 이하에서 설명하는 예시적 실시양태 및 예시적 실시예의 하기의 설명으로부터 보다 완벽하게 명백해질 것이다.
먼저 도 1을 보면, 온도 (℃)의 함수로서 중량 퍼센트로 나타낸 몰리브데넘 옥시테트라클로라이드의 열중량 분석 (TGA)의 그래프가 도시되어 있고, 몰리브데넘 옥시테트라클로라이드의 열적 거동의 특징을 나타낸다. 특히, 몰리브데넘 옥시테트라클로라이드 (MoOCl4)의 T50은 몰리브데넘 펜타클로라이드 (MoCl5)의 것보다 대략 20℃ 낮다.
도 2를 참조하면 본 개시내용의 한 실시양태에 따라 증착된 몰리브데넘-함유 물질을 포함하는 반도체 디바이스 구조는 이산화규소 (SiO2)의 베이스 층, 그 위에 놓인 질화티타늄 (TiN)의 배리어 층, 그 위에 기판과 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 및 디보란과의 접촉에 의해 형성된 핵생성 층, 상부 층으로서 수소 (H2)의 존재하에 몰리브데넘 옥시테트라클로라이드 (MoOCl4)로부터의 핵생성 층 상에 증착된 원소 몰리브데넘 (Mo)의 층을 포함한다.
도 2의 반도체 디바이스는 이산화규소 베이스 층 상에 질화티타늄 배리어 층을 포함하는 기판 상의 다음의 순서의 공정 단계에 의해 제조될 수 있다.
단계 1: 예를 들어 300 내지 450℃의 범위의 온도에서 기판의 배리어 층 (TiN 층)을 디보란 (B2H6)의 펄스와 접촉시키는 단계;
단계 2: 증착 챔버를 펌핑/퍼징시키는 단계;
단계 3: 수소 (H2) 또는 아르곤 (Ar)의 존재하에, 예를 들어 약 500℃의 온도에서 기판의 배리어 층 (TiN 층)을 몰리브데넘 펜타클로라이드 (MoCl5) 또는 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기의 펄스와 접촉시키는 단계;
단계 4: 증착 챔버를 펌핑/퍼징시키는 단계;
단계 5: 단계 1-4 (임의적인)를 반복하여 원하는 특징의 핵생성 층을 형성하는 단계; 및
단계 6: 수소 (H2)의 존재하에, 예를 들어 약 500℃의 온도에서 기판과 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기와의 접촉에 의해, 핵생성 층 상에 벌크 몰리브데넘을 증착시키는 단계.
단계 1 내지 5는 임의적이고 핵생성 층이 필요하지 않은 경우 생략될 수 있다.
실시예 1 - 증착 속도 연구
몰리브데넘 옥시테트라클로라이드 (MoOCl4)/수소 (H2)를 사용한 화학 기상 증착 (CVD) 몰리브데넘 증착은 다음의 공정 조건을 이용하여 수행되었다: 기판이 유지되는 700℃ 스테이지; 기상 증착 작업을 위해 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 전구체가 분배되는 70℃ 앰플; 기상 증착 작업시 60 torr 압력; 50 표준 세제곱 센티미터/분 (sccm) 아르곤 캐리어 가스 유동, 및 2000 표준 세제곱 피트/분 (sccm)의 수소 (H2).
증착의 결과는 도 3 및 도 4에 나타내었다. 데이터는 700℃에서의 화학 기상 증착 (CVD) 몰리브데넘 옥시테트라클로라이드 (MoOCl4)/수소 (H2) 증착 공정이 70℃의 온도로 설정된 앰플로 대략 약 110 Å/분의 높은 증착 속도를 나타냈다는 것을 보여주었다.
실시예 2 - SEM 연구
도 5 및 도 6은 다음의 공정 조건을 수반하는 CVD 몰리브데넘 옥시테트라클로라이드 (MoOCl4)/수소 (H2) 증착 공정에 의해 형성된 증착된 몰리브데넘 막의 주사 전자 현미경사진 (SEM) 이미지이다: 기판 = 50 Å TiN; 앰플 온도 = 70℃; 스테이지 온도 = 700℃; 압력 = 60 torr; 아르곤 캐리어 가스 유량 = 50 sccm; 아르곤 퍼지 가스 유량 = 0 sccm; 수소 가스 유량 = 2000 sccm; 증착 시간 = 300 초; 증착 이전의 TiN 두께 = 70.9 Å; 증착 이후의 TiN 두께 = 61.8 Å; 몰리브데넘 두께 = 600.1 Å; 및 증착된 몰리브데넘의 저항률 = 15.1 μΩㆍ㎝. 도 5 및 도 6은 비교적 큰 그레인 크기를 가진 균일하게 증착된 몰리브데넘 막을 나타낸다.
실시예 3 - 온도 및 두께 연구
도 7은 550℃ (하단 곡선), 600℃ (600℃에서 하단으로부터 두 번째 곡선), 650℃ (600℃에서 하단으로부터 세 번째 곡선), 및 700℃ (600℃에서 상단 곡선)의 온도에서 각각의 실행으로 수행된 바와 같은, 70℃의 앰플 온도, 60 torr의 압력, 50 sccm의 아르곤 캐리어 가스 유량, 및 2000 sccm의 수소 가스 유량의 MoOCl4/H2 공정에 의한 몰리브데넘의 증착에 대한 증착 시간(초)의 함수로서의 몰리브데넘 두께(Å)의 그래프이다. 핵생성 층이 없는, MoOCl4/H2 공정에 의한 몰리브데넘의 화학 기상 증착은 550℃에서 온도 컷 오프를 나타냈다. 증착 속도는 600℃에서 700℃ (스테이지 온도)까지 유사하였다.
실시예 4 - 온도 및 저항률 연구
도 8은 공정이 600℃ (상단 곡선), 650℃ (중간 곡선) 및 700℃ (하단 곡선)의 온도에서 별개의 실행으로 수행된 것인, 70℃ 앰플 온도, 60 torr 압력, 50 sccm 아르곤 캐리어 가스 유량, 및 2000 sccm 수소 가스 유량의 조건에서 MoOCl4/H2 공정에 의해 수행된 몰리브데넘 증착에 대한 몰리브데넘 두께(Å)의 함수로서의 막 저항률(μΩㆍ㎝)의 그래프이다. 데이터는 600℃ 및 650℃에서 수행된 공정이 700℃ 공정에 비해 약간 더 높은 저항률을 나타냈다는 것을 보여준다. 700℃ 스테이지 온도에서, 막 저항률은 약 500 Å의 몰리브데넘 막 두께의 경우 대략 11 μΩㆍ㎝로 떨어진다.
실시예 5 - MoCl 5 와의 비교 - 장기 증착 연구
도 9는 몰리브데넘 전구체로서 몰리브데넘 옥시테트라클로라이드 (MoOCl4)를 사용한 몰리브데넘 증착 (Ο), 및 몰리브데넘 전구체로서 승화된 몰리브데넘 펜타클로라이드 (MoCl5)를 사용한 몰리브데넘 증착 (Δ)에 대한, 실행 번호의 함수로서의 증착 속도(Å/분)의 그래프이다. 두 경우에 공정 조건은 다음과 같았다: 앰플 온도 = 70℃; 압력 = 60 torr; 아르곤 캐리어 가스 유량 = 50 sccm; 수소 가스 유량 = 2000 sccm.
도 9의 결과는 몰리브데넘 전구체로서 몰리브데넘 옥시테트라클로라이드 (MoOCl4)를 사용한 몰리브데넘 증착이 안정적이고 높은 증착 속도를 나타냈고, 한편 승화된 몰리브데넘 펜타클로라이드 (MoCl5)는 안정적이고 낮은 증착 속도를 나타냈다는 것을 보여준다.
MoOCl4로부터 형성된 몰리브데넘 막의 이차 이온 질량 분광 (SIMS) 분석은 벌크 몰리브데넘의 경우 대략 6.4x 1022-3의 수 밀도를 사용하여, 벌크 몰리브데넘에서의 산소 농도가 1% 훨씬 미만인 것을 입증하였다.
실시예 6 - MoCl 5 와의 비교 - 저항률 연구
도 10은 비정제 MoCl5 전구체를 사용하여 증착된 몰리브데넘 막 (Δ), 승화된 MoCl5 전구체를 사용하여 증착된 몰리브데넘 막 (□), 및 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 전구체를 사용하여 증착된 몰리브데넘 막 (◇)에 대해 700℃에서 수행된 CVD 증착 공정에 대한 몰리브데넘 막 두께(Å)의 함수로서의 증착된 몰리브데넘 막의 막 저항률(μΩㆍ㎝)의 그래프이다. 공정 조건은 다음과 같았다: 앰플 온도 = 70℃; 압력 = 60 torr; 아르곤 캐리어 가스 유량 = 50 sccm; 수소 가스 유량 = 2000 sccm. 결과는 MoOCl4 전구체가 비정제 MoCl5 전구체 및 승화된 MoCl5 전구체를 사용하여 형성된 막에 비해 더 높은 저항률 값을 가진 몰리브데넘 막을 생성했다는 것을 보여준다.
실시예 7 - 디보란 소킹 연구
기판을 디보란으로 예비-소킹하는 효과를 조사하였다. 도 11은 400℃에서의 디보란 노출, 및 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 전구체를 사용한 500℃ 벌크 몰리브데넘 증착 (Ο)에 대한, 그리고 300℃에서의 디보란 노출, 및 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 전구체를 사용한 500℃ 벌크 몰리브데넘 증착 (Δ)에 대한, 디보란 소킹 시간(초)의 함수로서의 몰리브데넘 막 두께(Å)의 그래프이다.
도 11의 결과는 30 초 동안 300℃의 디보란 노출 조건 및 500℃에서의 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 전구체 노출이 몰리브데넘 증착을 초래하지 않았고, 실질적인 몰리브데넘 증가를 얻기 위해 디보란 노출 온도 또는 디보란 소킹 시간을 증가시킬 필요가 있다는 것을 보여주었다.
실시예 8 - SEM 연구 - 디보란 핵생성이 있음
도 12 및 13은 500℃ 디보란 핵생성을 사용하여 형성된 막 증착, 및 수소의 존재하에 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 전구체를 사용한 500℃ 벌크 몰리브데넘 증착의 SEM 현미경사진이다. 디보란 소킹을 위한 공정 조건은 다음과 같았다: 기판 = 50 Å TiN; 앰플 온도 = 70℃; 압력 = 40 torr; 디보란 유량 = 35 sccm; 아르곤 캐리어 가스 유량 = 500 sccm; 수소 유량 = 0 sccm, 지속시간 = 30 초. MoOCl4/H2 벌크 몰리브데넘 증착을 위한 공정 조건은 다음과 같았다: 스테이지 온도 = 500℃; 압력 = 60 torr; 아르곤 캐리어 가스 유량 = 50 sccm; 수소 유량 = 2000 sccm; 지속시간 = 300 초. 결과는 500℃ 디보란 핵생성이 몰리브데넘 증착을 초래했지만, 과도한 붕소 층이 몰리브데넘 아래에 형성되었음을 보여주었다.
실시예 9 - 단차 피복률 - 3회 사이클 디보란 핵생성 공정
몰리브데넘 막은 3회 사이클 핵생성 및 MoOCl4/H2를 사용한 몰리브데넘 벌크 증착을 이용하여 비아에 증착되었다. 디보란 소킹에서의 공정 조건은 다음과 같았다: 기판 = 비아 TEG; 앰플 온도 = 70℃; 스테이지 온도 = 300℃; 압력 = 40 torr; 디보란 유량 = 35 sccm; 아르곤 캐리어 가스 유량 = 250 sccm; 수소 유량 = 0 sccm, 지속시간 = 60 초. MoOCl4/H2 몰리브데넘 증착을 위한 공정 조건은 다음과 같았다: 스테이지 온도 = 550℃; 압력 = 60 torr; 아르곤 캐리어 가스 유량 = 50 sccm; 수소 유량 = 2000 sccm; 지속시간 = 60 초. MoOCl4/B2H6 핵생성 공정 (3회 사이클)은 비아 구조 상에 양호한 단차 피복률을 나타냈다.
관련된 공정 화학은 다음의 반응: MoOCl4 + 3 H2 → Mo + 4 HCl + H2O; 및 2 MoOCl4 + B2H6 → 2 Mo + 2 BCl3 + 2 HCl + 2 H2O를 포함한다.
X-선 회절 측정은 본 개시내용에 따라 MoOCl4로부터 증착된 대표적인 몰리브데넘 막에 대해 이루어졌고, XRT 측정은 Mo 금속 피크만 나타냈고, MoO2 또는 MoO3 피크는 존재하지 않았다.
본 개시내용에 따라 형성된 대표적인 몰리브데넘 막에 대한 X-선 반사율 (XRR) 측정은 147 Å x-선 형광 (XRF) 분광-측정된 막 상에 대략 8.33 g/㎤의 밀도를 갖는 ~13.4 ㎚ 몰리브데넘을 나타냈다.
실시예 10 - 디보란 소킹 시간 - 두께 및 저항률에 미치는 영향
도 14는 스테이지 온도 = 300℃; 압력 = 44; 디보란 유량 = 35 sccm; 아르곤 캐리어 가스 유량 = 250 sccm의 공정 조건에서 핵생성을 포함하는 증착 공정, 및 스테이지 온도 = 550℃, 앰플 온도 = 70℃; 압력 = 60 torr; 아르곤 캐리어 가스 유량 = 50 sccm; 수소 가스 유량 2000 sccm의 공정 조건에서 600 초 동안의 벌크 몰리브데넘 증착에 대한 디보란 소킹 시간(초)의 함수로서의 몰리브데넘 두께(Å) (Δ), 및 저항률(μΩㆍ㎝) (막대 그래프 칼럼 표시자)의 그래프이며, 디보란 소킹 시간 효과를 보여준다. 데이터는 60 초 이상의 디보란 예비-소킹으로, 몰리브데넘 증착이 550℃ 스테이지 온도에서 가능해진다는 것을 보여준다. 나타낸 바와 같이, 막 저항률은 디보란 소킹 시간 기간이 길어질수록 증가한다.
실시예 11 - 두께 및 저항률 연구 - 디보란 핵생성이 있음
도 15는 공정 조건: 스테이지 온도 = 300℃; 압력 = 40 torr; 디보란 유량 = 35 sccm; 아르곤 캐리어 가스 유량 = 250 sccm; 지속시간 = 60 초에서의 핵생성을 포함하는 증착 공정, 및 조건: 스테이지 온도 = 550℃, 앰플 온도 = 70℃; 압력 = 60 torr; 아르곤 캐리어 가스 유량 = 50 sccm; 및 수소 가스 유량 = 2000 sccm에서의 벌크 몰리브데넘 증착에 대한, MoOCl4/H2 노출 시간의 함수로서의 몰리브데넘 두께(Å) (Δ), 및 MoOCl4/H2 노출 시간(초)의 함수로서의 저항률(μΩㆍ㎝) (Ο)의 그래프이다. 나타낸 바와 같이, 60초 디보란 예비-소킹으로, 몰리브데넘 증착 두께는 550℃에서 MoOCl4/H2 노출 시간에 따라 증가한다. 막 저항률은 400 Å 초과의 두께에 대해 20 μΩㆍ㎝ 아래로 떨어진다.
실시예 12 - SEM 연구 - 디보란 핵생성이 있음
도 16은 다음의 디보란 소킹 공정 조건: 기판 = 50 Å TiN; 앰플 온도 = 70℃; 스테이지 온도 = 300℃; 압력 = 40 torr; 디보란 유량 = 35 sccm; 아르곤 캐리어 가스 유량 = 250 sccm; 수소 가스 유량 = 0 sccm; 및 지속시간 = 90 초에서 증착되고, 이어서 다음의 공정 조건: 스테이지 온도 = 550℃; 압력 = 60 torr; 아르곤 캐리어 가스 유량 = 50 sccm; 수소 가스 유량 = 2000 sccm; 및 지속시간 = 600 초 (1회 사이클)에서 MoOCl4/H2 공정에 의한 벌크 몰리브데넘 증착이 이루어진 바와 같은, 550℃에서 증착된 몰리브데넘 막의 SEM 이미지이고, 도 17은 이러한 막의 SEM 횡단면 이미지이다. 막의 X RF 두께는 1693.6 Å였고, 저항률은 21.6 μΩㆍ㎝로서 결정되었다. SEM 이미지는 90 초 디보란 예비-소킹으로 550℃에서 증착된 몰리브데넘에 대해 대략 40-70 ㎚ 그레인 크기를 나타냈다. 횡단면 SEM 이미지는 증착된 몰리브데넘 아래에 대략 7.7 nm 붕소 층을 보여준다.
실시예 13 - 스테이지 온도 연구 - 디보란 핵생성이 없는 것을 사용함
도 18은 스테이지 온도 = 300℃; 압력 = 40 torr; 디보란 유량 = 35 sccm; 아르곤 캐리어 가스 유량 = 250 sccm; 지속시간 = 60 초의 디보란 핵생성 조건, 및 앰플 온도 = 70℃, 압력 = 60 torr; 아르곤 캐리어 가스 유량 = 50 sccm; 수소 가스 유량 = 2000 sccm; 지속시간 = 5 분의 벌크 몰리브데넘 증착 공정 조건에서 수행된 바와 같은, 디보란 핵생성이 있는 MoOCl4/H2 공정에 대한 반응 속도 제한적 레짐을 보여주는, 스테이지 온도(℃)의 함수로서의 몰리브데넘 두께(Å) (Δ), 및 스테이지 온도(℃)의 함수로서의 저항률(μΩㆍ㎝) (Ο)의 그래프이다. 데이터는 디보란 핵생성이 있는 경우, 몰리브데넘 증착 컷오프 온도가 500℃로 감소되고 500℃와 540℃ 사이에 급속한 증착 속도 강하가 있다는 것을 보여준다.
도 19는 앰플 온도 = 70℃, 압력 = 60 torr; 아르곤 캐리어 가스 유량 = 50 sccm; 수소 가스 유량 = 2000 sccm; 지속시간 = 5 분의 공정 조건에서 수행된 바와 같은, 디보란 핵생성이 없는 MoOCl4/H2 공정에 대한 반응 속도 제한적 레짐을 보여주는, 스테이지 온도(℃)의 함수로서의 몰리브데넘 두께(Å) (Δ), 및 스테이지 온도(℃)의 함수로서의 저항률(μΩㆍ㎝) (Ο)의 그래프이다. 데이터는 디보란 핵생성이 없는 CVD 공정에 대한 증착 속도가 600℃ 아래에서 급속히 강하되고 대략 560℃에서 컷오프 온도가 있다는 것을 보여준다.
실시예 14 - 아레니우스 도표 - 디보란 핵생성이 있거나 없음
도 20은 핵생성이 없이 수행된 것 (Δ), 및 핵생성이 있이 수행된 것 (Ο)으로서, MoOCl4/H2 반응에 대한 활성화 에너지의 아레니우스 도표 (K = A e-Ea/RT )이다. 데이터는 MoOCl4/H2 반응에 대한 추출된 활성화 에너지가 핵생성이 없는 벌크 몰리브데넘 공정 증착의 경우 대략 233 kJ/mole이고, 디보란 핵생성이 있는 벌크 몰리브데넘 증착 공정의 경우 대략 251 kJ/mole인 것을 보여준다.
실시예 15 - 단차 피복률
다음의 조건을 사용하여 핵생성 및 CVD 벌크 몰리브데넘 증착 MoOCl4/H2 공정에 의해 비아 구조 상에 몰리브데넘을 제공하였다: 기판 = 비아 TEG; 앰플 온도 = 70℃에서 수행된 바와 같고, 디보란 핵생성 (소킹) 공정이 스테이지 온도 = 300℃; 압력 = 40 torr; 디보란 유량 = 35 sccm; 아르곤 캐리어 가스 유량 = 250 sccm; 수소 가스 유량 = 0 sccm; 지속시간 = 60 초의 공정 조건에서 수행되고, 벌크 몰리브데넘 증착 CVD 공정이 스테이지 온도 = 520℃; 압력 = 60 torr; 아르곤 캐리어 가스 유량 = 50 sccm; 수소 가스 유량 = 2000 sccm; 및 지속시간 = 600 초의 조건에서 수행됨. 디보란 핵생성의 1회 사이클을 갖는 520℃ MoOCl4/H2 공정은 비아 구조 상에 대략 50% 단차 피복률 (하단/상단)을 나타냈다.
실시예 16 - 단차 피복률 - 증착 시간의 영향
3개의 비아 구조는 300 초, 450 초, 및 600 초의 벌크 증착 공정 시간에서 각각 디보란 핵생성 (소킹) 및 520℃ CVD 벌크 몰리브데넘 증착 MoOCl4/H2 공정에 의해 몰리브데넘으로 증착되었다. 공정 조건은 다음과 같았다: 기판 = 비아 TEG; 앰플 온도 = 70℃, 디보란 핵생성 (소킹) 공정은 스테이지 온도 = 300℃; 압력 = 40 torr; 디보란 유량 = 35 sccm; 아르곤 캐리어 가스 유량 = 250 sccm; 수소 가스 유량 = 0 sccm; 지속시간 = 60 초의 공정 조건에서 수행되고, 벌크 몰리브데넘 증착 CVD 공정은 스테이지 온도 = 520℃; 압력 = 60 torr; 아르곤 캐리어 가스 유량 = 50 sccm; 수소 가스 유량 = 2000 sccm; 및 지속시간 = 300 초 (도 25), 450 초 (도 26) 및 600 초 (도 27)의 조건에서 수행됨. 디보란 핵생성의 1회 사이클을 갖는 520℃ MoOCl4/H2 공정은 구조의 "네크(neck)"에서의 제약으로 인해 각각 증가된 증착 시간에 따라 (즉, 각각 더 긴 공정 시간에 대해) 비아 구조 상에 점진적으로 감소된 단차 피복률을 나타냈다.
실시예 17 - 단차 피복률 - 온도의 영향
3개의 비아 구조는 510℃, 520℃, 및 530℃의 벌크 증착 온도에서 각각 디보란 핵생성 (소킹) 및 CVD 벌크 몰리브데넘 증착 MoOCl4/H2 공정에 의해 몰리브데넘으로 증착되었다. 공정 조건은 다음과 같았다: 기판 = 비아 TEG; 앰플 온도 = 70℃, 디보란 핵생성 (소킹) 공정은 스테이지 온도 = 300℃; 압력 = 40 torr; 디보란 유량 = 35 sccm; 아르곤 캐리어 가스 유량 = 250 sccm; 수소 가스 유량 = 0 sccm; 지속시간 = 60 초의 공정 조건에서 수행되고, 벌크 몰리브데넘 증착 CVD 공정은 스테이지 온도 = 510℃ (도 28), 520℃ (도 29), 및 530℃ (도 30); 압력 = 60 torr; 아르곤 캐리어 가스 유량 = 50 sccm; 수소 가스 유량 = 2000 sccm; 및 지속시간 = 600 초의 조건에서 수행됨. 510℃ MoOCl4/H2 공정은 거친 막 형태학으로 인해 열등한 단차 피복률을 나타냈다. 520℃ 공정은 비아 구조 상에 대략 50% 단차 피복률을 나타냈다. 530℃ 공정의 경우 단차 피복률은 약 30%로 저하되었다.
실시예 18 - 단차 피복률 - 디보란 소킹 시간의 영향
3개의 비아 구조는 45 초, 60 초, 및 75 초의 디보란 투여 (소킹) 시간에서 각각 디보란 핵생성 (소킹) 및 CVD 벌크 몰리브데넘 증착 MoOCl4/H2 공정에 의해 몰리브데넘으로 증착되었다. 공정 조건은 다음과 같았다: 기판 = 비아 TEG; 앰플 온도 = 70℃, 디보란 핵생성 (소킹) 공정은 스테이지 온도 = 300℃; 압력 = 40 torr; 디보란 유량 = 35 sccm; 아르곤 캐리어 가스 유량 = 250 sccm; 수소 가스 유량 = 0 sccm의 공정 조건에서 수행되고, 벌크 몰리브데넘 증착 CVD 공정은 스테이지 온도 = 520℃; 압력 = 60 torr; 아르곤 캐리어 가스 유량 = 50 sccm; 수소 가스 유량 = 2000 sccm; 및 지속시간 = 450 초의 조건에서 수행됨. 붕소 층은 60 초 및 75 초 디보란 소킹 조건 동안 증착된 몰리브데넘 아래에 명확하게 보였다.
실시예 19 - 단차 피복률 - 60 torr에서의 펄스화
비아 구조는 다음의 공정 조건: 기판 =비아 TEG; 앰플 온도 = 70℃에서, 디보란 핵생성 (소킹) 공정이 스테이지 온도 = 300℃; 압력 = 40 torr; 디보란 유량 = 35 sccm; 아르곤 캐리어 가스 유량 = 250 sccm; 수소 가스 유량 = 0 sccm; 및 지속시간 = 45 초의 공정 조건에서 수행되고, 펄스형 몰리브데넘 증착 CVD 공정이 스테이지 온도 = 520℃; 압력 = 60 torr; 아르곤 캐리어 가스 유량 = 50 sccm; 수소 가스 유량 = 2000 sccm; 펄스 지속시간 = 5 초; 퍼지 지속시간 = 10 초; 및 사이클의 수 = 120회의 조건에서 수행된 것인, 60 torr에서 120회 사이클 동안 수행된 펄스형 CVD 공정을 수반하는, 디보란 핵생성 (소킹) 및 CVD 벌크 몰리브데넘 증착 MoOCl4/H2 공정에 의해 몰리브데넘으로 증착되었고, 상기 비아는 510 Å의 몰리브데넘 막 두께를 갖는 상부 일부분, 375 Å의 몰리브데넘 막 두께를 갖는 하부 일부분, 및 480 Å의 몰리브데넘 막 두께를 갖는 중간 일부분을 갖는다. 각 펄스 사이에 10초 퍼지를 갖는 펄스형 CVD 공정은 구조의 네크 근처에서 감소된 형성을 나타냈다. 단차 피복률은 대략 500 Å 두께의 벌크 몰리브데넘 증착의 경우 약 75%였다.
실시예 20 - 단차 피복률 - 40 torr에서의 펄스화
비아 구조는 40 torr에서 120회 사이클 동안 수행된 펄스형 CVD 공정을 수반하는, 디보란 핵생성 (소킹) 및 CVD 벌크 몰리브데넘 증착 MoOCl4/H2 공정에 의해 몰리브데넘으로 증착되었고, 상기 비아는 320 Å의 몰리브데넘 막 두께를 갖는 상부 일부분, 520 Å의 몰리브데넘 막 두께를 갖는 중간 일부분, 및 460 Å의 몰리브데넘 막 두께를 갖는 하부 일부분을 갖고, 상기 공정은 다음의 공정 조건: 기판 = 비아 TEG; 앰플 온도 = 70℃에서 수행되었고, 디보란 핵생성 (소킹) 공정은 스테이지 온도 = 300℃; 압력 = 40 torr; 디보란 유량 = 35 sccm; 아르곤 캐리어 가스 유량 = 250 sccm; 수소 가스 유량 = 0 sccm; 및 지속시간 = 45 초의 공정 조건에서 수행되었고, 펄스형 몰리브데넘 증착 CVD 공정은 스테이지 온도 = 520℃; 압력 = 40 torr; 아르곤 캐리어 가스 유량 = 50 sccm; 수소 가스 유량 = 2000 sccm; 펄스 지속시간 = 5 초; 퍼지 지속시간 = 10 초; 및 사이클의 수 = 120회의 조건에서 수행되었다. 40 torr에서의 펄스형 CVD 공정은 탁월한 단차 피복률을 나타냈고, 상단에 더 얇은 증착을 갖고 비아 내부에 더 두꺼운 증착을 가졌다. 이러한 비아 구조 상의 공칭 단차 피복률은 100%를 초과했다.
실시예 21 - 단차 피복률 - 40 torr에서의 펄스화 - 증가된 사이클의 수
비아 구조는 40 torr에서 240회 사이클 동안 수행된 펄스형 CVD 공정을 수반하는, 디보란 핵생성 (소킹) 및 CVD 벌크 몰리브데넘 증착 MoOCl4/H2 공정에 의해 몰리브데넘으로 증착되었고, 상기 비아는 720 Å의 몰리브데넘 막 두께를 갖는 중간 일부분, 및 460 Å의 몰리브데넘 막 두께를 갖는 하부 일부분을 갖고, 상기 공정은 다음의 공정 조건: 기판 = 비아 TEG; 앰플 온도 = 70℃에서 수행되었고, 디보란 핵생성 (소킹) 공정은 스테이지 온도 = 300℃; 압력 = 40 torr; 디보란 유량 = 35 sccm; 아르곤 캐리어 가스 유량 = 250 sccm; 수소 가스 유량 = 0 sccm; 및 지속시간 = 45 초의 공정 조건에서 수행되었고, 펄스형 몰리브데넘 증착 CVD 공정은 스테이지 온도 = 520℃; 압력 = 40 torr; 아르곤 캐리어 가스 유량 = 50 sccm; 수소 가스 유량 = 2000 sccm; 펄스 지속시간 = 5 초; 퍼지 지속시간 = 10 초; 및 사이클의 수 = 240회의 조건에서 수행되었다. 40 torr 압력에서 사이클의 수를 120회에서 240회로 증가시키더라도 비아 네크에서 핀치-오프로 인해 공극-무함유 충전을 초래하지 못했다.
실시예 22 - 에칭 속도
붕소 핵생성 표면 상의 MoOCl4/H2 공정 (CVD B)의 에칭 속도를 조사하였다. 공정은 스테이지 온도 = 500℃; 압력 = 20 torr; 아르곤 캐리어 가스 유량 = 50 sccm; 수소 가스 유량 = 2000 sccm의 조건에서 수행되었다. 추가 조건 및 결과 에칭 속도는 표 1에 나타내었다:
Figure 112019013970745-pct00001
에칭 속도는 공기에의 노출에 의해 영향을 받지 않았다. Mo 기판 상의 두꺼운 붕소 막의 에칭 속도는 TiN 기판 상의 붕소 막에서보다 훨씬 높았다. 이것은 두꺼운 붕소 막의 표면 조도 때문일 수 있다.
실시예 23 - 다른 기판
MoOCl4/H2 공정은 다양한 기판에서 수행되었다. 공정은 스테이지 온도 = 500℃; 압력 = 60 torr; 아르곤 캐리어 가스 유량 = 50 sccm; 수소 가스 유량 = 2000 sccm의 조건에서 수행되었다. 추가 조건 및 기판은 표 2에 나타내었다:
Figure 112019013970745-pct00002
증착된 몰리브데넘은 광범위한 저항률을 나타냈다. 저항률은 기판이 PVD Mo인 경우 두께에 따라 달라지지 않았다. 이전의 결과로부터 알 수 있듯이, 저항률은 붕소 핵생성 층이 없는 TiN 기판의 스테이지 온도에 매우 의존적이었다.
논의
상기에서 MoOCl4 전구체를 사용하여 증착된 CVD 몰리브데넘 막은 400 Å의 두께에서 15 μΩㆍ㎝ 미만의 양호한 막 저항률을 나타냈고, SIMS 분석은 MoOCl4 전구체를 사용하여 증착된 막에 대해 1 원자 퍼센트보다 훨씬 낮은 벌크 몰리브데넘 막에서의 산소 농도를 나타냈다는 것을 보여준다. TiN 기판 상에서 CVD MoOCl4/H2 공정은 디보란 핵생성이 없는 경우 대략 560℃에서 증착 온도 컷 오프를 나타냈고, 디보란 핵생성이 있는 경우 대략 500℃에서 컷 오프를 나타냈다. 아레니우스 도표로부터 추출된 활성화 에너지는 핵생성이 없는 공정의 경우 대략 223 kJ/mole이고, 디보란 핵생성이 있는 공정의 경우 대략 251 kJ/mole이었다. 디보란 핵생성이 있는 CVD MoOCl4/H2 공정은 비아 구조 상에 탁월한 단차 피복률을 나타냈고, 펄스형 CVD 공정은 500 Å의 막 두께에서 100% 단차 피복률을 달성하고 심지어 이를 초과한다는 것이 입증되었다.
개시내용은 본원에서 구체적 측면, 특징 및 예시적 실시양태와 관련하여 기술되었지만, 개시내용의 유용성은 이에 제한되지 않고, 오히려 다수의 다른 변형, 수정 및 대안적 실시양태로 확장되고 포함된다는 것이 인식될 것이며, 본원의 설명에 기초하여, 그 자체가 본 개시내용의 분야의 통상의 기술자에게 떠오를 것이다. 상응해서, 이하에 청구된 바와 같은 개시내용은, 그의 취지 및 범주 내에서, 이러한 모든 변형, 수정 및 대안적 실시양태를 포함하는 것으로 폭넓게 이해되고 해석되도록 의도된다.
본 명세서의 설명 및 청구범위에 걸쳐, 단어 "포함하다" 및 "함유하다" 및 단어의 변형, 예를 들어 "포함하는" 및 "포함한다"는 "포함하나 이에 제한되지 않는"을 의미하고, 다른 구성요소, 정수 또는 단계를 배제하지 않는다. 또한 단수형은 문맥상 달리 요구하지 않는 한 복수형을 포함하며: 특히 부정관사가 사용된 경우, 문맥상 달리 요구하지 않는 한, 명세서는 단수성뿐만 아니라 복수성을 고려하는 것으로 이해되어야 한다.
본 발명의 각 측면의 임의적인 특징은 임의의 다른 측면과 관련하여 설명된 바와 같을 수 있다. 본 출원의 범주 내에서 선행 단락 및 청구범위 및 도면에서 제시된 다양한 측면, 실시양태, 실시예 및 대안, 및 특히 그의 개별적 특징은 독립적으로 또는 임의의 조합으로 취해질 수 있다는 것이 명백히 의도된다. 즉, 모든 실시양태 및/또는 임의의 실시양태의 특징은, 이러한 특징이 양립할 수 없지 않는 한 임의의 방식 및/또는 조합으로 조합될 수 있다.

Claims (20)

  1. 기판 상의 몰리브데넘-함유 물질의 형성 방법이며, 기판을 디보란 증기로 구성된 증기와 접촉시켜 기판 상에 핵생성 표면을 설정하고, 기상 증착 조건하에 기판의 핵생성 표면을 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기와 접촉시켜, 기판 상에 몰리브데넘-함유 물질을 증착시키는 것을 포함하는 방법.
  2. 삭제
  3. 제1항에 있어서, 기판 상에 핵생성 표면을 설정하는 것이 기판을 디보란 증기로 구성된 증기 및 개별적으로 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기와 접촉시키는 것을 포함하는 것인 방법.
  4. 제3항에 있어서, 핵생성 표면을 설정하는 것이 기판을 디보란 증기로 구성된 증기 및 개별적으로 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기와 접촉시키는 사이클을 복수회 포함하는 것인 방법.
  5. 제3항에 있어서, 기판과 디보란 증기로 구성된 증기와의 접촉이 300℃ 내지 450℃의 범위의 온도에서 수행되는 것인 방법.
  6. 제1항에 있어서, 기상 증착 조건이 펄스형 기상 증착 조건인 방법.
  7. 제1항에 있어서, 증착된 몰리브데넘-함유 물질이 최대 20 μΩㆍ㎝의 저항률을 갖도록 기상 조건이 선택되는 것인 방법.
  8. 제1항에 있어서, 몰리브데넘-함유 물질이 400℃ 내지 600 ℃의 범위의 온도에서 증착되는 것인 방법.
  9. 제1항에 있어서, 몰리브데넘 옥시테트라클로라이드 (MoOCl4)를 휘발시켜 상기 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기를 형성하는 것을 포함하는 방법.
  10. 제1항에 있어서, 상기 기상 증착 조건이 환원 분위기를 포함하여, 몰리브데넘-함유 물질이 원소 몰리브데넘 물질을 포함하는 것인 방법.
  11. 제1항에 있어서, 몰리브데넘-함유 물질이 몰리브데넘 산화물을 포함하는 것인 방법.
  12. 제1항에 있어서, 기판이 TiN, Mo, MoC, B, SiO2, W, 및 WCN 중 하나 이상을 포함하는 것인 방법.
  13. 제1항에 있어서, 기판이 그 위에 질화티타늄 층을 갖는 이산화규소를 포함하는 반도체 디바이스 기판을 포함하고; 방법이 질화티타늄 층 상에 핵생성 표면을 형성하는 것을 포함하고; 몰리브데넘-함유 물질이 핵생성 층 상에 증착된 것인 방법.
  14. 제13항에 있어서, 핵생성 표면이 질화티타늄 층과 디보란 증기로 구성된 증기 및 개별적으로 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기와의 접촉을 포함하는 펄스형 CVD 또는 ALD 증착에 의해 형성되는 것인 방법.
  15. 제1항에 있어서, 기판 상에 반도체 디바이스를 제조하는 공정에서 수행되는 방법.
  16. 제15항에 있어서, 반도체 디바이스가 DRAM 디바이스 및 3-D NAND 디바이스 중 적어도 하나를 포함하는 것인 방법.
  17. 제1항에 있어서, 기판이 몰리브데넘-함유 물질이 증착된 것인 비아를 포함하는 것인 방법.
  18. 제1항에 있어서, 몰리브데넘-함유 물질이 90% 내지 110%의 단차 피복률(step coverage)로 기판 상에 증착된 것인 방법.
  19. 질화티타늄 층을 갖는 이산화규소를 포함하는 반도체 디바이스 기판 상의 몰리브데넘-함유 물질의 형성 방법이며, 상기 방법은 질화티타늄 층을 디보란 증기로 구성된 증기와 접촉시킴으로써 질화티타늄 층 상에 핵생성 표면을 형성하고, 기상 증착 조건하에 핵생성 표면을 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 증기와 접촉시켜, 기판 상에 몰리브데넘-함유 물질을 증착시키는 것을 포함하며, 여기서 기상 조건은 증착된 몰리브데넘-함유 물질이 최대 20 μΩㆍ㎝의 저항률을 갖도록 선택되는 것인 방법.
  20. 기판 상의 몰리브데넘-함유 물질의 형성 방법이며, 기판 상에 핵생성 표면을 설정하는 접촉 조건하에 기판을 디보란 증기로 구성된 증기와 접촉시키고, 수소의 존재하에 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 전구체를 이용하는 화학 기상 증착 공정에 의해 핵생성 표면 상에 몰리브데넘을 증착시켜, 기판 상에 몰리브데넘-함유 물질을 생성하는 것을 포함하는 방법.
KR1020197003968A 2016-07-14 2017-07-13 MoOCl4의 사용에 의한 CVD Mo 증착 KR102266610B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662362582P 2016-07-14 2016-07-14
US62/362,582 2016-07-14
PCT/US2017/041883 WO2018013778A1 (en) 2016-07-14 2017-07-13 Cvd mo deposition by using mooc14

Publications (2)

Publication Number Publication Date
KR20190028743A KR20190028743A (ko) 2019-03-19
KR102266610B1 true KR102266610B1 (ko) 2021-06-21

Family

ID=59579905

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197003968A KR102266610B1 (ko) 2016-07-14 2017-07-13 MoOCl4의 사용에 의한 CVD Mo 증착

Country Status (6)

Country Link
US (2) US20180019165A1 (ko)
JP (1) JP6793243B2 (ko)
KR (1) KR102266610B1 (ko)
CN (1) CN109661481B (ko)
TW (1) TWI648421B (ko)
WO (1) WO2018013778A1 (ko)

Families Citing this family (283)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10453744B2 (en) 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102572271B1 (ko) * 2017-04-10 2023-08-28 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) * 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20190067095A1 (en) * 2017-08-30 2019-02-28 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11560625B2 (en) * 2018-01-19 2023-01-24 Entegris, Inc. Vapor deposition of molybdenum using a bis(alkyl-arene) molybdenum precursor
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) * 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7425744B2 (ja) * 2018-04-20 2024-01-31 インテグリス・インコーポレーテッド ホウ素核形成層を利用した低温モリブデン膜堆積
CN112041969A (zh) * 2018-04-24 2020-12-04 应用材料公司 无阻挡层的钨沉积
WO2019209289A1 (en) * 2018-04-25 2019-10-31 Entegris, Inc. Low temperature molybdenum film depositon utilizing boron nucleation layers
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR20220129098A (ko) * 2018-07-26 2022-09-22 램 리써치 코포레이션 순수 금속 막의 증착
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
JP7422971B2 (ja) 2018-08-20 2024-01-29 エーエスエム・アイピー・ホールディング・ベー・フェー 基材および関連する半導体デバイス構造の誘電体表面上にモリブデン金属膜を堆積する方法
JP2020029618A (ja) * 2018-08-20 2020-02-27 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ 周期的堆積プロセスによって基材の誘電体表面上にモリブデン金属膜を堆積させる方法および関連する半導体デバイス構造
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US20200131628A1 (en) * 2018-10-24 2020-04-30 Entegris, Inc. Method for forming molybdenum films on a substrate
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN113195783A (zh) * 2018-12-19 2021-07-30 恩特格里斯公司 在还原共反应剂存在下沉积钨或钼层的方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210110886A (ko) 2019-01-28 2021-09-09 램 리써치 코포레이션 금속 막들의 증착
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
WO2021030327A1 (en) * 2019-08-12 2021-02-18 Applied Materials, Inc. Molybdenum thin films by oxidation-reduction
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20220084351A (ko) * 2019-10-21 2022-06-21 마테리온 코포레이션 벌크 밀도가 개선된 옥시염화 몰리브덴
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
CN115136285A (zh) 2020-01-16 2022-09-30 恩特格里斯公司 蚀刻或沉积的方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
JP7117336B2 (ja) 2020-01-30 2022-08-12 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び基板処理装置
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11821080B2 (en) 2020-03-05 2023-11-21 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Reagents to remove oxygen from metal oxyhalide precursors in thin film deposition processes
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210119809A (ko) 2020-03-25 2021-10-06 삼성전자주식회사 몰리브덴 화합물과 이를 이용한 집적회로 소자의 제조 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
CN111514881A (zh) * 2020-05-15 2020-08-11 山西大学 一种硼氧化钼纳米多孔薄膜电催化剂及其制备方法和应用
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
WO2021239596A1 (en) * 2020-05-26 2021-12-02 Merck Patent Gmbh Methods of forming molybdenum-containing films deposited on elemental metal films
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11869806B2 (en) * 2021-05-07 2024-01-09 Applied Materials, Inc. Methods of forming molybdenum contacts
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20220403505A1 (en) * 2021-06-16 2022-12-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023215135A1 (en) * 2022-05-05 2023-11-09 Lam Research Corporation Molybdenum halides in memory applications

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150262828A1 (en) * 2014-03-14 2015-09-17 Applied Materials, Inc. MULTI-THRESHOLD VOLTAGE (Vt) WORKFUNCTION METAL BY SELECTIVE ATOMIC LAYER DEPOSITION (ALD)

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB806696A (en) 1954-12-08 1958-12-31 John Simon Nachtman Method of producing refractory fibre laminate
GB877408A (en) 1956-08-23 1961-09-13 British Aluminium Co Ltd Improvements in or relating to dies
US3437515A (en) 1965-01-11 1969-04-08 Mearl Corp Method of coating surfaces with high index oxides
US3614829A (en) 1969-12-08 1971-10-26 Gen Electric Method of forming high stability self-registered field effect transistors
US3996489A (en) 1972-09-29 1976-12-07 Owens-Illinois, Inc. Gas discharge device including transition metal element on internal dielectric layer
US4668528A (en) * 1986-04-09 1987-05-26 Massachusetts Institute Of Technology Method and apparatus for photodeposition of films on surfaces
US4748045A (en) * 1986-04-09 1988-05-31 Massachusetts Institute Of Technology Method and apparatus for photodeposition of films on surfaces
EP0878711A1 (en) 1997-05-15 1998-11-18 Interuniversitair Micro-Elektronica Centrum Vzw Chemically sensitive sensor comprising arylene alkenylene oligomers
DE19825572A1 (de) * 1998-06-08 1999-12-09 Widia Gmbh Werkzeug aus einem Grundkörper und mindestens einer hierauf abgeschiedenen Schicht sowie Verfahren zur Herstellung einer Molybdänsulfidschicht auf einem Substratkörper
GB9822338D0 (en) * 1998-10-13 1998-12-09 Glaverbel Solar control coated glass
FR2834387B1 (fr) * 2001-12-31 2004-02-27 Memscap Composant electronique incorporant un circuit integre et un micro-condensateur
US6713199B2 (en) * 2001-12-31 2004-03-30 Memscap Multilayer structure used especially as a material of high relative permittivity
JP2005144432A (ja) 2003-11-18 2005-06-09 Rohm & Haas Co アルカンをアルケン、およびそれらの対応する酸素化生成物に転化するための触媒系
BRPI0500615B1 (pt) 2004-03-10 2015-07-14 Rohm & Haas Catalisador modificado, e, sistema de catalisador modificado
ITMI20040554A1 (it) 2004-03-23 2004-06-23 Polimeri Europa Spa Procedimento per la idrodealchilazione catalitica selettiva di idrocarburi alchilaromatici
EP1598110A1 (en) 2004-04-22 2005-11-23 Rohm and Haas Company Structured oxidation catalysts
TWI332418B (en) 2004-11-18 2010-11-01 Rohm & Haas Hybrid catalyst systems and hybrid process for converting alkanes to alkenes and to their corresponding oxygenated products
TWI314876B (en) 2004-11-18 2009-09-21 Rohm And Haas Compan Multi-staged catalyst systems and process for converting alkanes to alkenes and to their corresponding oxygenated products
US7361622B2 (en) 2005-11-08 2008-04-22 Rohm And Haas Company Multi-staged catalyst systems and process for converting alkanes to alkenes and to their corresponding oxygenated products
US20060122055A1 (en) 2004-12-06 2006-06-08 Gaffney Anne M (Amm)oxidation catalyst and catalytic (amm)oxidation process for conversion of lower alkanes
WO2006106517A2 (en) 2005-04-07 2006-10-12 Yeda Research And Development Company Ltd. Process and apparatus for producing inorganic fullerene-like nanoparticles
US20070106088A1 (en) 2005-11-08 2007-05-10 Abraham Benderly Hybrid catalyst systems and hybrid process for converting alkanes to alkenes and to their corresponding oxygenated products
ITMI20061548A1 (it) 2006-08-03 2008-02-04 Polimeri Europa Spa Composizioni catalitiche per idrodealchilazioni altamente selettive di idrocarburi alchilaromatici
US7795469B2 (en) 2006-12-21 2010-09-14 Rohm And Haas Company Process for the selective (AMM) oxidation of lower molecular weight alkanes and alkenes
CN101308794B (zh) * 2007-05-15 2010-09-15 应用材料股份有限公司 钨材料的原子层沉积
WO2009042713A1 (en) 2007-09-28 2009-04-02 Applied Materials, Inc. Vapor deposition of tungsten materials
JP5730670B2 (ja) * 2011-05-27 2015-06-10 株式会社Adeka 酸化モリブデンを含有する薄膜の製造方法、及び酸化モリブデンを含有する薄膜の形成用原料
US9283551B2 (en) 2013-01-23 2016-03-15 Mississippi State University Research And Technology Corporation Catalysts for converting syngas into liquid hydrocarbons and methods thereof
US9595470B2 (en) * 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
TWI732846B (zh) * 2016-04-25 2021-07-11 美商應用材料股份有限公司 透過控制前驅物混合來強化金屬的空間ald
US10453744B2 (en) * 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150262828A1 (en) * 2014-03-14 2015-09-17 Applied Materials, Inc. MULTI-THRESHOLD VOLTAGE (Vt) WORKFUNCTION METAL BY SELECTIVE ATOMIC LAYER DEPOSITION (ALD)

Also Published As

Publication number Publication date
KR20190028743A (ko) 2019-03-19
CN109661481A (zh) 2019-04-19
TWI648421B (zh) 2019-01-21
US20180019165A1 (en) 2018-01-18
JP2019527302A (ja) 2019-09-26
US20180286668A1 (en) 2018-10-04
US11107675B2 (en) 2021-08-31
WO2018013778A8 (en) 2018-08-30
WO2018013778A1 (en) 2018-01-18
TW201812070A (zh) 2018-04-01
JP6793243B2 (ja) 2020-12-02
CN109661481B (zh) 2021-11-30

Similar Documents

Publication Publication Date Title
KR102266610B1 (ko) MoOCl4의 사용에 의한 CVD Mo 증착
US20220025513A1 (en) Selective deposition on metal or metallic surfaces relative to dielectric surfaces
TWI731074B (zh) 相對於基板的第二表面選擇性沈積在基板的第一表面上的製程與方法
TWI490945B (zh) 處理基板的方法
KR102510701B1 (ko) 기판 상에 몰리브데넘 막을 형성하는 방법
US10453744B2 (en) Low temperature molybdenum film deposition utilizing boron nucleation layers
TWI647333B (zh) 利用硼成核層之低溫鉬膜沉積
CN111630204A (zh) 使用双(烷基芳烃)钼前体的钼气相沉积
TWI661080B (zh) 金屬矽化物的選擇性形成
TW202115270A (zh) 第六族金屬沈積方法
US10373824B2 (en) CVD silicon monolayer formation method and gate oxide ALD formation on semiconductor materials
TW200929384A (en) Vapor deposition of tungsten materials
US20190309411A1 (en) Method and system for low temperature ald
JP7425744B2 (ja) ホウ素核形成層を利用した低温モリブデン膜堆積
WO2019209289A1 (en) Low temperature molybdenum film depositon utilizing boron nucleation layers
TW574409B (en) Method for incorporating silicon into CVD metal films
TWI792401B (zh) Vi族前驅物化合物及製備其之方法、以及在基板上形成含有vi族金屬之材料之方法
Baum et al. CVD Mo deposition by using MoOCl 4
Stevens From Novel Processes to Industry-Relevant Applications: Atomic Layer Deposition of Metal, Metal Oxide, and Metal Carbide Thin-Films.

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant