CN112041969A - 无阻挡层的钨沉积 - Google Patents

无阻挡层的钨沉积 Download PDF

Info

Publication number
CN112041969A
CN112041969A CN201880092720.1A CN201880092720A CN112041969A CN 112041969 A CN112041969 A CN 112041969A CN 201880092720 A CN201880092720 A CN 201880092720A CN 112041969 A CN112041969 A CN 112041969A
Authority
CN
China
Prior art keywords
layer
metal
precursor
equal
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880092720.1A
Other languages
English (en)
Inventor
陈一宏
巫勇
秦嘉政
斯里尼瓦·甘迪科塔
凯尔文·陈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/961,363 external-priority patent/US10468263B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN112041969A publication Critical patent/CN112041969A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/28Deposition of only one other non-metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

公开了在不使用阻挡层的情况下沉积金属膜的方法。一些实施方式包含形成包含硅或硼中的一或多种的非晶成核层,和在所述成核层上形成金属层。

Description

无阻挡层的钨沉积
技术领域
本公开内容一般地涉及沉积薄膜的方法。特别地,本公开内容涉及沉积钨膜或含钨膜的方法。
背景技术
半导体处理工业持续需求更大的生产产量,同时增加在具有更大表面积的基板上所沉积的层的均匀性。这些相同的因素与新材料的结合还在每单位面积的基板上提供更高的电路集成度。随着电路集成度增加,对于关于层厚度的更大均匀性和工艺控制的需求上升。结果,已开发以成本有效的方式在基板上沉积层同时保持对层特性的控制的各种技术。
化学气相沉积(Chemical vapor deposition;CVD)是用于在基板上沉积层的最普遍的沉积工艺。CVD是一种通量依赖的沉积技术,所述技术需要对基板温度和引入处理腔室中的前驱物精确控制以产生具有均匀厚度的所需层。随着基板尺寸增加,这些要求变得越来越关键,这样需要更复杂的腔室设计和气流技术以保持足够的均匀性。
展示优异阶梯覆盖的CVD的变体是循环沉积或原子层沉积(atomic layerdeposition;ALD)。循环沉积基于原子层外延(atomic layer epitaxy;ALE)并且采用化学吸附技术以连续循环的方式将前驱物分子传递到基板表面上。循环将基板表面暴露于第一前驱物、净化气体、第二前驱物和净化气体。第一前驱物和第二前驱物反应以在基板表面上形成作为膜的产物化合物。重复循环以形成层至所需厚度。
非晶硅广泛地用于半导体装置、平板显示器和太阳能电池。对于深宽比特征中的具有保形性(即,良好的阶梯覆盖)或间隙填充性能的非晶硅沉积沉积工艺的开发,仍然存在关键的技术挑战。常规低压化学气相沉积(low pressure chemical vapor deposition;LPCVD)限于高温(>550℃)和低压,且因此展现了不良的阶梯覆盖和/或间隙填充性能;等离子体增强化学气相沉积(plasma enhanced chemical vapor deposition;PECVD)工艺也不能提供良好的阶梯覆盖和/或间隙填充性能。
由于半导体工业不断增加的集成度,钨已基于优异的阶梯覆盖而被使用。结果,由于工艺的高产量,采用CVD技术的钨沉积在半导体处理中得到了广泛的应用。然而,通过常规CVD方法沉积钨具有若干缺点。
例如,ALD工艺沉积钨膜至含有高深宽比(例如,20)的通孔中,而常规CVD工艺将通常导致类似通孔“被夹断”而不能完全填充。另外,钨不容易地粘附到某些表面(例如,电介质间隔物或氧化物)。为了增加钨对电介质间隔物的粘附,常规的工艺包括TiN层。作为种晶层的TiN膜的沉积可能很耗时,并且给整个工艺增加了额外的复杂性。
由于不良的成核性能,钨薄膜的原子层沉积(ALD)显示出对硅、二氧化硅和氮化钛服务上的非常长的培育延迟(incubation delay)。成核层通常用于缓解此问题。常规地,ALD WSix或WBx分别由WF6/Si2H6和WF6/B2H6来沉积。然而,WF6直接暴露于基板表面(例如,Si、SiO2)并且损坏基板。
另外,ALD钨膜不能良好直接地粘附在硅或氧化硅基板表面上。氮化钛胶层用于提高粘附性。然而,氮化钛胶层和WSix/WBx成核层并不能良好地导电,导致堆叠(W/WSix/TiN)的电阻率非常高。
因此,在本领域中存在对于沉积具有降低的电阻率并且无阻挡层/胶层的钨层的改进技术的需求。
发明内容
本公开内容的一或多个实施方式针对处理方法。方法包含将基板表面暴露于硼前驱物以形成非晶硼层。基板表面实质上无阻挡层。非晶硼层暴露于第一金属前驱物以将非晶硼层转变为第一金属层。通过将第一金属层暴露于第二金属前驱物,在第一金属层上形成第二金属层。
本公开内容的额外实施方式针对处理方法。方法包含将基板表面暴露于硅前驱物以形成非晶硅层。基板表面实质上无阻挡层。非晶硅层暴露于第一金属前驱物以将非晶硅层转变为第一金属层。通过将第一金属层暴露于第二金属前驱物,在第一金属层上形成第二金属层。
本公开内容的进一步实施方式针对处理方法。方法包含将基板表面暴露于硅前驱物和硼前驱物以形成包含硅和硼的非晶层。基板表面实质上无阻挡层。非晶层暴露于第一金属前驱物以将非晶层转变为第一金属层。通过将第一金属层暴露于第二金属前驱物,在第一金属层上形成第二金属层。
附图说明
以上简要概述本公开内容的上述详述特征可以被详细理解的方式、以及对本公开内容的更特定描述,可通过参照实施方式来获得,其中一些实施方式绘示于附图中。然而,应注意,附图仅绘示本公开内容的典型实施方式,因而不应视为对本发明的范围的限制,因为本公开内容可允许其他等同有效的实施方式。
图1示出根据本公开内容的一或多个实施方式的膜堆叠的示意图;
图2A示出根据本公开内容的一或多个实施方式的工艺方案;
图2B示出根据图2A的工艺方案的膜堆叠的示意图;
图3示出根据本公开内容的一或多个实施方式的工艺方案;
图4A示出根据本公开内容的一或多个实施方式的工艺方案;
图4B示出根据图4A的工艺方案的膜堆叠的示意图;
图5示出根据本公开内容的一或多个实施方式的膜堆叠的示意图;
图6A示出根据本公开内容的一或多个实施方式的工艺方案;
图6B示出根据图6A的工艺方案的膜堆叠的示意图;
图7示出根据本公开内容的一或多个实施方式的工艺方案;
图8A示出根据本公开内容的一或多个实施方式的工艺方案;和
图8B示出根据图8A的工艺方案的膜堆叠的示意图。
图9A示出根据本公开内容的一或多个实施方式的工艺方案;和
图9B示出根据图9A的工艺方案的膜堆叠的示意图。
具体实施方式
在描述本公开内容的若干实施方式之前,应将理解,本公开内容不限于在以下描述中阐述的结构或工艺步骤的细节。本公开内容可纳入其他实施方式并且能够以各种方式被实践或执行。
如本文中所使用的“基板表面”意指,进行膜处理于其上的基板的任何部分或者在基板上形成的材料表面的一部分。例如,视应用而定,在其上可进行处理的基板表面包括:材料,诸如硅、氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石的材料;和任何其他材料,诸如金属、金属氮化物、金属合金,和其他导电材料。基板表面上的阻挡层、金属或金属氮化物包括钛、氮化钛、氮化钨、钽和氮化钽。基板表面也可包括电介质材料,诸如二氧化硅和碳掺杂的氧化硅。基板可具有各种尺寸,诸如200mm或300mm直径的晶片,以及矩形或方形方格。在一些实施方式中,基板包含刚性非连续材料。
如本文使用的“原子层沉积”或“循环沉积”意味着连续暴露两种或两种以上反应化合物以在基板表面上沉积一材料层。如本说明书和附加权利要求书中所使用,术语“反应化合物”、“反应气体”、“反应物种”、“前驱物”、“工艺气体”及类似术语可互换使用以意指具有一物种的物质,所述物种在表面反应(例如,化学吸附、氧化、还原)中能够与基板表面或基板表面上的材料反应。基板或基板的一部分顺序地暴露于被引入处理腔室的反应区域中的两种或两种以上化合物。在时域ALD工艺中,暴露于每种反应化合物是通过时间延迟分离以允许每种化合物在基板表面上粘附和/或反应。在空间ALD工艺中,基板表面的不同部分或基板表面上的材料被同时地暴露于两种或两种以上反应化合物,以使得基板上的任何给定点实质上不同时暴露于多于一种反应化合物。如本说明书和附加权利要求书中所使用,如由本领域技术人员所理解,在此方面使用的术语“实质上”意指存在一小部分的基板可能由于扩散同时暴露于多种反应气体的可能性,并且同时暴露是非意欲的。
在时域ALD工艺的一个方面中,第一反应气体(即,第一前驱物或化合物A)被脉冲至反应区域中,然后进行第一时间延迟。下一步,第二前驱物或化合物B被脉冲至反应区域中,然后进行第二延迟。在每一时间延迟期间,诸如氩气的净化气体被引入处理腔室中以净化反应区域或以其他方式从反应区域去除任何剩余反应化合物或副产物。或者,净化气体可在整个沉积工艺期间连续流动,以使得仅净化气体在反应化合物的脉冲之间的时间延迟期间流动。或者,反应化合物可被脉冲化,直到在基板表面上形成所需的膜或膜厚度。在任一种情况下,脉冲化化合物A、净化气体、化合物B和净化气体的ALD工艺都是一个循环。循环可以化合物A或化合物B的任一种开始并且继续循环的相应顺序,直到实现具有所需厚度的膜为止。
在空间ALD工艺的一方面中,第一反应气体和第二反应气体(例如,氢自由基)被同时输送至反应区域中,但由惰性气幕和/或真空帘幕分离。基板相对于气体输送装置移动,以使得基板上的给定点暴露于第一反应气体和第二反应气体。
本公开内容的一或多个实施方式针对沉积共形非晶硅薄膜的方法,所述共形非晶硅薄膜作为金属ALD(例如,钨沉积)的胶层、氟扩散阻挡层和/或成核层的一或多个。虽然在许多实施方式中提及钨沉积,但是本领域技术人员将理解,其他金属(例如,Mo)可通过所公开的工艺来沉积。本公开内容不限于ALD钨沉积。
本公开内容的某些实施方式包括用于金属ALD工艺的工艺集成方案。例如,钨通过原子层沉积沉积在硅基板上。参看图1,堆叠10可包括具有胶层14(例如,TiN)、成核层16(例如,WSix和WBx)和金属18(例如,W)的基板12(例如,SiO2)。在工艺方案的一或多个实施方式中,成核层是由非晶硅层所替代。在一些实施方式中,成核层是由非晶硅层所替代并且使TiN胶层更薄。在一或多个实施方式中,成核层和胶层两者是由非晶硅层所替代。
参看图2A和图2B,本公开内容的一或多个实施方式针对处理方法300和膜堆叠200。在步骤310中提供具有基板表面222的基板220。在步骤320中,基板220暴露于硅前驱物以在基板表面222上形成非晶硅层240。
在一些实施方式中,非晶硅(a-Si)形成可通过将硅前驱物的分压最大化同时将晶片温度最小化来实现。适当的硅前驱物包括,但不限于聚硅烷(SixHy)。例如,聚硅烷包括乙硅烷(Si2H6)、丙硅烷(Si3H8)、四硅烷(Si4H10)、异四硅烷、新戊硅烷(Si5H12)、环戊硅烷(Si5H10)、六硅烷(C6H14)、环己硅烷(Si6H12)、或大体而言x=2或更大的SixHy,或上述物质的组合。例如,具有适中处理温度和高蒸气压力的乙硅烷可单独或与其他物种结合用作硅前驱物。
在一些实施方式中,硅前驱物实质上仅包含乙硅烷。如本说明书和附加权利要求书中所使用,用语“实质上仅乙硅烷”意指至少95%的活性物种是乙硅烷。可以任何量被包含的诸如载气和惰性气体的其他气体。
非晶硅层240的厚度可视例如基板表面和后续膜和工艺而变化。在一些实施方式中,非晶硅层240具有范围在约
Figure BDA0002740970040000061
至约
Figure BDA0002740970040000062
之间的厚度。在一或多个实施方式中,非晶硅层240具有范围在约
Figure BDA0002740970040000063
至约
Figure BDA0002740970040000064
或范围在约
Figure BDA0002740970040000065
至约
Figure BDA0002740970040000066
Figure BDA0002740970040000067
或范围在约
Figure BDA0002740970040000068
至约
Figure BDA0002740970040000069
之间的厚度。在一些实施方式中,非晶硅层240的厚度大于
Figure BDA00027409700400000610
并且小于或等于约
Figure BDA00027409700400000611
Figure BDA00027409700400000612
在步骤330中,在非晶硅层240上形成金属层280。金属层280可通过任何适当的技术形成,所述技术包括但不限于原子层沉积(ALD)、等离子体增强原子层沉积(PE-ALD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PE-CVD)和物理气相沉积(PVD)。
金属层280可包含任何适当的金属。在一些实施方式中,金属层280包含一或多种钨或钼。在一些实施方式中,金属层280基本上由钨组成。在一些实施方式中,金属层280基本上由钼组成。如在本文中所使用,“基本上由……组成”意指金属层280大于或等于指定组分的约80%、约85%、约90%或约95%的原子百分比。例如,金属层280基本上由钨组成,所述钨具有大于或等于例如约90原子%的钨的组成。
在一些实施方式中,金属层280是通过CVD沉积。金属前驱物和反应物可共流至处理腔室中以在基板上沉积一层。使前驱物和反应物在气相中反应。
在一些实施方式中,金属层280是通过ALD沉积。在一些实施方式中,通过将非晶硅层240顺序地暴露于金属前驱物和反应物来沉积金属层280。
金属前驱物可以是可用于沉积金属膜的任何适当的前驱物。在一些实施方式中,金属前驱物包含选自钨、钼和上述的组合的金属。在一或多个实施方式中,金属前驱物包含WF6和MoF6中的一或多种。在一些实施方式中,金属前驱物是含氟前驱物。众所周知,氟可蚀刻硅表面。本公开内容的一些实施方式有利地允许使用氟前驱物,因为非晶硅层240可形成至足以确保前驱物不去除所有a-Si膜的厚度。
反应物可以是能够与形成在表面上的物种反应的任何适当的反应物。例如,如果WF6用作前驱物,那么在表面上将有-WFx物种。反应物能够与-WFx物种反应以产生W膜。
图3示出其中包括在形成非晶硅层240之后的脱气工艺325的本公开内容的另一实施方式的工艺流程。在一些实施方式中,非晶硅层240被暴露于脱气环境以在形成金属层280之前去除已除气的物种。
沉积的非晶硅层240可将物种、例如氢放出或除气。脱气环境提供了气态物种放出的机会,这样将最终膜的起泡最小化。脱气环境可包括允许或鼓励将膜脱气的任何条件。例如,脱气环境可基本上由惰性气体组成。如在本文中所使用,“基本上由……组成”意指没有干扰沉积膜的除气的气态物种。其他反应物种可在不阻止膜脱气的情况下存在,同时仍基本上由惰性气体组成。
适当的惰性气体包括但不限于氩气、氦气、氮气,和/或上述气体的混合物的一或多种。
在一或多个实施方式中,除气的物种包含氢气、SiH2、SiH3、SiH4,和/或其他低级(low-order)硅烷。
处理腔室或者处理腔室的区域中的压力可对于前驱物暴露和脱气环境独立地控制。在一些实施方式中,对于硅前驱物和脱气环境中的每一个的暴露发生在约10毫托至约100托的范围中的压力下。在一些实施方式中,在大于或等于约500毫托、或大于或等于约1托、或大于或等于约5托、或大于或等于约10托、或大于或大于约20托、或大于或等于约30托的压力下将硅前驱物暴露于基板。
基板表面暴露于前驱物或脱气环境的温度可视例如正形成装置的热预算和前驱物而变化。在一些实施方式中,对前驱物和脱气环境中的每一个的暴露发生在约100℃至约700℃的范围中的温度下。在一或多个实施方式中,在约250℃至约600℃的范围中、或在约400℃至约550℃的范围中的温度下将卤化硅前驱物暴露于基板。
可改变在暴露于脱气环境之前以硅前驱物形成的a-Si膜的厚度。在一些实施方式中,对硅前驱物和脱气环境的每一暴露都会生长出具有范围在约
Figure BDA0002740970040000071
至约
Figure BDA0002740970040000072
之间的厚度的膜。
前驱物和脱气环境可重复连续地暴露于基板表面以形成具有预定厚度的膜。在一些实施方式中,非晶硅膜具有范围在约
Figure BDA0002740970040000073
至约1μm之间的总厚度。
在一些实施方式中,在小于约450℃的晶片温度下由乙硅烷沉积a-Si,并且乙硅烷分压大于或等于约20托。在示例性实施方式中,在大于或等于约20托的压力下,并且在约400℃至约550℃的范围中的温度下将基板暴露于硅前驱物。
参看图4A和图4B,本公开内容的一些实施方式进一步包含步骤360,在所述步骤中胶层260在形成非晶硅层240之前被沉积在基板上。胶层260是非晶硅可粘附且与非晶硅层被直接沉积在基板220上相比具有更小剥落可能性的层。在一些实施方式中,胶层包含TiN。在一或多个实施方式中,基板220具有氧化硅表面并且胶层包含TiN。
胶层260的厚度可视基板和将要沉积的非晶硅的厚度而变化。在一些实施方式中,胶层260具有范围在约
Figure BDA0002740970040000081
至约
Figure BDA0002740970040000082
之间,或范围在约
Figure BDA0002740970040000083
至约
Figure BDA0002740970040000084
之间的厚度。在一些实施方式中,胶层260具有小于或等于约
Figure BDA0002740970040000085
或小于或等于约
Figure BDA0002740970040000086
或小于或等于约
Figure BDA0002740970040000087
或小于或等于约
Figure BDA0002740970040000088
的厚度。
参考图4B,本公开内容的一或多个实施方式针对包含具有氧化物表面222的基板220的堆叠200。胶层260在氧化物表面222上。一些实施方式的胶层包含厚度范围在约
Figure BDA0002740970040000089
至约
Figure BDA00027409700400000810
之间的TiN。非晶硅层240在胶层260上并且具有范围在约
Figure BDA00027409700400000811
至约
Figure BDA00027409700400000812
之间的厚度。金属层280在非晶硅层240上并且包含钨和钼中的一或多种。
本公开内容的一或多个实施方式针对沉积共形掺杂非晶硅薄膜的方法,所述共形掺杂非晶硅薄膜作为金属沉积(例如,ALD钨沉积)的胶层、氟扩散阻挡层和/或成核层的一或多个。虽然在许多实施方式中提及钨沉积,但是本领域技术人员将理解,其他金属(例如,Mo)可通过所公开的工艺来沉积。本公开内容不限于ALD钨沉积、ALD沉积或钨沉积。
本公开内容的实施方式包括用于金属ALD工艺的工艺集成方案。例如,钨通过原子层沉积沉积在硅基板上。参看图5,堆叠10可包括具有胶层14(例如,TiN)、成核层16(例如,WSix和WBx)和金属18(例如,W)的基板12(例如,SiO2)。在工艺方案的一或多个实施方式中,用掺杂非晶硅层替代成核层。在一些实施方式中用掺杂非晶硅层替代成核层并且使TiN胶层更薄。在一或多个实施方式中,用掺杂非晶硅层替代成核层和胶层两者。
参看图6A和图6B,本公开内容的一或多个实施方式针对处理方法500和膜堆叠400。在步骤510中提供具有基板表面422的基板420。在步骤520中,基板420暴露于硅前驱物和掺杂剂以在基板表面422上形成掺杂非晶硅层440。
本公开内容的一些实施方式有利地提供了通过引入掺杂剂来降低成核层的沉积温度的方法。在一些实施方式中,通过在共形非晶硅层沉积期间添加掺杂剂来改变成核层的形态。在一些实施方式中,非晶硅的沉积温度可从大于或等于约400℃降低至小于或等于100℃或更低。在一些实施方式中,由于与非掺杂非晶硅膜相比的掺杂硅膜的不同的膜性质/形态,钨膜性质可有利地通过改变掺杂剂浓度而改变。
在一些实施方式中,掺杂非晶硅(掺杂a-Si)形成可通过将硅前驱物的分压最大化同时将晶片温度最小化来实现。在一些实施方式中,掺杂非晶硅是使用CVD沉积工艺而沉积,在所述沉积工艺中将硅前驱物和掺杂剂同时暴露于基板。
适当的硅前驱物包括,但不限于聚硅烷(SixHy)和卤代硅烷(SizHaXb)。例如,聚硅烷包括硅烷、乙硅烷(Si2H6)、丙硅烷(Si3H8)、四硅烷(Si4H10)、异四硅烷、新戊硅烷(Si5H12)、环戊硅烷(Si5H10)、六硅烷(C6H14)、环己硅烷(Si6H12)或大体而言其中z=1或更大的SizHa,或上述物质的组合。例如,具有适中处理温度和高蒸气压力的乙硅烷可单独或与其他物种结合用作硅前驱物。
例如,卤代硅烷包括二卤代硅烷(SiH2X2)、三卤代硅烷(SiHX3)、四卤代硅烷(SiX4)或六卤代二硅烷(Si2X6),或大体而言其中z=1或更大、X是卤素且b=1或更大的SizHaXb,及上述物质的组合。在一些实施方式中,存在于卤代硅烷中的卤素独立地选自氟、氯、溴或碘。在一些实施方式中,卤素基本上由氯组成。
在一些实施方式中,硅前驱物实质上仅包含乙硅烷。在一些实施方式中,硅前驱物实质上仅包含二氯硅烷。如本说明书和附加权利要求书中所使用,用语“实质上仅”意指至少95%的活性物种是所述物种。可包含任何量的诸如载气和惰性气体的其他气体。
掺杂剂可以是适用于掺杂沉积的非晶硅层的任何材料。在一些实施方式中,掺杂的非晶硅层包含硼、磷、砷和锗中的一或多种。在一些实施方式中,掺杂剂包括硼烷、二硼烷、膦、二膦、胂、联胂、锗烷或二锗烷中的一或多种。在一些实施方式中,掺杂剂实质上仅包含二硼烷。在一些实施方式中,掺杂剂实质上仅包含二膦。在一些实施方式中,掺杂剂实质上仅包含联胂。在一些实施方式中,掺杂剂实质上仅包含二锗烷。
掺杂非晶硅层440的厚度可视例如基板表面和后续膜和工艺而变化。在一些实施方式中,掺杂非晶硅层440的厚度大于或等于约
Figure BDA0002740970040000101
在一或多个实施方式中,掺杂非晶硅层440的厚度在约
Figure BDA0002740970040000102
至约
Figure BDA0002740970040000103
的范围内,或在约
Figure BDA0002740970040000104
至约
Figure BDA0002740970040000105
的范围内,或在约
Figure BDA0002740970040000106
至约
Figure BDA0002740970040000107
的范围内,或在约
Figure BDA0002740970040000108
至约
Figure BDA0002740970040000109
的范围内。在一些实施方式中,掺杂非晶硅层440的厚度在大约
Figure BDA00027409700400001010
至大约
Figure BDA00027409700400001011
的范围内。在一些实施方式中,掺杂非晶硅层440的厚度大于
Figure BDA00027409700400001012
且小于或等于约
Figure BDA00027409700400001013
Figure BDA00027409700400001014
在一些实施方式中,掺杂非晶硅层440具有足以形成连续层的最小厚度。如本文所使用,术语“连续”意味着在没有露出位于沉积层之下的材料的间隙或裸点的情况下覆盖整个暴露表面的层。连续层可具有小于膜的总表面积的约1%的表面积的间隙或裸点。
在一些实施方式中,掺杂非晶硅层440在基板420上共形地形成。如本文所使用,术语“共形”或“共形地”意味着层粘附于并且均匀地覆盖具有一厚度的暴露表面,所述厚度具有小于相对于膜的平均厚度的1%的变化。例如,
Figure BDA00027409700400001015
Figure BDA00027409700400001016
厚的膜将在厚度上具有小于
Figure BDA00027409700400001017
的变化。此厚度和变化包括凹槽的边缘、角落、侧面和底部。例如,在本公开内容的各个实施方式中沉积的共形层将在复杂表面上的基本上均匀厚度的沉积区域之上提供覆盖。
在步骤530中,在掺杂非晶硅层440上形成金属层480。金属层480可通过任何适当的技术形成,所述技术包括但不限于原子层沉积(ALD)、等离子体增强原子层沉积(PE-ALD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PE-CVD),和物理气相沉积(PVD)。
金属层480可包含任何适当的金属。在一些实施方式中,金属层480包含钨或钼中的一或多种。在一些实施方式中,金属层480基本上由钨组成。在一些实施方式中,金属层480基本上由钼组成。如在本文中所使用,“基本上由……组成”意指金属层480大于或等于指定组分的约80%、约85%、约90%或约95%的原子百分比。例如,金属层480基本上由钨组成,所述钨具有大于或等于例如约90原子%的钨的组成。
在一些实施方式中,金属层480是通过CVD沉积。金属前驱物和反应物可共流至处理腔室中以在基板上沉积一层。使前驱物和反应物在气相中反应。
在一些实施方式中,金属层480是通过ALD沉积。在一些实施方式中,通过将掺杂非晶硅层440顺序地暴露于金属前驱物和反应物来沉积金属层480。
金属前驱物可以是可用于沉积金属膜的任何适当的前驱物。在一些实施方式中,金属前驱物包含选自钨、钼和上述两项的组合的金属。在一或多个实施方式中,金属前驱物包含WF6和MoF6中的一或多种。在一些实施方式中,金属前驱物是含氟前驱物。众所周知,氟可蚀刻硅表面。本公开内容的一些实施方式有利地允许使用氟前驱物,因为掺杂非晶硅层240可形成至足以确保前驱物不去除所有掺杂非晶硅膜的厚度。
反应物可以是能够与形成在表面上的物种反应的任何适当的反应物。例如,在ALD工艺中,如果WF6用作前驱物,那么在表面上将有-WFx物种。反应物能够与-WFx物种反应以产生W膜。
图7示出其中包括在形成掺杂非晶硅层440之后的脱气工艺125的本公开内容的另一实施方式的工艺流程。在一些实施方式中,掺杂非晶硅层440被暴露于脱气环境以在形成金属层480之前去除已除气的物种。
沉积的掺杂非晶硅层440可将物种、例如氢放出或除气。脱气环境提供了气态物种放出的机会,这使最终膜的起泡最小化。脱气环境可包括允许或鼓励将膜脱气的任何条件。例如,脱气环境可基本上由惰性气体组成。如在本文中所使用,“基本上由……组成”意指没有干扰沉积膜的除气的气态物种。其他反应物种可在不阻止膜脱气的情况下存在,同时仍基本上由惰性气体组成。
适当的惰性气体包括但不限于氩气、氦气、氮气,和/或上述气体的混合物中的一或多种。
在一或多个实施方式中,除气的物种包含氢气、SiH2、SiH3、SiH4,和/或其他低级硅烷。
处理腔室或者处理腔室的区域中的压力可对于前驱物暴露和脱气环境独立地控制。在一些实施方式中,对于硅前驱物、掺杂剂和脱气环境中的每一个的暴露发生在约100毫托至约600托的范围中的压力下。在一些实施方式中,在大于或等于约500毫托、或大于或等于约1托、或大于或等于约5托、或大于或等于约10托、或大于或大于约20托、或大于或等于约30托的压力下将硅前驱物和/或掺杂剂暴露于基板。
基板表面暴露于硅前驱物、掺杂剂和/或脱气环境的温度可视例如所形成装置的热预算和硅前驱物和/或掺杂剂而变化。在一些实施方式中,对硅前驱物、掺杂剂和/或脱气环境中的每一个的暴露发生在从约25℃至约700℃的范围中的温度下。在一或多个实施方式中,在从约25℃至约700℃的范围中、或在从约50℃至约600℃的范围中、或在从约100℃至约550℃的范围中的温度下形成掺杂多晶硅层440。在一些实施方式中,在大于或等于约25℃且小于或等于约550℃、小于或等于约400℃、小于或等于约250℃、小于或等于约200℃,或小于或等于约100℃的温度下形成掺杂多晶硅层440。
以硅前驱物和掺杂剂形成的掺杂非晶硅层440的厚度可在暴露于脱气环境之前被改变。在一些实施方式中,对硅前驱物、掺杂剂和脱气环境的每一暴露都生长具有一厚度的膜,所述厚度在约
Figure BDA00027409700400001211
至约
Figure BDA00027409700400001212
Figure BDA00027409700400001213
至约
Figure BDA00027409700400001214
或约
Figure BDA00027409700400001216
至约
Figure BDA00027409700400001215
的范围中。
可将前驱物、掺杂剂和脱气环境重复连续地暴露于基板表面以形成具有预定厚度的膜。在一些实施方式中,非晶硅膜具有范围在约
Figure BDA00027409700400001217
至约1μm之间的总厚度。
参看图8A和图8B,本公开内容的一些实施方式进一步包含步骤560,在所述步骤中在形成掺杂非晶硅层440之前将胶层460沉积在基板上。胶层460是掺杂非晶硅可粘附且与掺杂非晶硅层被直接沉积在基板420上相比具有较小剥落可能性的层。在一些实施方式中,胶层包含TiN。在一或多个实施方式中,基板420具有氧化硅表面并且胶层包含TiN。
胶层460的厚度可视基板和将要沉积的掺杂非晶硅的厚度而变化。在一些实施方式中,胶层460具有范围在约
Figure BDA0002740970040000121
至约
Figure BDA0002740970040000122
之间,或范围在约
Figure BDA0002740970040000123
至约
Figure BDA0002740970040000124
之间的厚度。在一些实施方式中,胶层460具有小于或等于约
Figure BDA0002740970040000125
或小于或等于约
Figure BDA0002740970040000126
或小于或等于约
Figure BDA0002740970040000127
或小于或等于约
Figure BDA0002740970040000128
的厚度。
参看图8B,本公开内容的一或多个实施方式针对包含具有氧化物表面422的基板420的堆叠400。胶层460在氧化物表面422上。一些实施方式的胶层包含厚度范围在约
Figure BDA0002740970040000129
至约
Figure BDA00027409700400001210
之间的TiN。掺杂非晶硅层440在胶层460上并且具有范围在约
Figure BDA0002740970040000131
至约
Figure BDA0002740970040000132
之间的厚度。金属层480在掺杂非晶硅层440上并且包含钨和钼中的一或多种。
本公开内容的一或多个实施方式针对在包含硼或硅中的一或多种的薄膜上沉积金属层的方法,所述一或多个硼或硅在不使用阻挡层的情况下作为胶层、氟扩散阻挡层和/或成核层中的一或多个。虽然在许多实施方式中提及钨金属的沉积,但是本领域技术人员将理解,其他金属(例如,Mo)可通过所公开的工艺来沉积。另外,本公开内容不限于通过ALD沉积或钨沉积的金属沉积。
本公开内容的一些实施方式有利地提供了在实质上无阻挡层的基板表面上沉积金属层的方法。阻挡层的相对缺少允许形成金属线或结构,所述金属线或结构具有与含有阻挡层的类似结构相比相对更低的电阻。不受理论的约束,应相信,无阻挡层允许在相同体积中有更大量的金属沉积,并且降低了金属块的电阻。在一些实施方式中,金属层作为金属堆叠的一部分沉积。在一些实施方式中,成核层在无阻挡层的情况下直接形成在基板表面上。在一些实施方式中,成核层包含硅或硼中的一或多种。
本领域技术人员将认识到,含氟化合物可蚀刻某些表面(例如,硅表面)。本公开内容的一些实施方式有利地允许在无阻挡层的情况下使用含氟金属前驱物。不受理论的约束,应相信,成核层830可形成至一厚度,所述厚度足以确保金属前驱物不去除整个成核层并且不蚀刻或以其他方式损坏基板表面。
在一些实施方式中,暴露于成核层的金属前驱物实质上不包含氟。不受理论的约束,应相信,通过在无氟的情况下使用金属前驱物,可最小化或消除氟侵蚀对基板表面的影响。
参看图9A和图9B,本公开内容的一或多个实施方式针对用于形成膜堆叠800的处理方法700。在710处,将具有基板表面822的基板820暴露于成核前驱物以形成成核层830。在一些实施方式中,通过成核前驱物的热分解来形成成核层830。
在一些实施方式中,基板820包含电介质。在一些实施方式中,基板820包含二氧化硅或氧化铝中的一或多种。在一些实施方式中,基板820基本上由氧化硅或氧化铝组成。如在本文中所使用,“基本上由……组成”意指基板在原子基础上包含大于95%、98%、99%或99.5%的所述材料。本领域技术人员将认识到,术语“氧化硅”和“氧化铝”不传达任何特定的原子比。这些材料可以是化学计量的或非化学计量的。
在一些实施方式中,基板表面822实质上无阻挡层。如在本文中所使用,“实质上无阻挡层”意指少于5%、2%、1%或0.5%的基板表面包含阻挡层。在一些实施方式中,基板表面822实质上无阻挡层,所述阻挡层包含TiN、TaN、SiN、TiSiN或SiCN中的一或多种。
在一些实施方式中,成核前驱物包含硼前驱物并且成核层是硼层。在一些实施方式中,成核前驱物基本上由硼前驱物组成。在一些实施方式中,硼层是非晶硼层。
适当的硼前驱物包括但不限于硼烷、烷基硼烷和卤硼烷。在一些实施方式中,硼前驱物包含具有通式BcHdXeRf的一或多个物种,其中每一X是独立地选自F、Cl、Br和I的卤素,每一R是独立选择的C1-C4烷基,c是大于或等于2的任何整数,d、e和f中的各个小于或等于c+2并且d+e+f等于c+2。
在一些实施方式中,成核前驱物包含硅前驱物并且成核层是硅层。在一些实施方式中,成核前驱物基本上由硅前驱物组成。在一些实施方式中,硅层是非晶硅层。
适当的硅前驱物包括但不限于聚硅烷和卤代硅烷。在一些实施方式中,硅前驱物包含具有通式SigHhXi的一或多个物种,其中每一X是独立地选自F、Cl、Br和I的卤素,g是大于或等于1的任何整数,h和i各自小于或等于2g+2且h+i等于2g+2。
在一些实施方式中,成核前驱物包含硅前驱物和硼前驱物,并且成核层包含硅和硼。在一些实施方式中,成核层是非晶的。在一些实施方式中,非晶层包含不超过5原子百分比的硅。在一些实施方式中,非晶层包含不超过5原子百分比的硼。
成核层830的厚度可视例如基板表面822和后续膜和工艺而变化。在一些实施方式中,成核层830的厚度大于或等于约
Figure BDA0002740970040000141
在一或多个实施方式中,成核层830的厚度在约
Figure BDA0002740970040000142
至约
Figure BDA0002740970040000143
的范围内,或在约
Figure BDA0002740970040000144
至约
Figure BDA0002740970040000145
的范围内,或在约
Figure BDA0002740970040000146
至约
Figure BDA0002740970040000147
的范围内,或在约
Figure BDA0002740970040000148
至约
Figure BDA0002740970040000149
的范围内。在一些实施方式中,成核层830的厚度在大约
Figure BDA00027409700400001410
至大约
Figure BDA00027409700400001411
的范围内。在一些实施方式中,成核层830的厚度大于
Figure BDA00027409700400001412
且小于或等于约
Figure BDA00027409700400001413
Figure BDA00027409700400001414
在一些实施方式中,成核层830具有足以形成连续层的最小厚度。如本文所使用,术语“连续”意味着在没有露出位于沉积层之下的材料的间隙或裸点的情况下覆盖整个暴露表面的层。连续层可具有小于膜的总表面积的约1%的表面积的间隙或裸点。
在一些实施方式中,在基板表面822上共形地形成成核层830。如本文所使用,术语“共形”或“共形地”意味着层粘附于并且均匀地覆盖具有一厚度的暴露表面,所述厚度具有小于相对于膜的平均厚度的1%的变化。例如,
Figure BDA0002740970040000151
厚的膜将在厚度上具有小于
Figure BDA0002740970040000152
的变化。此厚度和变化包括凹槽的边缘、角落、侧面和底部。例如,在本公开内容的各个实施方式中沉积的共形层将在复杂表面上的基本上均匀厚度的沉积区域之上提供覆盖。
在720处,将成核层830暴露于第一金属前驱物以形成第一金属层840。第一金属前驱物包含第一金属。在一些实施方式中,形成第一金属层是通过将成核层转变为第一金属层来进行。在一些实施方式中,转变工艺涉及用第一金属原子替代成核层的原子。在一些实施方式中,H2作为与第一金属前驱物的共反应物添加。
第一金属可以是任何适当的金属。在一些实施方式中,第一金属包含钨或钼。在一些实施方式中,第一金属前驱物包含选自卤化物、羰基或环戊二烯中的一或多个配位体。在一些实施方式中,第一金属前驱物包含WF6、WCl6、WCl5、W(CO)5、MoF6、MoCl5或Mo(CO)6中的一或多种,或者基本上由上述物质中的一或多种组成。在一些实施方式中,第一金属前驱物基本上由W(CO)5组成。如在本文中所使用,“基本上由……组成”意指第一金属前驱物包含大于95%、98%、99%或99.5%的所述物种。
在一些实施方式中,第一金属前驱物实质上不包含氟。如在本文中所使用,“实质上不包含氟”意指第一金属前驱物在原子基础上包含大于2%、1%、0.5%或0.1%的氟原子。
在730处,通过将基板820暴露于第二金属前驱物,在第一金属层840上形成第二金属层850。第二金属前驱物包含第二金属。第二金属可以是任何适当的金属。在一些实施方式中,第二金属包含钨或钼。在一些实施方式中,第二金属层850基本上由钨组成。在一些实施方式中,第二金属层850基本上由钼组成。如在本文中所使用,“基本上由……组成”意指第二金属层850在原子基础上包含大于95%、98%、99%或99.5%的指定元素。在一些实施方式中,第一金属前驱物和第二金属前驱物包含相同金属。在一些实施方式中,第一金属前驱物和第二金属前驱物包含不同金属。
在一些实施方式中,第二金属前驱物包含选自卤化物、羰基或环戊二烯的一或多个配位体。在一些实施方式中,第二金属前驱物包含WF6、WCl6、WCl5、W(CO)5、MoF6、MoCl5或Mo(CO)6中的一或多种,或者基本上由上述物质中的一或多种组成。在一些实施方式中,第二金属前驱物基本上由W(CO)5组成。如在本文中所使用,“基本上由……组成”意指第一金属前驱物包含大于95%、98%、99%或99.5%的所述物种。
第二金属层850可通过任何适当的技术形成,所述技术包括但不限于原子层沉积(ALD)、等离子体增强原子层沉积(PE-ALD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PE-CVD)和物理气相沉积(PVD)。
在一些实施方式中,第二金属层850是通过CVD沉积。第二金属前驱物和反应物可共流至处理腔室中以在基板820上沉积第二金属层850。使第二金属前驱物和反应物在气相中反应。
在一些实施方式中,第二金属层850是通过ALD沉积。在一些实施方式中,通过将基板表面分别暴露于第二钨前驱物和反应物来形成第二金属层。
反应物可以是能够与形成在表面上的物种反应的任何适当的反应物。例如,在ALD工艺中,如果WF6用作前驱物,那么在表面上将有-WFx物种。反应物能够与-WFx物种反应以产生W膜。在一些实施方式中,反应物包含氢气(H2)或氮气(N2)。
在一些实施方式中,惰性气体可在处理期间被添加至处理腔室。在一些实施方式中,惰性气体是在形成第一金属膜840期间被添加。在一些实施方式中,惰性气体是在形成第二金属膜850期间被添加。惰性气体可与第一或第二金属前驱物一起流动至处理腔室中或可单独地流动。在一些实施方式中,惰性气体包含Ar、He或氮气(N2)中的一或多种。
将基板表面暴露于成核前驱物、第一金属前驱物和/或第二金属前驱物所在的温度可视例如所形成装置的热预算和所选前驱物而变化。在一些实施方式中,对每一种前驱物的暴露发生在从约25℃至约700℃的范围中的温度下。在一或多个实施方式中,在从约25℃至约700℃的范围中、或在从约50℃至约600℃的范围中、或在从约100℃至约550℃的范围中的温度下暴露基板。在一些实施方式中,在大于或等于约25℃且小于或等于约550℃、小于或等于约400℃、小于或等于约250℃、小于或等于约200℃、或小于或等于约100℃的温度下暴露基板。在一些实施方式中,成核层830和第一金属膜840是在从约300℃至约550℃的范围中的温度下形成,而第二金属膜是在从约200℃至约550℃的范围中的温度下形成。
将基板表面暴露于成核前驱物、第一金属前驱物和/或第二金属前驱物所在的压力可视例如所选前驱物和其他工艺条件而变化。在一些实施方式中,于每一种前驱物下的暴露发生在约0.01托至约100托的范围中的压力下。在一些实施方式中,在约0.01托至约100托的范围中、或在约0.1托至约80托的范围中、或在约1托至约60托的范围中的压力下暴露基板。在一些实施方式中,在大于或等于约1托且小于或等于约100托、小于或等于约80托、小于或等于约60托、小于或等于约40托,或小于或等于约25托的压力下暴露基板。在一些实施方式中,在约4托至约100托的范围中的压力下暴露基板。
本公开内容的一或多个实施方式针对以较低氢含量沉积高质量a-Si层的方法。在一些实施方式中,a-Si层是在相对高压力和相对高温度下沉积。在一些实施方式中,沉积发生在大于或等于200托、大于或等于225托、大于或等于250托、大于或等于275托、大于或等于300托、大于或等于325托、或大于或等于350托的压力下。在一些实施方式中,基板表面保持在大于或等于约450℃、大于或等于约475℃、大于或等于约500℃、大于或等于约525℃、或大于或等于约550℃的温度下。
非晶硅膜的质量是基于膜的氢含量来确定,如由卢瑟福背散射光谱法(Rutherford backscatterlng spectrometry;RBS)所测量。在一些实施方式中,a-Si层的氢含量小于或等于6原子百分比、小于或等于5原子百分比、小于或等于4原子百分比、小于或等于3原子百分比、小于或等于2原子百分比、小于或等于1原子百分比、小于或等于0.5原子百分比、。
根据一些实施方式,成核层(即,高质量的非晶硅膜)在低温下转变为金属(例如,钨)膜以提供具有较小氟渗透(即,氟含量)的膜,以减少膜剥落并且降低膜电阻率。在一些实施方式中,在小于或等于约450℃、小于或等于约425℃、小于或等于约400℃、小于或等于约375℃,或小于或等于约350℃的温度下将成核层暴露于第一金属前驱物。在一些实施方式中,在大于或等于约250℃、大于或等于约275℃、大于或等于约300℃、大于或等于约325℃,或大于或等于约350℃的温度下将成核层暴露于第一金属前驱物。在一些实施方式中,在从约250℃至约450℃的范围中、或在从约275℃至约425℃的范围中、或在从约300℃至约400℃的范围中的温度下将成核层暴露于第一金属前驱物。
不受理论约束,应相信,一些实施方式的相对低的氟渗透为所得的第一金属膜提供了更好的膜粘附性(例如,更少的膜剥落)和更低的电阻率。在一些实施方式中,所得第一金属膜的氟浓度小于或等于约1x1021原子/cm3、小于或等于约7.5x1020原子/cm3、或小于或等于约5x1020原子/cm3、小于或等于约2.5x1020原子/cm3,或小于或等于1x1020原子/cm3。在一些实施方式中,具有约20nm厚度的所得第一金属膜的电阻率小于或等于约20μΩ·cm、小于或等于约19μΩ·cm、小于或等于约18μΩ·cm、小于或等于约17μΩ·cm、小于或等于约16μΩ·cm,或小于或等于约15μΩ·cm。
本公开内容的一或多个实施方式针对利用相对较大的钨晶粒尺寸通过原子层沉积来沉积低氟钨块状膜的方法,如由x射线衍射所测量。在一些实施方式中,低氟块状钨的沉积是通过原子层沉积来进行,同时基板保持在大于或等于约450℃、大于或等于约475℃、大于或等于约500℃,或大于或等于约525℃的温度下。
发明人已发现,低氟块状钨膜的晶粒大小与沉积膜的温度成正比。例如,更高的沉积温度将形成具有更高晶粒大小的膜。不受理论约束,应相信,较小的钨晶粒导致电子散射。在一些实施方式中,低氟块状钨的平均晶粒大小大于或等于约
Figure BDA0002740970040000181
大于或等于约
Figure BDA0002740970040000182
大于或等于约
Figure BDA0002740970040000183
大于或等于约
Figure BDA0002740970040000184
Figure BDA0002740970040000185
大于或等于约
Figure BDA0002740970040000186
大于或等于约
Figure BDA0002740970040000187
大于或等于约
Figure BDA0002740970040000188
或大于或等于约
Figure BDA0002740970040000189
在低温(300℃至400℃)下形成的低氟块状钨膜的晶粒大小小于
Figure BDA00027409700400001810
发明人已发现,在对低于500℃的每隔10℃执行低氟块状钨的沉积时,低氟块状钨膜的电阻率增加了大于或等于约0.5μΩ·cm、大于或等于约0.75μΩ·cm,或大于或等于约1.0μΩ·cm。例如,如果在500℃下沉积的低氟块状钨膜具有15μΩ·cm的电阻率,那么在480℃下沉积的类似膜可具有大于或等于17μΩ·cm的电阻率。
根据一或多个实施方式,在根据本公开内容的处理之前和/或之后,对基板进行处理。此处理可在相同腔室或在一或多个单独的处理腔室中进行。在一些实施方式中,基板从第一腔室移动至单独的第二腔室以进行进一步处理。基板可直接从第一腔室移动至单独的处理腔室,或基板可从第一腔室移动至一或多个传送腔室,且随后移动至单独的处理腔室。因此,处理装置可包含与传送站连通的多个腔室。此种装置可被称为“群集工具”或“群集系统”,和类似装置。
通常,群集工具是包含多个腔室的模块化系统,所述多个腔室执行各种功能,所述功能包括基板中心定位和定向、脱气、退火、沉积和/或蚀刻。根据一或多个实施方式,群集工具包括至少第一腔室和中央传送腔室。中央传送腔室可容纳机器人,所述机器人可在处理腔室和负载锁定腔室之间或之中往返移动基板。传送腔室典型地保持在真空条件下并且提供一中间平台,所述中间平台用于将基板从一个腔室到另一个腔室和/或到位于群集工具前端的负载锁定腔室往返移动。可适用于本公开内容的两个众所周知的群集工具是均可从加利福尼亚州圣克拉拉的Applied Materials,Inc.获得的
Figure BDA0002740970040000191
Figure BDA0002740970040000192
然而,为了执行如本文所述的工艺的特定步骤的目的,腔室的精确布置和组合可改变。可使用的其他处理腔室包括但不限于周期性层沉积(cyclical layer deposition;CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、诸如快速热处理(rapid thermal processing;RTP)的热处理、等离子体氮化、脱气、定向、羟基化和其他基板工艺。通过在群集工具上的腔室中进行工艺,可避免在沉积后续膜之前在未氧化的情况下大气杂质对基板的表面污染。
根据一或多个实施方式,基板连续地在真空或“负载锁定”条件下,并且当基板从一个腔室移动到下一个腔室时不暴露于周围空气。传送腔室因此在真空下并且在真空压力下被“泵吸”。惰性气体可存在于传送腔室的处理腔室中。在一些实施方式中,惰性气体被用作净化气体以去除一些或所有反应物。根据一或多个实施方式,净化气体在沉积腔室的出口被注入以防止反应物从沉积腔室移动至传送腔室和/或至另外的处理腔室。因此,惰性气体的流动在腔室出口形成帘幕。
基板可在单个基板沉积腔室中处理,其中在另一基板被处理之前,单个基板被装载、处理和卸载。类似于输送系统,基板也可以连续地方式处理,其中多个基板单个地装载至腔室的第一部分中,穿过腔室并且从腔室的第二部分卸载。腔室和相关联输送系统的形状可形成直线路径或弯曲路径。另外,处理腔室可以是转盘式传送带,其中多个基板围绕中心轴移动并且在整个转盘式传送路径中暴露于沉积、蚀刻、退火、清洁等工艺。
在处理期间,可加热或冷却基板。此加热或冷却可通过任何适当的方式来实现,所述方式包括但不限于改变基板支撑件的温度并且将加热或冷却的气体流动至基板表面。在一些实施方式中,基板支撑件包括加热器/冷却器,所述加热器/冷却器可被控制以传导地改变基板温度。在一或多个实施方式中,所使用的气体(反应气体或者惰性气体)被加热或冷却以局部改变基板温度。在一些实施方式中,加热器/冷却器位于相邻于基板表面的腔室之内以对流地改变基板温度。
基板在处理期间也可以是静止的或旋转的。旋转基板可连续地或以不连续步骤旋转。例如,基板可在整个工艺期间旋转,或者基板可在暴露于不同反应或净化气体之间少量旋转。在处理期间旋转基板(连续地或逐步地)可通过将例如是气流几何形状中的局部变化的影响最小化来帮助产生更均匀的沉积或蚀刻。
贯穿本说明书对“一个实施方式”、“某些实施方式”、“一或多个实施方式”或“一实施方式”的提及意指结合所述实施方式描述的特定特征、结构、材料或特性被包括在本公开内容的至少一个实施方式中。因此,在贯穿本说明书的各个位置中出现的诸如“在一或多个实施方式中”、“在某些实施方式中”、“在一个实施方式中”或“在一实施方式中”的短语不必然意味着本公开内容的相同实施方式。此外,在一或多个实施方式中,特定特征、结构、材料或特性可以任何适当的方式结合。
尽管已参考特定实施方式描述了本文的公开内容,但应理解,这些实施方式仅是对本公开内容的原理和应用的说明。对于本领域技术人员将显而易见的是,在不脱离本公开内容的精神和范围的情况下,可以对本公开内容的方法和装置进行各种修改和变型。因此,本公开内容旨在包括在所附权利要求及其等同形式的范围内的修改和变型。

Claims (15)

1.一种处理方法,包含:
将基板表面暴露于硼前驱物以形成非晶硼层,所述基板表面实质上无阻挡层;
将所述非晶硼层暴露于第一金属前驱物以将所述非晶硼层转变为第一金属层;
通过将所述第一金属层暴露于第二金属前驱物,在所述第一金属层上形成第二金属层。
2.如权利要求1所述的方法,其中所述硼前驱物包含具有通式BcHdXeRf的一或多个物种,其中每一X是独立地选自F、Cl、Br和I的卤素,每一R是独立选择的C1-C4烷基,c是大于或等于2的任何整数,d、e和f中的各个小于或等于c+2并且d+e+f等于c+2。
3.如权利要求1所述的方法,其中所述第一金属前驱物和所述第二金属前驱物独立地包含WF6、WCl6、WCl5、W(CO)5、MoF6、MoCl5或Mo(CO)6中的一或多种。
4.如权利要求1所述的方法,其中所述第一金属前驱物实质上不包含氟。
5.如权利要求1所述的方法,其中所述第二金属层是通过将所述基板表面分别暴露于第二金属前驱物和反应物而形成。
6.一种处理方法,包含:
将基板表面暴露于硅前驱物以形成非晶硅层,所述基板表面实质上无阻挡层;
将所述非晶硅层暴露于第一金属前驱物以将所述非晶硅层转变为第一金属层;
通过将所述第一金属层暴露于第二金属前驱物,在所述第一金属层上形成第二金属层。
7.如权利要求6所述的方法,其中所述硅前驱物包含具有通式SigHhXi的一或多个物种,其中每一X是独立地选自F、Cl、Br和I的卤素,g是大于或等于1的任何整数,h和i各自小于或等于2g+2且h+i等于2g+2。
8.如权利要求6所述的方法,其中所述第一金属前驱物和所述第二金属前驱物独立地包含WF6、WCl6、WCl5、W(CO)5、MoF6、MoCl5或Mo(CO)6中的一或多种。
9.如权利要求6所述的方法,其中所述第一金属前驱物实质上不包含氟。
10.如权利要求6所述的方法,其中所述第二金属层是通过将所述基板表面分别暴露于第二金属前驱物和反应物而形成。
11.一种处理方法,包含:
将基板表面暴露于硅前驱物和硼前驱物以形成包含硅和硼的非晶层,所述基板表面实质上无阻挡层;
将所述非晶层暴露于第一金属前驱物以将所述非晶层转变为第一金属层;
通过将所述第一金属层暴露于第二金属前驱物,在所述第一金属层上形成第二金属层。
12.如权利要求11所述的方法,其中所述硅前驱物包含具有通式SigHhXi的一或多个物种,其中每一X是独立地选自F、Cl、Br和I的卤素,g是大于或等于1的任何整数,h和i各自小于或等于2g+2且h+i等于2g+2;并且所述硼前驱物包含具有通式BcHdXeRf的一或多个物种,其中每一X是独立地选自F、Cl、Br和I的卤素,每一R是独立选择的C1-C4烷基,c是大于或等于2的任何整数,d、e和f中的各个小于或等于c+2并且d+e+f等于c+2。
13.如权利要求11所述的方法,其中所述非晶层包含不超过5原子百分比的硅。
14.如权利要求11所述的方法,其中所述非晶层包含不超过5原子百分比的硼。
15.如权利要求11所述的方法,其中所述第二金属层是通过将所述基板表面分别暴露于第二金属前驱物和反应物而形成。
CN201880092720.1A 2018-04-24 2018-12-12 无阻挡层的钨沉积 Pending CN112041969A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/961,363 2018-04-24
US15/961,363 US10468263B2 (en) 2015-12-19 2018-04-24 Tungsten deposition without barrier layer
PCT/US2018/065151 WO2019209381A1 (en) 2018-04-24 2018-12-12 Tungsten deposition without barrier layer

Publications (1)

Publication Number Publication Date
CN112041969A true CN112041969A (zh) 2020-12-04

Family

ID=68293626

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880092720.1A Pending CN112041969A (zh) 2018-04-24 2018-12-12 无阻挡层的钨沉积

Country Status (5)

Country Link
JP (2) JP2021522411A (zh)
KR (1) KR102489425B1 (zh)
CN (1) CN112041969A (zh)
SG (1) SG11202009514WA (zh)
WO (1) WO2019209381A1 (zh)

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5851581A (en) * 1994-04-22 1998-12-22 Nec Corporation Semiconductor device fabrication method for preventing tungsten from removing
KR20030025317A (ko) * 2001-09-20 2003-03-29 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
US6835656B1 (en) * 2002-06-07 2004-12-28 Advanced Micro Devices, Inc. Method of forming ultra-shallow junctions in a semiconductor wafer with a deposited silicon layer and in-situ anneal to reduce silicon consumption during salicidation
US20080254623A1 (en) * 2001-05-22 2008-10-16 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
KR20100068845A (ko) * 2008-12-15 2010-06-24 주식회사 아이피에스 텅스텐 박막 증착방법
JP2010251760A (ja) * 2009-04-16 2010-11-04 Novellus Systems Inc 小臨界次元の接点装置及び相互接続子用の超薄低抵抗タングステンフィルムの堆積方法
KR20110108382A (ko) * 2008-12-31 2011-10-05 어플라이드 머티어리얼스, 인코포레이티드 비저항이 감소되고 표면 형태가 개선된 텅스텐 필름을 증착하는 방법
US20170207095A1 (en) * 2016-01-14 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20180019165A1 (en) * 2016-07-14 2018-01-18 Entegris, Inc. CVD Mo DEPOSITION BY USING MoOCl4

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5217817A (en) * 1989-11-08 1993-06-08 U.S. Philips Corporation Steel tool provided with a boron layer
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US8119527B1 (en) * 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
JP6416679B2 (ja) * 2015-03-27 2018-10-31 東京エレクトロン株式会社 タングステン膜の成膜方法
TWI716511B (zh) * 2015-12-19 2021-01-21 美商應用材料股份有限公司 用於鎢原子層沉積製程作為成核層之正形非晶矽
CN109563619A (zh) * 2016-07-26 2019-04-02 东京毅力科创株式会社 钨膜的成膜方法

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5851581A (en) * 1994-04-22 1998-12-22 Nec Corporation Semiconductor device fabrication method for preventing tungsten from removing
US20080254623A1 (en) * 2001-05-22 2008-10-16 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
KR20030025317A (ko) * 2001-09-20 2003-03-29 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
US6835656B1 (en) * 2002-06-07 2004-12-28 Advanced Micro Devices, Inc. Method of forming ultra-shallow junctions in a semiconductor wafer with a deposited silicon layer and in-situ anneal to reduce silicon consumption during salicidation
KR20100068845A (ko) * 2008-12-15 2010-06-24 주식회사 아이피에스 텅스텐 박막 증착방법
KR20110108382A (ko) * 2008-12-31 2011-10-05 어플라이드 머티어리얼스, 인코포레이티드 비저항이 감소되고 표면 형태가 개선된 텅스텐 필름을 증착하는 방법
JP2010251760A (ja) * 2009-04-16 2010-11-04 Novellus Systems Inc 小臨界次元の接点装置及び相互接続子用の超薄低抵抗タングステンフィルムの堆積方法
US20170207095A1 (en) * 2016-01-14 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20180019165A1 (en) * 2016-07-14 2018-01-18 Entegris, Inc. CVD Mo DEPOSITION BY USING MoOCl4

Also Published As

Publication number Publication date
WO2019209381A1 (en) 2019-10-31
JP2021522411A (ja) 2021-08-30
KR20200136045A (ko) 2020-12-04
JP2023036583A (ja) 2023-03-14
SG11202009514WA (en) 2020-11-27
KR102489425B1 (ko) 2023-01-18

Similar Documents

Publication Publication Date Title
US10854461B2 (en) Tungsten deposition without barrier layer
US12020938B2 (en) Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
CN108369897B (zh) 用于钨原子层沉积工艺的作为成核层的共形非晶硅
US11898242B2 (en) Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US20200365456A1 (en) Low resistivity films containing molybdenum
US11549181B2 (en) Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
KR20190024841A (ko) 주기적 증착 공정에 의하여 유전체 표면 위에 몰리브덴 금속막을 증착하는 방법 및 이와 관련된 반도체 소자 구조
US10851454B2 (en) Metal deposition methods
CN111194361B (zh) 用于金属沉积的作为成核层的保形的掺杂的非晶硅
KR20210024418A (ko) 기판 표면 위에 다결정질 몰리브덴 막을 형성하는 방법 및 이와 관련된 다결정 몰리브덴 막을 포함한 구조
US10991586B2 (en) In-situ tungsten deposition without barrier layer
KR102489425B1 (ko) 장벽 층이 없는 텅스텐 증착
JP7422971B2 (ja) 基材および関連する半導体デバイス構造の誘電体表面上にモリブデン金属膜を堆積する方法
CN113463066A (zh) 在没有阻挡层的情况下的原位钨沉积
WO2021055761A1 (en) Methods for atomic layer deposition of sico(n) using halogenated silylamides

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination