TWI731074B - 相對於基板的第二表面選擇性沈積在基板的第一表面上的製程與方法 - Google Patents

相對於基板的第二表面選擇性沈積在基板的第一表面上的製程與方法 Download PDF

Info

Publication number
TWI731074B
TWI731074B TW106114561A TW106114561A TWI731074B TW I731074 B TWI731074 B TW I731074B TW 106114561 A TW106114561 A TW 106114561A TW 106114561 A TW106114561 A TW 106114561A TW I731074 B TWI731074 B TW I731074B
Authority
TW
Taiwan
Prior art keywords
substrate
reactant
deposition process
vapor deposition
item
Prior art date
Application number
TW106114561A
Other languages
English (en)
Other versions
TW201809333A (zh
Inventor
艾琳娜 凡
秀美 末盛
雷傑 梅特洛
安堤 尼森卡恩
蘇維 P. 賀加
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW201809333A publication Critical patent/TW201809333A/zh
Application granted granted Critical
Publication of TWI731074B publication Critical patent/TWI731074B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明提供其中將材料相對於基板的第二有機表面選擇 性地沈積於基板的第一表面上的氣相沈積製程。使包括第一表面的基板與第一氣相疏水性反應物及第二氣相反應物接觸,以使得所述材料相對於第二有機表面選擇性地沈積於第一表面上。第二有機表面可包含例如自組裝單層、定向自組裝層或聚合物。進行沈積的材料可為例如金屬材料或金屬性材料。所述材料為金屬氧化物(例如ZrO2或HfO2)。氣相沈積製程為循環化學氣相沈積製程或原子層沈積製程。所述材料以大於約50%的選擇性相對於第二表面沈積於第一表面上。

Description

相對於基板的第二表面選擇性沈積在基板的第 一表面上的製程與方法 [相關申請案的參考]
本申請案主張於2016年5月5日提出申請的美國申請案第62/332,396號的優先權,所述美國申請案的揭露內容併入本案供參考。
本發明大體而言是有關於半導體裝置製造領域,且更具體而言是有關於將材料相對於基板的第二有機表面選擇性地沈積於基板的第一表面上。
在半導體製造中不斷縮小的裝置尺寸需要新的創新性處理方法。所想到的該些處理方法中的一種方法為選擇性沈積,所述選擇性沈積在當今半導體製造商中已得到愈來愈多的關注。選擇性沈積在各個方面將為極其有益的。舉例而言,選擇性沈積可能夠使微影步驟及蝕刻步驟減少,從而降低處理成本。選擇性沈積亦可使得狹窄結構中的比例縮放增強,從而使得可進行自下而 上的填充。
大部分原子層沈積氧化物是使用H2O、H2O2或者O3電漿或O2電漿來沈積。對於其中基板包括有機表面的沈積而言O3電漿及O2電漿通常不是較佳的,此乃因O3電漿及O2電漿可在沈積期間破壞或燃盡有機材料。因此,在此種情況下通常使用H2O。然而,H2O因其難以在沈積期間自反應室完全移除而存在問題。此積聚的水可造成化學氣相沈積生長並可導致在選擇性原子層沈積製程中失去選擇性。此外,若H2O分子能夠滲透至自組裝單層(self-assembled monolayer,SAM)的長碳鏈之間或另一有機材料(例如聚合物材料)內部,則可能在提供金屬前驅物時將會存在該些H2O分子,且因此可能出現不期望的化學氣相沈積生長。增加吹洗時間來解決該些問題將會顯著增加總體處理時間且同時將會減少產量。
根據一個態樣,本發明提供將材料相對於基板的第二有機表面選擇性地沈積於基板的第一表面上的氣相沈積製程。在某些實施例中,所述製程包括:使所述基板與第一氣相疏水性反應物及第二氣相反應物接觸,以使得所述材料相對於第二有機表面選擇性地沈積於第一表面上。在某些實施例中,第一表面不是有機表面且不包含任何有機材料。第一表面可為例如金屬表面、半金屬表面、經氧化的金屬表面或經氧化的半金屬表面。在某些實施例中,第一表面可為金屬氧化物表面、金屬碳化物表面、金屬 氮化物表面、金屬矽化物表面或金屬矽酸鹽表面。在某些實施例中,第一表面為介電表面。
第二有機表面可包括例如自組裝單層或定向自組裝層。在某些實施例中,第二有機表面包含聚合物,例如聚醯亞胺、聚醯胺、聚脲或聚苯乙烯。
進行沈積的材料可為金屬材料或金屬性材料。在某些實施例中,所述材料為金屬氧化物,例如ZrO2或HfO2。在某些實施例中,所述材料為金屬氮化物。
在某些方法中,藉由包括使基板與包含金屬的第一疏水性反應物及第二反應物接觸的製程而將金屬氧化物相對於基板的第二表面沈積於基板的第一表面上。第一基板表面可包含例如含金屬或半金屬的材料,且第二基板表面可包含有機材料。
在某些實施例中,第一疏水性反應物包含金屬。第一疏水性反應物可包含至少一個疏水性烴配位體。舉例而言,第一疏水性反應物可包含一或多個烷基、烯基、環狀C3至C8基或芳香族基。在某些實施例中,疏水性反應物可包含一個或兩個親水性配位體,例如烷基胺配位體、烷氧化物配位體或鹵化物配位體。
在某些實施例中,金屬的第一疏水性反應物具有式LnMXy,其中:‧n為1至6;‧y為0至2;‧L為疏水性配位體; ‧X為親水性配位體;且‧M為金屬。
在某些實施例中,M為Hf、Zr、Ta或Nb。L可為例如Cp或C1-C4烷基。
在某些實施例中,第一反應物為雙(甲基環戊二烯基)甲氧基甲基鋯(IV)。在某些實施例中,第一反應物為雙(甲基環戊二烯基)甲氧基甲基鉿(IV)。在某些實施例中,將ZrO2相對於第二有機表面選擇性地沈積於第一表面上,第一反應物為雙(甲基環戊二烯基)甲氧基甲基鋯(IV),且第二反應物為H2O。在某些實施例中,將HfO2相對於第二有機表面選擇性地沈積於第一表面上,第一反應物為雙(甲基環戊二烯基)甲氧基甲基鉿(IV),且第二反應物為H2O。
在某些實施例中,第二反應物包含氧或氮。舉例而言,第二反應物可包含H2O、O3、H2O2、氧電漿、氧離子、氧自由基、或氧的其他激發態物質。在某些實施例中,第二反應物可包含NH3、N2H4、氮電漿、氮離子、氮自由基或其他激發態氮物質。在某些實施例中,第二反應物包含氫。
在某些實施例中,氣相沈積製程可為化學氣相沈積製程,例如循環化學氣相沈積製程。在某些實施例中,氣相沈積製程可為原子層沈積製程。在某些實施例中,使基板與第一反應物及第二反應物交替地及依序地接觸。
在某些實施例中,所述材料以大於約50%、大於約60%、 大於約70%、大於約80%、大於約90%、或大於約95%的選擇性相對於第二表面沈積於第一表面上。
11、12、13、14、15、16:步驟
圖1為當在300℃下沈積於以下者上時在各種目標厚度下的ZrO2的接觸角的曲線圖:矽基板上的天然氧化物(NatOx)、或矽基板上的天然氧化物上所沈積的三氯(十八烷基)矽烷的自組裝單層(SAM)。
圖2A示出在藉由原子層沈積、利用雙(甲基環戊二烯基)甲氧基甲基鋯(IV)及H2O進行沈積之後在自組裝單層表面上不存在ZrO2,而圖2B示出已沈積於天然氧化物表面上的ZrO2膜。
圖3A示出圖1的基板的X射線光電子光譜(X-ray photoelectron spectroscopy,XPS)分析。至10奈米ZrO2的目標厚度為止在自組裝單層表面上未觀察到Zr。
圖3B示出在ZrO2的目標厚度為5奈米或10奈米的情況下對自組裝單層表面上的沈積的X射線光電子光譜分析。
圖4A為矽基板上的自組裝單層的掃描穿透式電子顯微鏡(scanning transmission electron microscopy,STEM)影像。
圖4B為示出藉由原子層沈積、使用雙(甲基環戊二烯基)甲氧基甲基鋯(IV)及H2O而未將ZrO2沈積於矽基板上的自組裝單層表面上的掃描穿透式電子顯微鏡影像。
圖4C為示出藉由原子層沈積、使用雙(甲基環戊二烯基) 甲氧基甲基鋯(IV)及H2O而將ZrO2沈積於矽基板上的天然氧化物表面上的掃描穿透式電子顯微鏡影像。
圖5示出隨著矽基板上的天然氧化物(Natox)表面及聚醯亞胺(polyimide;PI)表面兩個表面上的ZrO2沈積循環而變化的ZrO2膜厚度。
圖6為根據某些實施例的用於相對於第二有機表面在第一表面上進行選擇性沈積的原子層沈積製程的流程圖。
在本發明的一個態樣中,可藉由氣相沈積製程將材料相對於基板的第二有機表面選擇性地沈積於基板的第一表面上。在某些實施例中,將金屬氧化物(例如ZrO2)相對於基板的第二有機表面選擇性地沈積於第一表面上。在某些實施例中,所述沈積製程為利用至少一種疏水性金屬反應物的氣相沈積製程。在某些實施例中,使用循環式氣相沈積,例如循環式化學氣相沈積製程或原子層沈積(atomic layer deposition,ALD)製程。舉例而言,所述氣相沈積製程可為原子層沈積(ALD)製程,所述原子層沈積製程利用至少一種疏水性金屬反應物以將材料(例如金屬氧化物)相對於基板的第二有機表面選擇性地沈積於第一表面上。在所選擇材料的選擇性沈積完成之後,可進一步進行處理以形成所期望的結構。
期望進行沈積的第一表面可為例如金屬表面、半金屬表面或介電表面。上面不期望進行沈積的第二有機表面可包含例如 聚合物(例如聚醯亞胺及聚苯乙烯)、非晶碳、光阻劑材料或石墨烯。在某些實施例中,有機表面可包括自組裝單層(SAM)。在某些實施例中,藉由在氣相沈積製程中使用疏水性前驅物而使第二有機表面上的沈積相對於第一表面減少。
在某些實施例中,選擇性原子層沈積製程包括:使包括第一表面及第二有機表面的基板與第一疏水性反應物(例如疏水性金屬反應物)及第二反應物交替地及依序地接觸。在某些實施例中,藉由原子層沈積製程來沈積金屬氧化物,在所述原子層沈積製程中,使基板與疏水性金屬反應物及包含氧的第二反應物(例如水)交替地及依序地接觸。
在某些實施例中,選擇性化學氣相沈積製程包括:使包括第一表面及第二有機表面的基板與第一疏水性反應物(例如疏水性金屬反應物)及第二反應物接觸。在某些實施例中,藉由化學氣相沈積製程來沈積金屬氧化物,在所述化學氣相沈積製程中,使基板與疏水性金屬反應物及包含氧的第二反應物(例如水)接觸。在某些實施例中,化學氣相沈積製程可包括使基板與第一反應物及第二反應物依序地接觸。在某些實施例中,選擇性化學氣相沈積反應是由第一表面而非由第二有機表面開始,從而引起相對於第二有機表面在第一表面上進行的選擇性沈積。
基板表面
如上所述,利用氣相沈積製程將材料相對於第二有機表面(例如包括有機膜的表面)選擇性地沈積於第一基板表面上。
在某些實施例中,第一表面(材料選擇性沈積於其上)包含金屬或半金屬。在某些實施例中,第一表面可包含金屬性材料。在某些實施例中,第一表面包含Co、W或Ru。
在某些實施例中,第一表面可包含氧化金屬材料、半金屬材料或金屬性材料。舉例而言,第一表面可包含已在其表面上被氧化的金屬材料或金屬性材料,例如,Co、W或Ru。在某些實施例中,第一表面不包含有機材料。
在某些實施例中,第一表面可包含介電材料或電性絕緣材料,例如介電金屬或過渡金屬氧化物(如Al2O3或TiO2)。
在某些實施例中,第一表面可包含矽及/或鍺。舉例而言,第一表面可包含氮化矽、氧化矽、碳化矽或氧化鍺。
在某些實施例中,第一表面可包含氧化物、氮化物、碳化物或矽酸鹽。
在某些實施例中,第一表面可包含以上所列材料中的二或更多者的混合物。
第二表面包含有機材料。在某些實施例中,第二表面包含自組裝單層(SAM)。舉例而言,第二表面可包含三氯(十八烷基)矽烷自組裝單層。
在某些實施例中,第二有機表面包含聚合物,例如聚合物膜。舉例而言,第二有機表面可包含聚醯亞胺、聚醯胺、聚脲、聚苯乙烯、聚胺基甲酸酯、聚硫脲、聚酯、聚亞胺、聚噻吩、或其他此種聚合物。在某些實施例中,所述聚合物可包含二聚物或 三聚物。在某些實施例中,有機表面可包含上述材料的其他聚合形式或混合物。
在某些實施例中,第二有機表面包括定向自組裝層(directed self-assembled layer,DSA),例如嵌段共聚物層。
在某些實施例中,第二有機表面包含石墨烯或碳的另一形式。在某些實施例中,第二有機表面包含非晶碳。非晶碳可包含氫。
在某些實施例中,第二有機表面包含光阻劑材料。
在某些實施例中,第二有機表面不包含基板表面的烴污染物,例如來自大氣的烴污染物。
在某些實施例中,例如但不限於自組裝單層的情形,有機表面的接觸角(單位:°;度)為95或大於95、100或大於100、105或大於105、107或大於107、109或大於109、110或大於110、111或大於111、112或大於112、或者113或大於113。在某些實施例中,例如(但不受限制)在為聚合物層(例如聚醯亞胺層)的情形中,有機表面的接觸角(單位:°;度)為40或大於40、60或大於60、70或大於70、80或大於80、85或大於85、或者90或大於90。在某些實施例中,接觸角(單位:°;度)小於180或小於120,例如為40至120、60至120、70至120、80至120、90至120、95至120、100至120、105至120、107至120、109至120、110至120、111至120、112至120、113至120等。
在某些實施例中,有機表面不會因選擇性沈積製程顯著 改變。舉例而言,在某些實施例中,組成物不會顯著改變。在某些實施例中,接觸角不會因選擇性沈積製程顯著改變。
選擇性
如上所述,在某些實施例中,將材料相對於不同的第二有機表面選擇性地沈積於第一基板表面上。選擇性可被表達為藉由[(第一表面上的沈積)-(第二有機表面上的沈積)]/(第一表面上的沈積)所計算的百分比。沈積可以各種方式中的任一種方式來量測。在某些實施例中,沈積可被表達為所沈積材料的量測厚度。在某些實施例中,沈積可被表達為所沈積材料的量測量。
在某些實施例中,選擇性大於約10%、大於約50%、大於約75%、大於約85%、大於約90%、大於約93%、大於約95%、大於約98%、大於約99%、或甚至大於約99.5%。在本文所述的實施例中,選擇性可隨著沈積的持續時間或厚度而變化。
在某些實施例中,相對於基板的第二有機表面在基板的第一表面上的沈積具有至少約80%的選擇性,所述至少約80%的選擇性對於某些特定應用而言可為足夠的選擇性。在某些實施例中,相對於基板的第二有機表面在基板的第一表面上的沈積具有至少約50%的選擇性,所述至少約50%的選擇性對於某些特定應用而言可為足夠的選擇性。在某些實施例中,相對於基板的第二有機表面在基板的第一表面上的沈積具有至少約10%的選擇性,所述至少約10%的選擇性對於某些特定應用而言可為足夠的選擇性。
在某些實施例中,沈積僅發生在第一表面(例如,金屬性表面或介電表面)上,而不發生在第二有機表面上。
沈積
在某些實施例中,藉由其中使基板與兩或更多種氣相反應物接觸的氣相沈積製程將材料(例如金屬氧化物)相對於第二有機表面選擇性地沈積於第一表面上。在某些實施例中,反應物包括第一疏水性反應物及第二反應物。可選擇第一疏水性反應物及第二反應物以沈積所期望的材料。在某些實施例中,可利用額外的反應物(第三反應物、第四反應物等),例如以對進行沈積的材料貢獻額外組分。
在某些實施例中,第一表面對氣相反應物中的一或多者具有實質上反應性,而第二有機表面不具有實質上反應性。
在某些實施例中,進行選擇性沈積的材料包含金屬,且第一疏水性反應物包含所沈積材料中所包含的金屬。在某些實施例中,進行選擇性沈積的材料為金屬氧化物,且第一疏水性反應物包含金屬氧化物中所包含的金屬,且第二反應物包含氧。在某些實施例中,藉由使基板與包含鋯的第一疏水性反應物及包含氧的第二反應物接觸來選擇性地沈積Zr2O。
在某些實施例中,進行選擇性沈積的材料為金屬氮化物且第一疏水性反應物包含金屬氮化物中所包含的金屬且第二反應物包含氮。
在某些實施例中,進行選擇性沈積的材料為包含硫、碳、 矽、及/或氫的金屬膜或金屬性膜。在某些實施例中,所述材料為硫化物。在某些實施例中,所述材料為矽化物。在某些實施例中,所述材料為元素金屬。再者,第一疏水性反應物可包含所沈積材料中所包含的金屬。
儘管被稱為第一疏水性反應物、第二反應物等,但在特定實施例中,所述反應物可以不同次序提供或一起提供。
在某些實施例中,氣相沈積製程為原子層沈積型製程。在某些實施例中,沈積製程可為其中反應物中的至少一者被至少部分地分解(例如選擇性地分解於第一表面上)的氣相沈積製程。舉例而言,在某些實施例中,氣相沈積製程可為化學氣相沈積(chemical vapor deposition,CVD)製程,例如順序或循環化學氣相沈積製程或者單源化學氣相沈積製程。
在某些實施例中,將上面期望進行沈積的基板(例如半導體工件)裝載至反應空間或反應器中。可端視特定情況而使用各種類型的反應器。該些反應器包括例如流動型反應器(flow-type reactor)、交叉流動反應器(cross-flow reactor)、噴淋頭型反應器及分區反應器。在某些實施例中,使用能夠大批量製造的單一晶圓反應器。在其他實施例中,使用能夠對多個基板進行處理的批量反應器。對於其中使用批量反應器的實施例,基板的數目可例如處於10至200範圍內、處於50至150範圍內、或處於100至130範圍內。反應器可為其中在形成積體電路時進行各種不同製程的集束型工具(cluster tool)的部件。
可使用的合適的反應器的實例包括以下市售設備,例如可購自亞利桑那州菲尼克斯市的ASM美國公司(ASM America,Inc.of Phoenix,Arizona)及荷蘭阿爾梅勒市的ASM歐洲公司(ASM Europe B.V.,Almere,Netherlands)的F-120®反應器、F-450®反應器、普爾薩(Pulsar®)反應器(例如Pulsar®2000及Pulsar® 3000)、艾默爾(Emer)ALD®反應器及阿德旺(Advance®)400系列反應器。其他市售反應器包括以商品名伊格爾(Eagle®)XP及Eagle® XP8自ASM日本股份有限公司(日本東京)購得的反應器。
在某些實施例中,原子層沈積製程包括使包括第一表面及第二有機表面的基板與第一疏水性反應物及第二反應物交替地及依序地接觸。原子層沈積型製程是基於受控的、一般為自限制的表面反應。通常藉由使基板與反應物交替地及依序地接觸來避免氣相反應。例如藉由移除反應物脈衝之間的過量反應物及/或反應副產物而使氣相反應物在反應室中彼此分開。可借助於吹洗氣體及/或真空而自與基板表面的鄰近處移除反應物。在某些實施例中,藉由例如以惰性氣體進行吹洗而自反應空間移除過量反應物及/或反應副產物。
簡言之,通常在降低的壓力下將包括至少第一表面及第二不同有機表面的基板加熱至合適的沈積溫度。一般將沈積溫度維持為低於反應物的熱分解溫度但處於足夠高的水準以避免反應物冷凝並為所期望的表面反應提供活化能量。當然,對於任何給 定原子層沈積反應而言適宜的溫度視窗(temperature window)將依賴於所涉及的表面終止狀態及反應物物質。此處,溫度端視所使用的前驅物而變化且可為例如約500℃或低於約500℃、介於約80℃與約300℃之間。在某些實施例中,所述溫度可為約600℃或低於約600℃、為約500℃或低於約500℃、為約400℃或低於約400℃、為約350℃或低於約350℃、為約300℃或低於約300℃、為約250℃或低於約250℃、為約200℃或低於約200℃、為約150℃或低於約150℃、為約100℃或低於約100℃、為約50℃或低於約50℃、或者為約25℃或低於約25℃。在某些此種實施例中,所述溫度可高於0℃、高於1℃、高於5℃、高於10℃或高於20℃。在某些實施例中,溫度高於反應物的一或多者的冷凝點。在某些實施例中,溫度低於有機表面的分解溫度及/或有機表面保持穩定的溫度。
在某些實施例中,反應室壓力可為約1毫托至約1000托。
使基板與氣相第一疏水性反應物接觸。在某些實施例中,向容納包括第一表面及第二有機表面的基板的反應空間提供氣相第一疏水性反應物的脈衝。在某些實施例中,將基板自身移動至容納氣相第一反應物的反應空間。較佳被選擇的條件為使得不多於約一個第一疏水性反應物的單層以自限制方式吸附於基板表面上。熟習此項技術者可基於特定情況而輕易地確定適宜的接觸時間。例如藉由以惰性氣體進行吹洗或藉由自第一反應物的存在中移除基板而自基板表面移除過量的第一反應物及反應副產物 (若存在)。
吹洗意指例如藉由以真空幫浦排空腔室及/或藉由以惰性氣體(例如氬氣或氮氣)置換反應器內部的氣體而自基板表面移除氣相前驅物及/或氣相副產物。典型吹洗時間為約0.05秒至20秒、更佳介於約1秒與10秒之間、且甚至更佳介於約1秒與2秒之間。然而,若需要則可利用其他吹洗時間,例如在需要極高縱橫比結構或具有複雜表面形貌的其他結構上的高度共形台階覆蓋(highly conformal step coverage)的情況下或當期望及獲得高產量時。
在移除第一反應物之後,使基板與氣相第二反應物接觸。在某些實施例中,向容納基板的反應空間提供第二氣態反應物的脈衝。在某些實施例中,將基板移動至容納氣相第二反應物的反應空間。使第二反應物與所吸附的第一反應物反應以將所期望材料相對於第二有機表面選擇性地沈積於第一表面上。
隨後自基板表面移除表面反應的過量的第二反應物及氣態副產物(若存在)。
重複進行接觸步驟及移除步驟直至已將所期望厚度的薄膜相對於基板的第二有機表面選擇性地形成於第一表面上,其中每一循環通常留下不多於約一分子單層。可包括包含使基板的表面與其他反應物交替地及依序地接觸的額外的階段以形成更複雜的材料(例如三元材料)。
如上所述,每一循環的每一階段通常為自限制的。對於 每一階段供應過量的反應前驅物以使第一表面上的可為例如具有M-OH基的MO2的敏感反應位點飽和。表面飽和確保反應物佔據所有可用反應性位點(例如受到物理大小約束或「立體阻礙」約束),且因此確保所吸附前驅物分子的優異的台階覆蓋(step coverage)及/或均勻分佈。通常,利用每一循環將材料的少於一個分子層沈積於第一表面上,然而,在某些實施例中,可在所述循環期間沈積多於一個分子層。合理的表面飽和被理解為足以(例如)用於將產量最佳化。
移除過量的反應物可包括將反應空間的內容物中的某些內容物排空及/或以氦氣、氮氣或另一惰性氣體對反應空間進行吹洗。在某些實施例中,吹洗可包括在繼續使惰性載氣流(inert carrier gas)至反應空間的同時使反應氣體的流動停止。
用於原子層沈積型製程中的前驅物可在標準條件(室溫及大氣壓)下為固體材料、液體材料或氣態材料,其限制條件是前驅物在其與基板表面接觸之前為氣相。使基板表面與氣化前驅物接觸意指使前驅物蒸氣與基板表面接觸達有限時間段。通常,接觸時間為約0.05秒至10秒。然而,端視基板類型及其表面積而定,接觸時間可甚至高於10秒。接觸時間在某些情形中可為大約幾分鐘。最佳接觸時間可由熟習此項技術者基於特定情況來確定。
前驅物的質量流率(mass flow rate)亦可由熟習此項技術者確定。在某些實施例中,金屬前驅物的流率較佳介於約1標準立方公分/分鐘(sccm)與1000標準立方公分/分鐘之間而不受 限制,更佳介於約100標準立方公分/分鐘與500標準立方公分/分鐘之間。
反應室中的壓力通常為約0.01毫巴至約20毫巴、更佳為約1毫巴至約10毫巴。然而,在某些情形中,壓力將高於或低於此範圍,此可由熟習此項技術者鑒於特定情況來確定。
在開始沈積之前,通常將基板加熱至合適的生長溫度。較佳沈積溫度可端視以下多種因素而變化:例如(但不受限制)反應物前驅物、壓力、流率、反應器的佈置、有機表面的穩定性、所沈積薄膜的結晶化溫度、及包含欲在有機表面上沈積的材料的性質及有機表面的性質的基板的組成等。具體生長溫度可由熟習此項技術者來選擇。
參考圖6,在某些實施例中,提供包括第一表面及第二有機表面的基板(步驟11)。如上所述,在某些實施例中,第一表面可為例如金屬表面或金屬性表面或介電表面。
使基板與第一氣相疏水性反應物接觸(步驟12)達第一暴露週期。疏水性反應物可例如包含金屬且可具有下文所述的式I。在某些實施例中,第一前驅物暴露週期為約0.01秒至約60秒、約0.05秒至約30秒、約0.1秒至約10秒、或者約0.2秒至約5秒。最佳暴露週期可由熟習此項技術者基於特定情況而輕易地確定。在某些實施例中,例如當可使用批量反應器時,可採用大於60秒的暴露週期。
移除過量的第一疏水性反應物及反應副產物(若存在) (步驟13)。可藉由例如以惰性氣體進行吹洗及/或藉由真空來移除過量的第一反應物及反應副產物。吹洗步驟可進行達足以移除實質上所有第一反應物的時間。在某些實施例中,吹洗進行約0.1秒至約60秒、約0.5秒至約20秒、或者約1秒至約10秒。端視情況而定,在某些實施例中,可將吹洗進行長於約60秒。
在移除過量的第一反應物之後,使基板與第二氣相反應物接觸(步驟14)達第二暴露週期。在某些實施例中,將基板暴露至第二反應物達約0.01秒至約60秒、約0.05秒至約30秒、約0.1秒至約10秒、或者約0.2秒至約5秒的週期。最佳暴露週期可由熟習此項技術者基於特定情況而輕易地確定。在其中可使用批量反應器的某些實施例中,可採用大於60秒的暴露週期。
隨後移除過量的第二反應物及反應副產物(若存在)(步驟15)。可藉由例如以惰性氣體進行吹洗及/或藉由真空來移除過量的第二反應物及反應副產物。此第二吹洗步驟可進行達足以移除實質上所有第二反應物的時間。在某些實施例中,第二吹洗進行約0.2秒至約60秒、約0.5秒至約20秒、或者約1秒至約10秒。端視情況而定,在某些實施例中,可將第二吹洗進行長於約60秒。
在步驟16中,將材料相對於第二有機表面選擇性地沈積於第一表面上。熟習此項技術者將理解,選擇性沈積是上述動作(步驟12-步驟15)而非單獨動作的結果。在某些實施例中,上述動作(步驟12至步驟15)可被視為沈積循環。在某些實施例中, 可重複沈積循環直至選擇性地沈積了所期望厚度的膜。可重複此種選擇性沈積循環直至將所期望厚度的膜相對於第二有機表面選擇性地沈積於第一表面上。在某些實施例中,僅在不再維持所期望的選擇性水準之前重複選擇性沈積循環。舉例而言,可重複選擇性沈積循環直至在有機表面上開始出現顯著的沈積。
在某些實施例中,藉由使基板與包含金屬氧化物的金屬的第一疏水性反應物及包含氧的第二反應物交替地及依序地接觸而選擇性地沈積金屬氧化物。在某些實施例中,第二反應物為水。金屬氧化物可為例如ZrO2或HfO2
在某些實施例中,藉由原子沈積製程來沈積ZrO2。提供包括第一表面(例如金屬表面、金屬性表面、或介電表面)及第二有機表面的基板。原子層沈積製程包括包含以下的一或多個沈積循環:‧使基板與包含Zr的第一疏水性反應物接觸。在某些實施例中,第一疏水性反應物為雙(甲基環戊二烯基)甲氧基甲基鋯(IV);‧移除過量的第一疏水性反應物;‧使基板與包含氧的第二反應物(例如H2O)接觸;以及‧移除過量的第二反應物。
可將沈積循環重複二或更多次以沈積所期望厚度的ZrO2膜。
在某些實施例中,藉由相似的製程使用包含Hf的第一疏 水性反應物(例如雙(甲基環戊二烯基)甲氧基甲基鉿(IV))來沈積HfO2膜。
在某些實施例中,選擇性化學氣相沈積製程包括:使包括第一表面及第二有機表面的基板與第一疏水性金屬反應物及第二反應物接觸。在某些實施例中,藉由使基板與包含金屬氧化物的金屬的第一疏水性反應物及包含氧的第二反應物(例如水)接觸來選擇性地沈積金屬氧化物(例如ZrO2)。在某些實施例中,使基板與第一反應物及第二反應物依序地接觸。
反應物
如上所述,選擇性氣相沈積製程中的反應物中的至少一者為疏水性反應物。在某些實施例中,疏水性反應物包含金屬。
疏水性反應物包含一或多種疏水性配位體。在某些實施例中,疏水性反應物包含二至四個疏水性配位體。在疏水性反應物包含價態/氧化態為n的金屬的情形中,在某些實施例中,疏水性前驅物包含n-1或n-2個疏水性配位體。
在某些實施例中,至少一個疏水性配位體僅包含C及H。在某些實施例中,至少一個疏水性配位體包含C、H、及Si或Ge,但無額外的元素。
在某些實施例中,疏水性配位體為烴配位體。烴配位體可包括例如C1至C10烴(單鍵式、雙鍵式、或三鍵式)。在某些實施例中,烴配位體包含烷基,例如C1至C5烷基,舉例而言,Me配位體、Et配位體、Pr配位體、iPr配位體、Bu配位體或tBu 配位體。在某些實施例中,烴配位體為烯基,例如C1至C6烯基。在某些實施例中,烴配位體為環狀烴,例如C3至C8環狀烴。C3至C8環狀烴配位體的實例包括環戊二烯基配位體、環庚二烯基配位體、環庚三烯基配位體、環己基配位體及其衍生物。在某些實施例中,烴配位體為芳香族配位體,例如C6芳香族環或其衍生物。
在某些實施例中,疏水性反應物不包含親水性配位體。然而,在某些實施例中,疏水性反應物可包含一個或兩個親水性配位體。在某些實施例中,親水性配位體包含氮、氧及/或鹵素基。
在某些實施例中,親水性配位體可為烷基胺(-NR2,其中每個R可為烷基、氫)。在某些實施例中,親水性配位體可為NMe2、-NEtMe或-NEt2
在某些實施例中,親水性配位體為烷氧化物,例如-OMe、-OEt、-OiPr或-OtBu。
在某些實施例中,親水性配位體包含鹵化物,例如氯化物、氟化物或其他鹵化物。
在某些實施例中,疏水性前驅物具有式:(I)LnMXy
在式I中,n為1至6。在某些實施例中,n為1至4或3至4。
在式I中,y為0至2。在某些實施例中,y為0至1。
在式I中,L為疏水性配位體,如上所述。在某些實施例中,L為Cp。在某些實施例中,L為C1至C4烷基配位體。
在式I中,X為親水性配位體,如上所述。舉例而言,在某些實施例中,X為烷基胺配位體、烷氧化物配位體或鹵化物配位體。
在式I中,M為金屬(包含13族元素,B、Ga)。在某些實施例中,M具有+I至+VI的氧化態。在某些實施例中,M具有+IV至+V的氧化態。在某些實施例中,金屬不為W。在某些實施例中,金屬不為Mo。在某些實施例中,金屬不為Co。在某些實施例中,金屬不為Fe。在某些實施例中,金屬不為Ni。在某些實施例中,金屬不為稀有金屬。舉例而言,在某些實施例中,金屬不為Ru。
在某些實施例中,M可為過渡金屬。在某些實施例中,M為Ti、Ta、Nb、W、Mo、Hf、Zr、V或Cr。在某些實施例中,M為Co、Fe、Ni、Cu或Zn。在某些實施例中,M為Hf、Zr、Ta或Nb。
在某些實施例中,M可為稀土金屬。在某些實施例中,M為La、Ce或Y。
在某些實施例中,M可為來自2族至13族的金屬。在某些實施例中,M為Ba、Sr、Mg、Ca或Sc。
在某些實施例中,M不為稀有金屬(noble metal)。
在某些實施例中,M為Zr。
在某些實施例中,疏水性反應物為雙(甲基環戊二烯基)甲氧基甲基鋯(IV)。
在某些實施例中,疏水性反應物為雙(甲基環戊二烯基)甲氧基甲基鉿(IV)。
在某些實施例中,第二反應物對進行選擇性沈積的材料提供一或多種元素。舉例而言,第二反應物可為用於沈積金屬氧化物的氧前驅物或用於沈積金屬氮化物的氮前驅物。
在某些實施例中,第二反應物包含氧前驅物。舉例而言,第二反應物可包含H2O、O3、H2O2、含氧的電漿、離子、自由基、O原子或氧的激發態物質中的一或多者。
在某些實施例中,第二反應物包含氮前驅物。舉例而言,第二反應物可包含NH3、N2H4、含氮的電漿、離子、自由基、N原子或包含N的激發態物質中的一或多者。在某些實施例中,氮反應物可包含具有對應的氫物質的混合物。
在某些實施例中,第二反應物可與惰性氣體(例如氮氣)或稀有氣體(例如Ar或He)一起提供或者借助於惰性氣體(例如氮氣)或稀有氣體(例如Ar或He)來提供。
在某些實施例中,可利用對所沈積材料提供除N或O以外的元素的其他反應物。可在N或O第二反應物之外使用該些反應物,或可將該些反應物本身用作第二反應物。舉例而言,在某些實施例中,可使用硫反應物(例如,H2S)或具有S-H鍵的另一反應物來沈積含硫的材料(例如,硫化物)。在某些實施例中,可使用碳反應物來沈積含碳的材料,抑或可使用矽反應物來沈積含矽的材料(例如矽化物)。
在某些實施例中,第二反應物可對進行沈積的材料提供二或更多種元素。
在某些實施例中,第二反應物不對進行選擇性沈積的膜提供元素。舉例而言,在某些實施例中,可使用有助於沈積金屬膜或金屬性膜(例如元素金屬膜)的第二(或額外的)反應物。舉例而言,在某些實施例中,第二反應物可包含氫。
後續處理
在某些實施例中,在選擇性沈積製程之後可進行進一步的處理。舉例而言,在某些實施例中,可使基板經受蝕刻製程以例如自有機表面移除所沈積材料的至少一部分。在某些實施例中,在選擇性沈積之後的蝕刻製程可自第一表面部分地移除所沈積材料且實質上完全移除已沈積於基板的第二有機表面上的材料,而無論沈積的選擇性質如何。
由於本文所述的沈積製程的選擇性質,沈積於基板的第二有機表面上的材料的量可實質上少於沈積於基板的第一表面上的材料的量。因此,蝕刻製程可自基板的第二有機表面完全移除所沈積材料,同時所沈積材料可保持在基板的第一表面上。有機材料可在沈積之後部分地或全部地移除。
實例
藉由於Pulsar® 2000反應器中在各種基板上及在各種條件下進行原子層沈積對ZrO2進行了沈積。分別使用雙(甲基環戊二烯基)甲氧基甲基鋯(IV)及H2O作為第一疏水性反應物及第二反 應物。在包括具有自組裝單層(三氯(十八烷基)矽烷)的表面或聚醯亞胺表面的基板上未觀察到ZrO2的沈積。參見圖1至圖4。
在自組裝單層表面上的測試中,將兩個試樣基板(natox/Si及SAM/natox/Si)置於原子層沈積反應器中而進行了ZrO2沈積製程。利用指示表面的疏水性質或親水性質的接觸角(contact angle,CA)量測來研究natox/Si試樣上的自組裝單層的被動性質(passive nature)。對於兩個基板量測了ZrO2沈積之前及之後的接觸角。
在ZrO2沈積之前,自組裝單層的接觸角非常高(>105°)而指示表面(-CHx)的充分疏水性質,而天然氧化物基板給出了非常低的值而顯示表面(Si-OH)的親水性質。如可自圖1看出,暴露至ZrO2製程的SAM/natox/Si試樣(10奈米層)的接觸角保持與沈積之前相同而顯示ZrO2未在自組裝單層上生長。
X射線光電子光譜結果與接觸角量測一致且顯示出了即使在10奈米ZrO2沈積之後在自組裝單層上亦不存在Zr(圖2)。掃描穿透式電子顯微鏡亦揭示了在天然氧化物上沈積了10奈米ZrO2層之後,在自組裝單層表面上不存在ZrO2(圖3)。在25奈米沈積之後,X射線光電子光譜顯示出了在自組裝單層表面上存在某些Zr;然而,自組裝單層試樣已處於反應器中達多於5小時,此可能已使自組裝單層劣化(圖2)。
在圖4及表1中顯示出,ZrO2在天然氧化物(SiO2)上生長,但在聚醯亞胺上則不顯著生長。即使在天然氧化物(SiO2) 表面上沈積了幾乎25奈米的ZrO2之後,在聚醯亞胺表面上亦不存在顯著的ZrO2,但在聚醯亞胺的表面上存在如C-NH2般的親水性表面基。
表1:在天然氧化物表面及聚醯亞胺表面上以及在被H電漿損壞的聚醯亞胺表面上進行不同的ZrO2循環數目(100個至760個)之後對聚醯亞胺樣本的X射線光電子光譜分析。即使在760個循環之後在聚醯亞胺表面上亦僅偵測到了非常少量的ZrO2。被H電漿損壞的表面亦抑制了ZrO2生長。
Figure 106114561-A0305-02-0028-1
熟習此項技術者應理解,在不背離本發明的精神的條件下,可做出諸多及各種潤飾。所述特徵、結構、特性及前驅物可以任何合適的方式加以組合。因此,應清晰地理解,本發明的形式僅為說明性的而並非旨在限制本發明的範圍。所有潤飾及改變旨在落於如由隨附申請專利範圍所界定的本發明的範圍內。
11、12、13、14、15、16:步驟

Claims (23)

  1. 一種氣相沈積製程,其將材料相對於基板的第二有機表面選擇性地沈積於所述基板的第一表面上,所述氣相沈積製程包括:使所述基板與第一氣相疏水性反應物接觸;以及使所述基板與第二氣相反應物接觸,其中相對於所述第二有機表面選擇性地於所述第一表面上沈積所述材料。
  2. 如申請專利範圍第1項所述的氣相沈積製程,其中所述材料為金屬氧化物。
  3. 如申請專利範圍第1項所述的氣相沈積製程,其中所述第一氣相疏水性反應物包含至少一個疏水性烴配位體。
  4. 如申請專利範圍第1項所述的氣相沈積製程,其中所述第一氣相疏水性反應物包含一個或兩個親水性配位體。
  5. 如申請專利範圍第4項所述的氣相沈積製程,其中所述親水性配位體包括烷基胺、烷氧化物或鹵化物。
  6. 如申請專利範圍第1項所述的氣相沈積製程,其中所述第二氣相反應物包含H2O、O3、H2O2、氧電漿、氧離子、氧自由基或氧的激發態物質。
  7. 如申請專利範圍第1項所述的氣相沈積製程,其中所述第一表面為金屬表面、半金屬表面、經氧化的金屬表面或經氧化的半金屬表面。
  8. 如申請專利範圍第1項所述的氣相沈積製程,其中所述第一表面為介電表面。
  9. 如申請專利範圍第1項所述的氣相沈積製程,其中所述第二有機表面包括自組裝單層(SAM)或定向自組裝層(DSA)。
  10. 如申請專利範圍第1項所述的氣相沈積製程,其中所述第二有機表面包含聚合物。
  11. 如申請專利範圍第10項所述的氣相沈積製程,其中所述聚合物為聚醯亞胺、聚醯胺、聚脲或聚苯乙烯。
  12. 如申請專利範圍第1項所述的氣相沈積製程,其中所述氣相沈積製程為循環化學氣相沈積製程。
  13. 如申請專利範圍第1項所述的氣相沈積製程,其中所述氣相沈積製程為原子層沈積製程。
  14. 如申請專利範圍第1項所述的氣相沈積製程,其中所述材料以大於約50%的選擇性相對於所述第二有機表面沈積於所述第一表面上。
  15. 一種將金屬氧化物相對於基板的第二表面選擇性地沈積於所述基板的第一表面上的方法,所述方法包括:使所述基板與包含金屬的第一疏水性反應物接觸;以及使所述基板與第二反應物接觸,其中所述基板的所述第一表面包括含金屬或半金屬的材料,且所述基板的所述第二表面包含有機材料。
  16. 如申請專利範圍第15項所述的將金屬氧化物相對於 基板的第二表面選擇性地沈積於所述基板的第一表面上的方法,其中所述金屬氧化物為ZrO2
  17. 如申請專利範圍第15項所述的將金屬氧化物相對於基板的第二表面選擇性地沈積於所述基板的第一表面上的方法,其中所述第一疏水性反應物具有式LnMXy,其中:n為1至6;y為0至2;L為疏水性配位體;X為親水性配位體;且M為金屬。
  18. 如申請專利範圍第17項所述的將金屬氧化物相對於基板的第二表面選擇性地沈積於所述基板的第一表面上的方法,其中M為Hf、Zr、Ta或Nb。
  19. 如申請專利範圍第17項所述的將金屬氧化物相對於基板的第二表面選擇性地沈積於所述基板的第一表面上的方法,其中L為Cp或C1-C4烷基。
  20. 如申請專利範圍第15項所述的將金屬氧化物相對於基板的第二表面選擇性地沈積於所述基板的第一表面上的方法,其中所述第二反應物包括H2O。
  21. 如申請專利範圍第15項所述的將金屬氧化物相對於基板的第二表面選擇性地沈積於所述基板的第一表面上的方法,其中所述第一表面為介電表面。
  22. 如申請專利範圍第15項所述的將金屬氧化物相對於基板的第二表面選擇性地沈積於所述基板的第一表面上的方法,其中所述第二表面包括自組裝單層。
  23. 如申請專利範圍第15項所述的將金屬氧化物相對於基板的第二表面選擇性地沈積於所述基板的第一表面上的方法,其中所述金屬氧化物以大於95%的選擇性相對於所述基板的所述第二表面選擇性地沈積於所述基板的所述第一表面上。
TW106114561A 2016-05-05 2017-05-03 相對於基板的第二表面選擇性沈積在基板的第一表面上的製程與方法 TWI731074B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662332396P 2016-05-05 2016-05-05
US62/332,396 2016-05-05

Publications (2)

Publication Number Publication Date
TW201809333A TW201809333A (zh) 2018-03-16
TWI731074B true TWI731074B (zh) 2021-06-21

Family

ID=60243644

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106114561A TWI731074B (zh) 2016-05-05 2017-05-03 相對於基板的第二表面選擇性沈積在基板的第一表面上的製程與方法

Country Status (3)

Country Link
US (2) US11081342B2 (zh)
KR (3) KR102377746B1 (zh)
TW (1) TWI731074B (zh)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11404313B2 (en) 2017-04-26 2022-08-02 Applied Materials, Inc. Selective tungsten deposition at low temperatures
US10256144B2 (en) 2017-04-26 2019-04-09 Applied Materials, Inc. Process integration approach of selective tungsten via fill
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10900120B2 (en) * 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20200041829A (ko) * 2017-09-12 2020-04-22 어플라이드 머티어리얼스, 인코포레이티드 화학적 에칭에 의한 선택적 퇴적 결함들의 제거
CN112074939A (zh) * 2018-03-20 2020-12-11 东京毅力科创株式会社 具有集成计量的衬底加工工具及其使用方法
TW201943881A (zh) 2018-04-13 2019-11-16 美商應用材料股份有限公司 選擇性原子層沉積的方法
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11738366B2 (en) 2019-01-25 2023-08-29 The Regents Of The University Of California Method of coating an object
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
US11251073B2 (en) * 2020-04-01 2022-02-15 Taiwan Semiconductor Manufacturing Co. Selective deposition of barrier layer
CN111411346A (zh) * 2020-04-14 2020-07-14 西安近代化学研究所 一种柔性无机-有机复合水汽氧气阻隔薄膜及其低温制备方法
KR20210158811A (ko) 2020-06-24 2021-12-31 에이에스엠 아이피 홀딩 비.브이. 유기 재료의 영역 선택적 제거
CN115386854B (zh) * 2022-07-11 2024-01-16 南方科技大学 一种区域选择性沉积的方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201534749A (zh) * 2014-02-04 2015-09-16 Asm Ip Holding Bv 金屬、金屬氧化物與介電質的選擇性沈積

Family Cites Families (280)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) * 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
WO2001012731A1 (en) * 1999-08-19 2001-02-22 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) * 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US6605826B2 (en) * 2000-08-18 2003-08-12 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device and display device
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR102220703B1 (ko) 2002-11-15 2021-02-26 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) * 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7160583B2 (en) * 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
KR20080080612A (ko) * 2005-12-07 2008-09-04 엔엑스피 비 브이 반도체 장치용 구조체에서 제 2 물질에 내장된 제 1 물질표면 위의 층 형성 방법
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20100072021A (ko) 2007-09-14 2010-06-29 시그마-알드리치컴퍼니 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
CN101883877A (zh) 2007-11-06 2010-11-10 Hcf合伙人股份两合公司 原子层沉积法
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) * 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
WO2010009295A2 (en) 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
US7951637B2 (en) 2008-08-27 2011-05-31 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
KR20110084275A (ko) 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
EP2361445A4 (en) 2008-12-01 2012-07-04 Du Pont ANODE FOR AN ORGANIC ELECTRONIC DEVICE
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
KR101078309B1 (ko) * 2009-03-25 2011-10-31 포항공과대학교 산학협력단 선택적 증착법을 이용한 반도체 소자의 콘택트 형성방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) * 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
MX2012001115A (es) 2009-07-31 2012-03-21 Akzo Nobel Chemicals Int Bv Proceso para la preparacion de un sustrato recubierto, el sustrato recubierto, y uso del mismo.
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
KR101386944B1 (ko) 2010-07-01 2014-04-18 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP5562434B2 (ja) 2010-11-19 2014-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20120263876A1 (en) * 2011-02-14 2012-10-18 Asm Ip Holding B.V. Deposition of silicon dioxide on hydrophobic surfaces
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
TWI541938B (zh) 2011-06-03 2016-07-11 諾菲勒斯系統公司 用於互連的含金屬及矽覆蓋層
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI627303B (zh) 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) * 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US8786018B2 (en) * 2012-09-11 2014-07-22 International Business Machines Corporation Self-aligned carbon nanostructure field effect transistors using selective dielectric deposition
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
CN104968724B (zh) 2013-01-31 2017-09-26 大日本印刷株式会社 电子束固化性树脂组合物、反射器用树脂框架、反射器、半导体发光装置、及成形体的制造方法
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
RU2643931C2 (ru) 2013-06-28 2018-02-06 Интел Корпорейшн Устройства, основанные на избирательно эпитаксиально выращенных материалах iii-v групп
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2015042486A1 (en) * 2013-09-20 2015-03-26 Baker Hughes Incorporated Composites for use in stimulation and sand control operations
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
EP3050084A4 (en) 2013-09-27 2017-05-24 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
CN106415800B (zh) 2013-12-19 2020-04-14 英特尔公司 自对准栅极边缘和局部互连件及其制造方法
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
WO2015147843A1 (en) 2014-03-27 2015-10-01 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
KR102472396B1 (ko) 2014-03-28 2022-12-01 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
TWI717260B (zh) 2015-05-01 2021-01-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
KR102475024B1 (ko) 2015-06-18 2022-12-07 타호 리서치 리미티드 제2 또는 제3 행 전이 금속 박막들의 퇴적을 위한 선천적으로 선택적인 전구체들
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) * 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
KR20230026514A (ko) 2016-10-02 2023-02-24 어플라이드 머티어리얼스, 인코포레이티드 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201534749A (zh) * 2014-02-04 2015-09-16 Asm Ip Holding Bv 金屬、金屬氧化物與介電質的選擇性沈積

Also Published As

Publication number Publication date
KR20230095898A (ko) 2023-06-29
KR20170125740A (ko) 2017-11-15
KR102377746B1 (ko) 2022-03-23
US20170323776A1 (en) 2017-11-09
US20210351031A1 (en) 2021-11-11
US11081342B2 (en) 2021-08-03
KR20220041797A (ko) 2022-04-01
TW201809333A (zh) 2018-03-16

Similar Documents

Publication Publication Date Title
TWI731074B (zh) 相對於基板的第二表面選擇性沈積在基板的第一表面上的製程與方法
US11776807B2 (en) Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US20220367173A1 (en) Deposition of oxide thin films
US10818489B2 (en) Atomic layer deposition of silicon carbon nitride based material
TWI666336B (zh) 選擇性地在基板上沈積材料的方法
US10186420B2 (en) Formation of silicon-containing thin films
TWI698544B (zh) 選擇性地沈積材料的方法及選擇性地沈積金屬氧化物膜的方法
JP6202798B2 (ja) 酸化アンチモン膜の原子層堆積
US20180197733A1 (en) FORMATION OF SiOCN THIN FILMS
US20100227476A1 (en) Atomic layer deposition processes
TW201725276A (zh) 在基板上處理氮化物薄膜的方法
CN112889132A (zh) 用于在衬底上形成钼薄膜的方法
JP2020133002A (ja) 反応チャンバーにおいて循環堆積プロセスにより基材上に酸化ハフニウムランタン膜を堆積させるための方法
JP2021040159A (ja) 選択成長方法
WO2018129295A1 (en) Water assisted highly pure ruthenium thin film deposition