KR20220041797A - 소수성 전구체들을 사용한 선택적 퇴적 - Google Patents

소수성 전구체들을 사용한 선택적 퇴적 Download PDF

Info

Publication number
KR20220041797A
KR20220041797A KR1020220033842A KR20220033842A KR20220041797A KR 20220041797 A KR20220041797 A KR 20220041797A KR 1020220033842 A KR1020220033842 A KR 1020220033842A KR 20220033842 A KR20220033842 A KR 20220033842A KR 20220041797 A KR20220041797 A KR 20220041797A
Authority
KR
South Korea
Prior art keywords
reactant
metal
hydrophobic
substrate
vapor deposition
Prior art date
Application number
KR1020220033842A
Other languages
English (en)
Inventor
엘리나 팜
히데미 스에모리
라이야 마테로
안티 니스카넨
수비 피. 하우카
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20220041797A publication Critical patent/KR20220041797A/ko
Priority to KR1020230077764A priority Critical patent/KR20230095898A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

물질이 기판의 제1 표면 상에, 제2 유기 표면에 대하여 선택적으로 퇴적되는 기상 퇴적 방법들이 제공된다. 일부 실시예들에서, 상기 물질이 상기 제2 유기 표면에 대하여 상기 제1 표면에 선택적으로 퇴적되도록 금속, 반금속 또는 산화된 금속 또는 반금속과 같은 제1 표면을 포함하는 기판이 제1 기상 소수성 반응물 및 제2 기상 반응물과 접촉한다. 상기 제2 유기 표면은 예를 들어 자기-조립 단층, 유도된 자기-조립층, 또는 폴리이미드, 폴리아미드, 폴리우레아 또는 폴리스타이렌과 같은 폴리머를 포함할 수 있다. 퇴적되는 물질은 예를 들어 금속 또는 금속성 물질일 수 있다. 일부 실시예들에서, 상기 물질은 ZrO2 또는 HfO2와 같은 금속 산화물이다. 일부 실시예들에서, 상기 기상 퇴적 방법은 사이클 화학 기상 퇴적(CVD) 방법 또는 원자층 퇴적(ALD) 방법이다. 일부 실시예들에서, 상기 물질은 약 50%보다 크거나, 약 60%보다 크거나, 약 70%보다 크거나, 약 80%보다 크거나, 약 90%보다 크거나, 또는 약 95%보다 큰 선택비를 가지며 상기 제2 표면에 대하여 상기 제1 표면 상에 퇴적된다.

Description

소수성 전구체들을 사용한 선택적 퇴적{Selective deposition using hydrophobic precursors}
[1] 본 출원은 2016년 5월 5일 출원된 미국 출원 번호 제62/332,396호의 우선권을 주장하며, 본 개시가 참조문헌으로서 병합된다.
[2] 본 개시는 일반적으로 반도체 소자 제조 분야에 관한 것으로서, 더욱 상세하게는 제2 유기 표면에 대한 기판의 제1 표면 상으로의 물질의 선택적 퇴적에 관한 것이다.
[3] 반도체 제조에서 축소되는 소자 치수들은 새로운 혁신적인 공정 접근법들을 요구한다. 이러한 고려되는 것들 중 하나는 선택적 퇴적이며, 이는 최근 반도체 제조사들 사이에서 증가되는 관심을 얻어왔다. 예를 들어, 선택적 퇴적은 리소그래피 및 식각 단계들의 감소를 가능하게 할 수 있고, 이에 따라 공정 비용을 감소시킨다. 선택적 퇴적은 또한 좁은 구조물들에서의 향상된 스케일링을 가능하게 할 수 있고, 바텀-업 매립(bottom fill)을 가능하게 한다.
[4] ALD 산화물들 중 대부분은 H2O, H2O2, 또는 O3 또는 O2 플라즈마를 사용하여 퇴적된다. O3 및 O2 플라즈마는 일반적으로 기판이 유기 표면을 포함하는 퇴적을 위하여 선호되지 않는데, 이들이 퇴적 동안에 상기 유기 물질을 파괴하거나 태울 수 있기 때문이다. 그러므로 H2O가 이러한 상황들에서 일반적으로 사용된다. 그러나 H2O는 이들이 퇴적 동안에 상기 반응 챔버로부터 완전히 제거되기 어렵기 때문에 문제가 있다. 이러한 축적된 물은 CVD 성장을 유발할 수 있고, 선택적 ALD 공정들에서의 선택비 손실을 유발할 수 있다. 더욱이, H2O 분자들이 자기-조립 단층(self-assembled monolayer, SAM)의 긴 카본 체인들 사이 또는 폴리머 물질과 같은 다른 유기 물질 내부로 침투할 수 있다면, 금속 전구체가 제공될 때 이러한 H2O 분자들이 존재할 것이 가능하며, 따라서 요구되지 않는 CVD 성장이 일어날 수 있다. 이러한 문제점들을 해결하기 위하여 퍼지 시간을 증가시키는 것은 전체 공정 시간을 현저히 증가시킬 것이고, 동시에 스루풋을 감소시킬 것이다.
본 발명의 목적은 전술한 문제들을 극복하기 위한 것이다.
[5] 일 태양에 따르면, 기판의 제1 표면 상에, 제2 유기 표면에 대하여 물질을 선택적으로 퇴적하기 위한 기상 퇴적 공정들이 제공된다. 일부 실시예들에서, 상기 방법들은 상기 물질이 상기 제2 유기 표면에 대하여 상기 제1 표면 상에 선택적으로 퇴적되도록 상기 기판을 제1 기상 소수성 반응물 및 제2 기상 반응물과 접촉시키는 단계를 포함한다. 일부 실시예들에서, 상기 제1 표면은 유기 표면이 아니고 임의의 유기 물질을 포함하지 않는다. 상기 제1 표면은 예를 들어 금속 표면, 반금속 표면, 산화된 금속 표면 또는 산화된 반금속 표면일 수 있다. 일부 실시예들에서, 상기 제1 표면은 금속 산화물, 금속 카바이드, 금속 질화물, 금속 실리사이드 또는 금속 실리케이트 표면일 수 있다. 일부 실시예들에서, 상기 제1 표면은 유전 표면이다.
[6] 상기 제2 유기 표면은 예를 들어 자기-조립 단층 또는 유도된 자기-조립층을 포함할 수 있다. 일부 실시예들에서, 상기 제2 유기 표면은 폴리이미드, 폴리아미드, 폴리우레아 또는 폴리스타이렌과 같은 폴리머를 포함한다.
[7] 퇴적되는 상기 물질은 금속 또는 금속성 물질일 수 있다. 일부 실시예들에서, 상기 물질은 ZrO2 또는 HfO2와 같은 금속 산화물이다. 일부 실시예들에서, 상기 물질은 금속 질화물이다.
[8] 일부 방법들에서, 기판을 금속을 포함하는 제1 소수성 반응물 및 제2 반응물과 접촉시키는 단계를 포함하는 공정에 의해 금속 산화물이 제2 표면에 대하여 기판의 제1 표면 상에 퇴적된다. 상기 제1 기판 표면은 예를 들어 금속 또는 반금속 함유 물질을 포함할 수 있고, 상기 제2 기판 표면은 유기 물질을 포함할 수 있다.
[9] 일부 실시예들에서, 상기 제1 소수성 반응물은 금속을 포함한다. 상기 제1 소수성 반응물은 적어도 하나의 소수성 탄화수소 리간드를 포함할 수 있다. 예를 들어, 이는 하나 또는 그 이상의 알킬, 알케닐, 고리형 C3-C8 또는 방향족들을 포함할 수 있다. 일부 실시예들에서, 상기 소수성 반응물은 알킬아민, 알콕사이드 또는 할라이드 리간드들과 같은 하나 또는 두 개의 친수성 리간드들을 포함할 수 있다.
[10] 일부 실시예들에서, 상기 제1 소수성 금속 반응물은 화학식 LnMXy을 가지며, 여기서,
- n은 1 내지 6이고,
- y는 0 내지 2이며,
- L은 소수성 리간드이고,
- X는 친수성 리간드이며,
- M은 금속이다.
[11] 일부 실시예들에서, M은 Hf, Zr, Ta 및 Nb로부터 선택된다. L은 예를 들어 Cp 또는 C1-C4 알킬일 수 있다.
[12] 일부 실시예들에서, 상기 제1 반응물은 비스(메틸시클로펜타디에닐)메톡시메틸 지르코늄(IV)(bis(methylcyclopentadienyl) methoxymethyl zirconium (IV))이다. 일부 실시예들에서, 상기 제1 반응물은 비스(메틸시클로펜타디에닐)메톡시메틸 하프늄(IV)이다. 일부 실시예들에서, ZrO2가 제2 유기 표면에 대하여 제1 표면 상에 선택적으로 퇴적되며, 상기 제1 반응물은 비스(메틸시클로펜타디에닐)메톡시메틸 지르코늄(IV)이고 상기 제2 반응물은 H2O이다. 일부 실시예들에서, HfO2가 제2 유기 표면에 대하여 제1 표면 상에 선택적으로 퇴적되며, 상기 제1 반응물은 비스(메틸시클로펜타디에닐)메톡시메틸 하프늄(IV)이고 상기 제2 반응물은 H2O이다.
[13] 일부 실시예들에서, 상기 제2 반응물은 산소 또는 질소를 포함한다. 예를 들어, 상기 제2 반응물은 H2O, O3, H2O2, 산소 플라즈마, 산소 이온들, 산소 래디칼들 또는 산소의 다른 여기된 종들을 포함할 수 있다. 일부 실시예들에서, 상기 제2 반응물은 NH3, N2H4, 질소 플라즈마, 질소 이온들, 질소 래디칼들 또는 다른 여기된 질소 종들을 포함할 수 있다. 일부 실시예들에서, 상기 제2 반응물은 수소를 포함한다.
[14] 일부 실시예들에서, 상기 기상 퇴적 공정은 사이클 CVD 공정과 같은 화학 기상 퇴적 공정일 수 있다. 일부 실시예들에서, 상기 기상 퇴적 공정은 원자층 퇴적 공정일 수 있다. 일부 실시예들에서, 상기 기판은 상기 제1 및 제2 반응물들과 교대로 및 순차적으로 접촉된다.
[15] 일부 실시예들에서, 상기 물질은 약 50%보다 크거나, 약 60%보다 크거나, 약 70%보다 크거나, 약 80%보다 크거나, 약 90%보다 크거나, 또는 약 95%보다 큰 선택비를 가지며 상기 제2 표면에 대하여 상기 제1 표면 상에 퇴적된다.
[16] 도 1은 실리콘 기판 상의 자연 산화물(NatOx) 상에 또는 실리콘 기판 상의 자연 산화물 상에 퇴적된 트리클로로(옥타데실)실레인(trichloro(octadecyl)silane)의 자기-조립 단층(SAM) 상에 300℃에서 퇴적될 때 다양한 목표 두께들에서의 ZrO2의 접촉각 그래프이다.
[17] 도 2a는 비스(메틸시클로펜타디에닐)메톡시메틸 지르코늄(IV) 및 H2O로부터 ALD에 의한 퇴적을 뒤따르는 SAM 표면 상의 ZrO2의 결핍을 나타내는 반면 도 2b는 자연 산화물 표면 상에 ZrO2 막이 퇴적되었음을 나타낸다.
[18] 도 3a는 도 1의 기판들의 XPS 분석을 나타낸다. ZrO2 10 nm의 목표 두께까지 SAM 표면 상에 Zr이 관찰되지 않았다.
[19] 도 3b는 ZrO2의 5 또는 10 nm의 목표 두께를 갖는 SAM 표면 상의 퇴적의 XPS 분석을 나타낸다.
[20] 도 4a는 실리콘 기판 상의 SAM의 STEM 이미지이다.
[21] 도 4b는 비스(메틸시클로펜타디에닐)메톡시메틸 지르코늄(IV) 및 H2O를 사용한 ALD에 의해 실리콘 기판 상의 SAM 표면 상에 ZrO2가 퇴적되지 않음을 나타내는 STEM 이미지이다.
[22] 도 4c는 비스(메틸시클로펜타디에닐)메톡시메틸 지르코늄(IV) 및 H2O를 사용한 ALD에 의해 실리콘 기판 상의 자연 산화물 표면 상에 ZrO2의 퇴적을 나타내는 STEM 이미지이다.
[23] 도 5는 실리콘 기판들 상의 자연 산화물(NatOx) 및 폴리이미드(PI) 모두 상에서의 ZrO2 퇴적 사이클들의 함수에 따른 ZrO2 막 두께를 나타낸다.
[24] 도 6은 일부 실시예들에 따른 제2 유기 표면에 대한 제1 표면 상의 선택적 퇴적을 위한 ALD 공정의 플로우 차트이다.
[25] 본 개시의 일 태양에서, 물질들이 기판의 제2 유기 표면에 대하여 기판의 제1 표면 상에 기상 퇴적 공정에 의해 선택적으로 퇴적될 수 있다. 일부 실시예들에서, ZrO2와 같은 금속 산화물은 기판의 제2 유기 표면에 대하여, 제1 표면 상에 선택적으로 퇴적된다. 일부 실시예들에서, 상기 퇴적 공정은 적어도 하나의 소수성 금속 반응물을 사용하는 기상 퇴적 공정이다. 일부 실시예들에서, 사이클 CVD 또는 원자층 퇴적(ALD) 공정들과 같은 사이클 기상 퇴적이 사용된다. 예를 들어, 상기 기상 퇴적 공정은 기판의 제1 표면 상에, 제2의 유기 표면에 대하여 금속 산화물과 같은 물질을 선택적으로 퇴적하도록 적어도 하나의 소수성 금속 반응물을 사용하는 원자층 퇴적 (ALD) 공정일 수 있다. 상기 선택된 물질의 선택적 퇴적이 완결된 이후에, 요구되는 구조들을 형성하도록 추가적인 공정이 수행될 수 있다.
[26] 퇴적이 요구되는 제1 표면은 예를 들어 금속, 반금속 또는 유전 표면일 수 있다. 퇴적이 요구되지 않는 상기 제2 유기 표면은 예를 들어 폴리이미드 및 폴리스타이렌과 같은 폴리머, 비정질 카본, 포토레지스트 물질 또는 그래핀을 포함할 수 있다. 일부 실시예들에서, 상기 유기 표면은 자기-조립 단층(SAM)을 포함할 수 있다. 일부 실시예들에서, 상기 제2 유기 표면 상의 퇴적은 상기 기상 퇴적 공정 내의 소수성 전구체의 사용을 통해 상기 제1 표면에 대하여 감소된다.
[27] 일부 실시예들에서, 선택적 ALD 공정은 제1 표면과 제12 유기 표면을 포함하는 기판을 소수성 금속 반응물과 같은 제1 소수성 반응물 및 제2 반응물과 교대로, 및 순차적으로 접촉시키는 단계를 포함한다. 일부 실시예들에서, 상기 기판이 소수성 금속 반응물과, 물과 같은 산소를 포함하는 제2 반응물과 교대로 및 순차적으로 접촉되는 ALD 공정에 의해 금속 산화물이 퇴적된다.
[28] 일부 실시예들에서, 선택적 CVD 공정은 제1 표면 및 제2 유기 표면을 포함하는 기판을 소수성 금속 반응물과 같은 제1 소수성 반응물과 제2 반응물에 접촉시키는 단계를 포함한다. 일부 실시예들에서, 금속 산화물은 상기 기판이 소수성 금속 반응물과, 물과 같은 산소를 포함하는 제2 반응물과 접촉되는 CVD 공정에 의해 금속 산화물이 퇴적된다. 일부 실시예들에서, 상기 CVD 공정은 상기 기판을 제1 및 제2 반응물들과 순차적으로 접촉시키는 단계를 포함할 수 있다. 일부 실시예들에서, 선택적 CVD 반응은 제2 유기 표면에 의해서가 아닌 제1 표면에 의해 개시되고, 제2 유기 표면에 대하여 제1 표면 상에 선택적 퇴적을 유발한다.
기판 표면들
[29] 앞서 언급한 바와 같이, 기상 퇴적 공정이 유기막을 포함하는 표면과 같은 제2, 유기 표면에 대하여 제1 기판 표면 상에 물질을 선택적으로 퇴적하도록 사용된다.
[30] 일부 실시예들에서, 기판이 선택적으로 퇴적되는 제1 표면은 금속 또는 반금속을 포함한다. 일부 실시예들에서, 제1 표면은 금속성 물질을 포함할 수 있다. 일부 실시예들에서, 제1 표면은 Co, W, 또는 Ru을 포함한다.
[31] 일부 실시예들에서, 제1 표면은 산화된 금속, 반금속 또는 금속성 물질을 포함할 수 있다. 예를 들어, 제1 표면은 그 표면 상에서 산화된 금속 또는 금속성 물질, 예를 들어 Co, W 또는 Ru을 포함할 수 있다. 일부 실시예들에서, 제1 표면은 유기 물질을 포함하지 않는다.
[32] 일부 실시예들에서, 제1 표면은 Al2O3 또는 TiO2와 같은 유전 물질 또는 전이금속 산화물과 같은 유전 물질 또는 전기 절연성 물질을 포함할 수 있다.
[33] 일부 실시예들에서, 제1 표면은 실리콘 및/또는 저머늄을 포함할 수 있다. 예를 들어, 제1 표면은 실리콘 질화물, 실리콘 산화물, 실리콘 카바이드, 또는 저머늄 산화물을 포함할 수 있다.
[34] 일부 실시예들에서, 제1 표면은 산화물, 질화물, 카바이드 또는 실리케이트를 포함할 수 있다.
[35] 일부 실시예들에서, 제1 표면은 위에 한정된 물질들 중 둘 또는 그 이상의 혼합물을 포함할 수 있다.
[36] 제2 표면은 유기 물질을 포함한다. 일부 실시예들에서, 제2 표면은 자기-조립 단층(SAM)을 포함한다. 예를 들어, 제2 표면은 트리클로로(옥타데실)실레인 SAM(trichloro(octadecyl)silane SAM)을 포함할 수 있다.
[37] 일부 실시예들에서, 제2 유기 표면은 폴리머 막과 같은 폴리머를 포함한다. 예를 들어, 제2 유기 표면은 폴리이미드(polyimide), 폴리아미드(polyamide), 폴리우레아(polyuria), 폴리스타이렌(polystyrene), 폴리우레탄(polyurethane), 폴리티오우레아들(polythioureas), 폴리에스터들(polyesters), 폴리이민들(polyimines), 폴리티오펜(polythiophene) 또는 다른 이러한 폴리머를 포함할 수 있다. 일부 실시예들에서, 폴리머들은 다이머들 또는 트라이머들을 포함할 수 있다. 일부 실시예들에서, 유기 표면은 위의 물질들의 다른 폴리머 형태들 또는 혼합물들을 포함할 수 있다.
[38] 일부 실시예들에서, 제2 유기 표면은 블록 코폴리머층과 같은 유도된 자기-조립층(DSA)을 포함한다.
[39] 일부 실시예들에서, 제2 유기 표면은 그래핀 또는 카본의 다른 형태를 포함한다. 일부 실시예들에서, 제2 유기 표면은 비정질 카본을 포함한다. 비정질 카본은 수소를 함유할 수 있다.
[40] 일부 실시예들에서, 제2 유기 표면은 포토레지스트 물질을 포함한다.
[41] 일부 실시예들에서, 제2 유기 물질은 기판 표면의 탄화수소 오염을 포함하지 않고, 예를 들어 대기로부터의 탄화수소 오염을 포함하지 않는다.
[42] 일부 실시예들에서, SAM 층들의 경우에서와 같이, 유기 표면의 접촉각이 95 이상, 100 이상, 105 이상, 107 이상, 109 이상, 110 이상, 111 이상, 112 이상, 또는 113 이상이나, 이에 한정되지는 않는다. 일부 실시예들에서, 폴리이미드층과 같은 폴리머층의 경우에서와 같이, 유기 표면의 접촉각이 40 이상, 60 이상, 70 이상, 80 이상, 85 이상, 또는 90 이상이나, 이에 한정되지는 않는다. 일부 실시예들에서, 접촉각은 180보다 작거나 또는 120보다 작고, 예를 들어 40 내지 120, 60 내지 120, 70 내지 120, 80 내지 120, 90 내지 120, 95 내지 120, 100 내지 120, 105 내지 120, 107 내지 120, 109 내지 120, 110 내지 120, 111 내지 120, 112 내지 120, 113 내지 120 등이다.
[43] 일부 실시예들에서, 유기 표면은 선택적 퇴적 공정에 의해 현저히 변화되지 않는다. 예를 들어, 일부 실시예들에서 조성이 현저히 변화하지 않는다. 일부 실시예들에서, 접촉각은 선택적 퇴적 공정에 의해 현저히 변화되지 않는다.
선택비
[44] 위에서 언급한 바와 같이, 일부 실시예들에서 물질이 제1 기판 표면 상에, 이와 다른 제2 유기 표면에 대하여 선택적으로 퇴적된다. 선택비는 [(제1 표면 상의 퇴적)-(제2 유기 표면 상의 퇴적)]/(제1 표면 상의 퇴적)에 의해 계산되는 퍼센트로 주어질 수 있다. 퇴적은 다양한 방식들 중 임의의 것으로 측정될 수 있다. 일부 실시예들에서, 퇴적은 퇴적된 물질의 측정된 두께로서 주어질 수 있다. 일부 실시예들에서, 퇴적은 퇴적된 물질의 측정된 양으로서 주어질 수 있다.
[45] 일부 실시예들에서, 선택비는 약 10%보다 크거나, 약 50%보다 크거나, 약 75%보다 크거나, 약 85%보다 크거나, 약 90%보다 크거나, 약 93%보다 크거나, 약 95%보다 크거나, 약 98%보다 크거나, 약 99%보다 크거나, 약 99.5%보다 클 수도 있다. 여기 설명된 실시예들에서, 선택비는 퇴적의 기간 또는 두께에 걸쳐 변화될 수 있다.
[46] 일부 실시예들에서, 기판의 제2 유기 표면에 대한 기판의 제1 표면 상의 퇴적은 적어도 약 80% 선택적이고, 이는 일부 특정한 어플리케이션들을 위하여 충분히 선택적일 수 있다. 일부 실시예들에서, 기판의 제2 유기 표면에 대한 기판의 제1 표면 상의 퇴적은 적어도 약 50% 선택적이며, 이는 일부 특정한 어플리케이션들을 위하여 충분히 선택적일 수 있다. 일부 실시예들에서, 기판의 제2 유기 표면에 대한 기판의 제1 표면 상의 퇴적은 적어도 약 10% 선택적이며, 이는 일부 특정한 어플리케이션들을 위하여 충분히 선택적일 수 있다.
[47] 일부 실시예들에서, 퇴적은 금속성 또는 유전 표면과 같은 제1 표면 상에서만 일어나고, 제2 유기 표면 상에서 일어나지 않는다.
퇴적
[48] 일부 실시예들에서, 금속 산화물과 같은 물질이 제2 유기 표면에 대하여 제1 표면 상에, 기판이 둘 또는 그 이상의 기상 반응물들과 접촉되는 기상 퇴적 공정에 의해 선택적으로 퇴적된다. 일부 실시예들에서, 반응물들은 제1 소수성 반응물과 제2 반응물을 포함한다. 제1 소수성 반응물 및 제2 반응물은 요구되는 물질을 퇴적하도록 선택될 수 있다. 일부 실시예들에서 추가적인 반응물들(제3 반응물, 제4 반응물 등)이 예를 들어 퇴적되는 상기 물질에 추가적인 성분들을 기여하도록 사용될 수 있다.
[49] 일부 실시예들에서, 제1 표면은 하나 또는 그 이상의 기상 반응물들에 실질적으로 반응성이 있는 반면, 제2 유기 표면은 실질적으로 반응성이 없다.
[50] 일부 실시예들에서, 선택적으로 퇴적되는 물질은 금속을 포함하고, 제1 소수성 반응물은 상기 퇴적되는 물질 내에 포함되는 금속을 포함한다. 일부 실시예들에서, 선택적으로 퇴적되는 물질은 금속 산화물이며, 제1 소수성 반응물은 상기 금속 산화물 내에 포함되는 금속을 포함하고 제2 반응물은 산소를 포함한다. 일부 실시예들에서, ZrO2는 지르코늄을 포함하는 제1 소수성 반응물과, 산소를 포함하는 제2 반응물에 기판을 접촉시킴에 의해 선택적으로 퇴적된다.
[51] 일부 실시예들에서, 선택적으로 퇴적되는 물질은 금속 질화물이고 제1 소수성 반응물은 상기 금속 질화물 내에 포함되는 금속을 포함하고 제2 반응물은 질소를 포함한다.
[52] 일부 실시예들에서, 선택적으로 퇴적되는 물질은 황, 카본, 실리콘 및 또는 수소를 포함하는 금속 또는 금속성 막이다. 일부 실시예들에서, 상기 물질은 황화물(sulphide)이다. 일부 실시예들에서, 상기 물질은 실리사이드이다. 일부 실시예들에서, 상기 물질은 원소 금속이다. 다시, 제1 소수성 반응물은 상기 퇴적되는 물질 내에 포함되는 금속을 포함할 수 있다.
[53] 제1 소수성 반응물, 제2 반응물 등과 같이 지칭되기는 하지만, 특정한 실시예들에서 반응물들은 다른 순서로, 또는 함께 제공될 수 있다.
[54] 일부 실시예들에서, 기상 퇴적 공정은 ALD 타입의 공정이다. 일부 실시예들에서, 퇴적 공정은 반응물들 중 적어도 하나가 부분적으로 분해되는, 제1 표면 상에 선택적으로 분해되는 것과 같은, 기상 퇴적 공정일 수 있다. 예를 들어, 일부 실시예들에서 기상 퇴적 공정은 순차적 또는 사이클 CVD 공정 또는 단일 소스 CVD 공정과 같은 화학 기상 퇴적(CVD) 공정일 수 있다.
[55] 일부 실시예들에서, 반도체 대상체(workpiece)와 같이 퇴적이 요구되는 기판이 반응 공간 또는 반응기 내로 로딩된다. 다양한 타입들의 반응기들이 특정한 상황들에 의존하여 사용될 수 있다. 이들은 예를 들어 흐름-타입 반응기들, 교차-흐름 반응기들, 샤워 헤드-타입 반응기들 및 공간 분할 반응기들을 포함한다. 일부 실시예들에서, 고용적 제조-가능 단일 웨이퍼 반응기가 사용된다. 다른 실시예들에서, 다수의 기판들을 공정하는 것이 가능한 뱃치 반응기가 사용된다. 뱃치 반응기들이 사용되는 실시예들에서, 기판들의 개수는 예를 들어 100 내지 200의 범위, 50 내지 150의 범위, 또는 100 내지 130의 범위일 수 있다. 반응기는 집적 회로의 제조에서 다양한 다른 공정들이 수행되는 클러스터 툴(cluster tool)의 일부분일 수 있다.
[56] 사용될 수 있는 적합한 반응기들의 예시들은 ASM America, Inc. (Phoenix, Arizona) 및 ASM Europe B.V., (Almere, Netherlands)로부터 입수 가능한 F-120® 반응기, F-450® 반응기, Pulsar® 2000 및 Pulsar® 3000와 같은 Pulsar® 반응기들, EmerALD® 반응기 및 Advance® 400 시리즈들 반응기들과 같은 상용 가능한 장비들을 포함한다. 다른 상용 가능한 반응기들은 Eagle® XP 및 XP8의 상표명 하의 ASM Japan KK(Tokyo, Japan)로부터의 것들을 포함한다.
[57] 일부 실시예들에서, ALD 공정은 제1 표면과 제2 유기 표면을 포함하는 기판을 제1 소수성 반응물과 제2 반응물에 교대로 및 순차적으로 접촉시키는 단계를 포함한다. ALD 타입의 공정들은 조절되고, 일반적으로 자기 제한적 표면 반응들에 기초한다. 기상 반응들은 일반적으로 기판을 상기 반응물들과 교대로 및 순차적으로 접촉시킴에 의해 방지된다. 기상 반응물들은, 예를 들어 반응물 펄스들 사이에서 여분의 반응물들 및/또는 반응 부산물들을 제거함에 의해 반응 챔버 내에서 서로로부터 분리된다. 반응물들은 퍼지 가스 및/또는 진공의 도움으로 기판 표면과의 인접부로부터 제거될 수 있다. 일부 실시예들에서, 여분의 반응물들 및/또는 반응 부산물들은 예를 들어 불활성 가스에 의해 퍼지함에 의해 반응 공간으로부터 제거된다.
[58] 간략히, 적어도 제1 표면과, 제2의 다른 유기 표면을 포함하는 기판이 일반적으로 감소된 압력에서 적합한 퇴적 온도까지 가열된다. 퇴적 온도들은 일반적으로 반응물들의 열 분해 온도 이하에서, 그러나 반응물들의 응축을 방지하고 요구되는 표면 반응들을 위한 활성화 에너지를 제공하도록 충분히 높은 레벨에서 유지된다. 물론, 임의의 주어진 ALD 반응을 위한 적합한 온도 윈도우는 표면 종결 및 연관되는 반응물 종들에 의존할 것이다. 여기서, 온도는 사용되는 전구체에 의존하여 달라지고 예를 들어, 약 500℃ 이하, 약 80℃ 내지 약 300℃일 수 있다. 일부 실시예들에서, 온도는 약 600℃ 이하, 약 500℃ 이하, 약 400℃ 이하, 약 350℃ 이하, 약 300℃ 이하, 약 250℃ 이하, 약 200℃ 이하, 약 150℃ 이하, 약 100℃ 이하, 50℃ 이하 또는 25℃ 이하일 수 있다. 일부 이러한 실시예들에서, 온도는 0℃보다 높거나, 1℃보다 높거나, 10℃보다 높거나, 또는 20℃보다 높을 수 있다. 일부 실시예들에서, 온도는 반응물들 중 하나 또는 그 이상의 응축점보다 높을 수 있다. 일부 실시예들에서, 온도는 유기 표면의 분해 온도 및/또는 유기 표면이 안정하게 유지되는 온도보다 낮다.
[59] 일부 실시예들에서, 반응 챔버 압력은 약 1 mTorr 내지 약 1000 Torr일 수 있다.
[60] 기판은 기상 제1 소수성 반응물과 접촉된다. 일부 실시예들에서, 기상 제1 소수성 반응물의 펄스가 제1 표면 및 제2 유기 표면을 포함하는 기판을 함유하는 반응 공간으로 제공된다. 일부 실시예들에서, 기판 자체가 기상 제1 반응물을 함유하는 반응 공간으로 이동된다. 자기-제한적 방식으로 제1 소수성 반응물의 약 일 모노레이어보다 작게 기판 표면 상에 흡착되도록 조건들이 바람직하게 선택된다. 적합한 접촉 시간들은 특정한 상황들에 기초하여 당업자에 의해 즉각적으로 결정될 수 있다. 여분의 제1 반응물 및 만약 있다면 반응 부산물들이, 불활성 기체로 퍼지함에 의해 또는 제1 반응물의 존재로부터 기판을 제거함에 의해서와 같이 기판 표면으로부터 제거된다.
[61] 퍼지하는 단계는, 진공 펌프로 챔버를 배기하는 단계에 의해서, 및/또는 반응기 내부의 기체를 아르곤 또는 질소와 같은 불활성 기체로 교체함에 의해서와 같이, 기상 전구체들 및/또는 기상 부산물들이 기판 표면으로부터 제거되는 것을 의미한다. 일반적인 퍼지 시간들은 약 0.05 내지 20초, 더욱 바람직하게는 약 1 내지 10초, 더욱 바람직하게는 1 내지 2초이다. 그러나 극히 높은 종횡비 구조물들 또는 복잡한 표면 모폴로지를 갖는 다른 구조물들 상으로의 고도로 콘포말한 스텝 커버리지가 요구되거나, 높은 스루풋이 요구되고 얻어지는 경우에서와 같이, 필요하다면 다른 퍼지 시간들이 사용될 수 있다.
[62] 제1 반응물을 제거한 이후에, 기판은 기상 제2 반응물과 접촉된다. 일부 실시예들에서, 제2 기체 반응물의 펄스가 기판을 함유하는 반응 공간에 제공된다. 일부 실시예들에서, 기판은 기상 제2 반응물을 함유하는 반응 공간으로 이동된다. 제2 반응물은, 제2 유기 표면에 대하여 제1 표면 상에 요구되는 물질을 선택적으로 퇴적하도록 흡착된 제1 반응물과 반응한다.
[63] 여분의 제2 반응물과 만약 있다면 표면 반응의 기체 부산물들은 기판 표면으로부터 이후 제거된다.
[64] 접촉시키는 단계와 제거하는 단계는 각각의 사이클이 일반적으로 약 일 분자 모노레이어보다 작게 남기며, 제2 유기 표면에 대하여 기판의 제1 표면 상에 요구되는 두께의 박막이 선택적으로 형성될 때까지 반복된다. 3원계 물질들과 같이 더욱 복잡한 물질들을 형성하도록 기판의 표면을 다른 반응물들과 교대로 및 순차적으로 접촉시키는 단계를 포함하는 추가적인 상들이 포함될 수 있다.
[65] 위에서 언급한 바와 같이, 각각의 사이클의 각각의 상은 일반적으로 자기-제한적이다. 여분의 반응물 전구체들이 예를 들어 M-OH 기들을 갖는 MO2일 수 있는 제1 표면 상의 침투가능한 반응 사이트들을 포화시키도록 각각의 상을 위하여 공급된다. 표면 포화는 모든 가능한 반응성 사이트들(예를 들어 물리적 사이즈 또는 "입체 장해(steric hindrance" 제약들이 가해지는)의 반응물 차지를 보장한다. 일반적으로, 각각의 사이클에서 제1 표면 상에 물질의 일 분자층보다 작게 퇴적되나, 일부 실시예들에서 사이클 동안 일 분자층보다 많이 퇴적될 수 있다. 적절한 표면 포화는 예를 들어 스루풋을 최적화하기 위하여 충분한 것으로 이해된다.
[66] 여분의 반응물들을 제거시키는 단계는 반응 공간의 성분들의 일부를 배기하는 단계 및/또는 헬륨, 질소 또는 다른 불활성 기체로 반응 공간을 퍼지하는 단계를 포함할 수 있다. 일부 실시예들에서, 퍼지 단계는 반응성 기체의 흐름을 끄는 한편, 반응 공간으로의 불활성 캐리어 기체의 흐름을 계속하는 단계를 포함할 수 있다.
[67] ALD 타입의 공정들에 채용되는 전구체들은, 기판 표면과 접촉되기 전에 전구체들이 기체 상태에 있다면 표준 조건들(실온 및 대기압) 하에서 고체, 액체, 또는 기체 물질들일 수 있다. 기판 표면을 증기화된 전구체와 접촉시키는 것은 전구체 증기가 제한된 시간 동안 기판 표면과 접촉한다는 것을 의미한다. 일반적으로, 접촉 시간은 약 0.05 내지 10초이다. 그러나 기판 타입 및 그 표면적에 의존하여, 접촉시간은 10초보다 더 클 수도 있다. 접촉 시간들은 일부 경우들에서는 수 분 오더일 수 있다. 최적의 접촉 시간은 특정한 상황들에 기초하여 당업자에 의해 결정될 수 있다.
[68] 전구체들의 질량 유속 또한 당업자에 의해 결정될 수 있다. 일부 실시예들에서, 금속 전구체들의 유속은 바람직하게는 제한 없이 약 1 내지 1000 sccm이며, 더욱 바람직하게는 약 100 내지 500 sccm이다.
[69] 반응 챔버 내의 압력은 일반적으로 약 0.01 내지 약 20 mbar이고, 더욱 바람직하게는 약 1 내지 약 10 mbar이다. 그러나, 일부 경우들에서, 주어진 특정한 상황들에서 당업자에 의해 결정될 수 있는 것과 같이, 압력은 이러한 범위보다 더 높거나 낮을 것이다.
[70] 퇴적을 시작하기 전에, 기판은 적합한 성장 온도까지 가열된다. 선호되는 퇴적 온도는 반응물 전구체들, 압력, 유속, 반응기의 배열, 유기 표면의 안정성, 퇴적된 박막의 결정화 온도 및 퇴적될 물질의 속성 및 유기 표면의 속성을 포함하는 기판의 조성과 같은 다수의 요인들에 의존하여 달라질 수 있으나, 이에 한정되지 않는다. 특정 성장 온도는 당업자에 의해 선택될 수 있다.
[71] 도 1을 참조하면, 일부 실시예들에서 제1 표면과 제2 유기 표면을 포함하는 기판이 제공된다(11). 위에서 논의한 바와 같이, 일부 실시예들에서 제1 표면은 예를 들어 금속 또는 금속성 표면 또는 유전 표면일 수 있다.
[72] 제1 노출 기간 동안 기판은 제1 기상 소수성 반응물과 접촉된다(12). 소수성 반응물은 예를 들어 금속을 포함할 수 있고, 아래 설명된 화학식 I을 가질 수 있다. 일부 실시예들에서, 제1 전구체 노출 기간은 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.1초 내지 약 10초, 또는 약 0.2초 내지 약 5초이다. 최적의 노출 기간은 특정한 상황들에 기초하여 즉각적으로 결정될 수 있다. 일부 실시예들에서, 뱃치 반응기들이 사용될 수 있을 때와 같이, 60초보다 큰 노출 기간들이 채용될 수 있다.
[73] 여분의 제1 소수성 반응물 및 만약 있다면 반응 부산물들이 제거된다(13). 여분의 제1 반응물 및 반응 부산물들은 예를 들어 불활성 기체로의 퍼지에 의해, 및/또는 진공에 의해 제거될 수 있다. 퍼지 단계는 실질적으로 모든 제1 반응물을 제거하기에 충분한 시간 동안 수행될 수 있다. 일부 실시예들에서, 퍼지는 약 0.1 내지 약 60초, 약 0.5 내지 약 20초, 또는 약 1 내지 약 10초 동안 수행된다. 상황들에 따라, 일부 실시예들에서 약 60초보다 긴 퍼지가 수행될 수 있다.
[74] 여분의 제1 반응물이 제거된 이후에, 제2 노출 기간 동안 기판은 제2 기상 반응물과 접촉된다(14). 일부 실시예들에서, 기판은 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.1초 내지 약 10초, 또는 약 0.2초 내지 약 5초의 기간동안 제2 반응물에 노출된다. 최적의 노출 기간은 특정한 상황들에 기초하여 즉각적으로 결정될 수 있다. 일부 실시예들에서, 뱃치 반응기들이 사용될 수 있을 때와 같이, 60초보다 큰 노출 기간들이 채용될 수 있다.
[75] 여분의 제2 반응물 및 만약 있다면 반응 부산물들이 제거된다(15). 여분의 제2 반응물 및 반응 부산물들은 예를 들어 불활성 기체로의 퍼지에 의해, 및/또는 진공에 의해 제거될 수 있다. 이러한 제2 퍼지 단계는 실질적으로 모든 제2 반응물을 제거하기에 충분한 시간 동안 수행될 수 있다. 일부 실시예들에서, 제2 퍼지는 약 0.2 내지 약 60초, 약 0.5 내지 약 20초, 또는 약 1 내지 약 10초 동안 수행된다. 상황들에 따라, 일부 실시예들에서 약 60초보다 긴 제2 퍼지가 수행될 수 있다.
[76] 블록 16에서, 물질이 제2 유기 표면에 대하여 제1 표면 상에 선택적으로 퇴적된다. 당업자는 선택적 퇴적이 별개의 작업이라기 보다는 위에서 설명한 작업들(12-15)의 결과임을 이해할 것이다. 일부 실시예들에서, 위에서 설명한 작업들, 블록들 12-15이 퇴적 사이클로 인식될 수 있다. 일부 실시예들에서, 퇴적 사이클은 요구되는 두께의 막이 선택적으로 퇴적될 때까지 반복될 수 있다. 이러한 선택적 퇴적 사이클은 요구되는 두께의 막이 제2 유기 표면에 대하여 제1 표면 상에 선택적으로 퇴적될 때까지 반복될 수 있다. 일부 실시예들에서, 선택적 퇴적 사이클은 요구되는 레벨의 선택비가 더 이상 유지되지 않을 때까지만 반복된다. 예를 들어, 선택적 퇴적 사이클은 유기 표면 상에 상당한 퇴적이 발생하기 시작할 때까지 반복될 수 있다.
[77] 일부 실시예들에서, 금속 산화물은 기판을 금속 산화물의 금속을 포함하는 제1 소수성 반응물과 산소를 포함하는 제2 반응물과 교대로 및 순차적으로 접촉시킴에 의해 선택적으로 퇴적된다. 일부 실시예들에서, 제2 반응물은 물이다. 금속 산화물은 예를 들어 ZrO2 또는 HfO2일 수 있다.
[78] 일부 실시예들에서, ZrO2는 ALD 공정에 의해 퇴적된다. 기판은 금속 표면, 금속성 표면 또는 유전 표면과 같은 제1 표면과 제2 유기 표면을 포함하여 제공된다. ALD 공정은 하나 또는 그 이상의 퇴적 사이클들을 포함하며, 상기 퇴적 사이클은,
- 기판을 Zr을 포함하는 제1 소수성 반응물과 접촉시키는 단계, 일부 실시예들에서 제1 소수성 반응물은 비스(메틸시클로펜타디에닐)메톡시메틸 지르코늄(IV)이다;
- 여분의 제1 소수성 반응물을 제거하는 단계;
- 기판을 H2O와 같은 산소를 포함하는 제2 반응물과 접촉시키는 단계;
- 여분의 제2 반응물을 제거하는 단계를 포함한다.
[79] 퇴적 사이클은 요구되는 두께의 ZrO2 막을 퇴적하도록 2회 또는 그 이상 반복될 수 있다.
[80] 일부 실시예들에서, HfO2 막은 비스(메틸시클로펜타디에닐)메톡시메틸 하프늄(IV)과 같은 Hf를 포함하는 제1 소수성 반응물을 사용한 유사한 공정에 의해 퇴적된다.
[81] 일부 실시예들에서, 선택적 CVD 공정은 제1 표면 및 제2 유기 표면을 포함하는 기판을 제1 소수성 금속 반응물 및 제2 반응물과 접촉시키는 단계를 포함한다. 일부 실시예들에서, ZrO2와 같은 금속 산화물은, 기판을 금속 산화물의 금속을 포함하는 제1 소수성 반응물과, 물과 같은 산소를 포함하는 제2 반응물과 접촉시킴에 의해 선택적으로 퇴적된다. 일부 실시예들에서, 기판은 제1 및 제2 반응물들과 순차적으로 접촉된다.
반응물들
[82] 위에서 언급한 바와 같이, 선택적 기상 퇴적 공정 내의 적어도 하나의 반응물들은 소수성 반응물이다. 일부 실시예들에서, 소수성 반응물은 금속을 포함한다.
[83] 소수성 반응물은 하나 또는 그 이상의 소수성 리간드들을 포함한다. 일부 실시예들에서, 소수성 반응물은 2 내지 4개의 소수성 리간드들을 포함한다. 원자가/산화 상태 n을 갖는 금속을 포함하는 소수성 반응물들의 경우에, 일부 실시예들에서 소수성 반응물은 n-1 또는 n-2개의 소수성 리간드들을 포함한다.
[84] 일부 실시예들에서, 적어도 하나의 소수성 리간드는 C 및 H만을 포함한다. 일부 실시예들에서, 적어도 하나의 소수성 리간드는 C, H 및 Si 또는 Ge를 포함하고 추가 원소들을 포함하지 않는다.
[85] 일부 실시예들에서, 소수성 리간드는 탄화수소 리간드이다. 탄화수소 리간드는 예를 들어 C1-C10 탄화수소(단일, 이중 또는 삼중 결합된)를 포함할 수 있다. 일부 실시예들에서, 탄화수소 리간드는 C1-C5 알킬과 같은 알킬, 예를 들어 Me, Et, Pr, iPr, Bu 또는 tBu 리간드를 포함한다. 일부 실시예들에서, 탄화수소 리간드는 C1-C6 알케닐과 같은 알케닐이다. 일부 실시예들에서, 탄화수소 리간드는 C3-C8 사이클 탄화수소와 같은 사이클 탄화수소이다. C3-C8 탄화수소 리간드들의 예시들은 시클로펜타디에닐(cyclopentadienyl) 리간드들, 시클로헵타디에닐(cycloheptadienyl) 리간드들, 시클로헵타트리에닐(cycloheptatrienyl) 리간드들, 시클로헥실(cyclohexyl) 리간드들, 및 이들의 유도체들을 포함한다. 일부 실시예들에서, 탄화수소 리간드는 C6 방향족 고리와 같은 방향족 리간드 또는 이들의 유도체이다.
[86] 일부 실시예들에서, 소수성 반응물은 친수성 리간드들을 포함하지 않는다. 그러나, 소수성 반응물은 하나 또는 두 개의 친수성 리간드들을 포함할 수 있다. 일부 실시예들에서, 친구성 리간드는 질소, 산소, 및/또는 할로겐 족을 포함한다.
[87] 일부 실시예들에서, 친수성 리간드는 알킬아민(-NR2, 여기서 각각의 R은 알킬, 수소일 수 있다)일 수 있다. 일부 실시예들에서, 친수성 리간드는 -NMe2, -NEtMe, 또는 -NEt2일 수 있다.
[88] 일부 실시예들에서, 친수성 리간드는 알콕사이드, 예를 들어 -OMe, -OEt, -OiPr, 또는 -OtBu이다.
[89] 일부 실시예들에서, 친수성 리간드는 클로라이드, 플루오라이드, 또는 다른 할라이드와 같은 할라이드를 포함한다.
[90] 일부 실시예들에서, 소수성 전구체는 다음 화학식을 갖는다:
(I) LnMXy
[91] 화학식 I에서, n은 1 내지 6이다. 일부 실시예들에서, n은 1 내지 4 또는 3 내지 4이다.
[92] 화학식 I에서, y는 0 내지 2이다. 일부 실시예들에서, y는 0 내지 1이다.
[93] 화학식 I에서, L은 위에서 논의된 바와 같은 소수성 리간드이다. 일부 실시예들에서, L은 Cp이다. 일부 실시예들에서, L은 C1-C4 알킬 리간드이다.
[94] 화학식 I에서, X는 위에서 논의된 바와 같은 친수성 리간드이다. 예를 들어, 일부 실시예들에서 X는 알킬아민, 알콕사이드, 또는 할라이드 리간드이다.
[95] 화학식 I에서, M은 금속(13족 원소들, B, Ga을 포함하여)이다. 일부 실시예들에서, M은 +I에서 +VI까지의 산화 상태를 갖는다. 일부 실시예들에서, M은 +IV 내지 +V의 산화 상태를 갖는다. 일부 실시예들에서, 금속은 W이 아니다. 일부 실시예들에서, 금속은 Mo이 아니다. 일부 실시예들에서, 금속은 Co이 아니다. 일부 실시예들에서, 금속은 Fe이 아니다. 일부 실시예들에서, 금속은 Ni이 아니다. 일부 실시예들에서, 금속은 귀금속이 아니다. 예를 들어, 일부 실시예들에서 금속은 Ru이 아니다.
[96] 일부 실시예들에서, M은 전이금속일 수 있다. 일부 실시예들에서, M은 Ti, Ta, Nb, W, Mo, Hf, Zr, V, 또는 Cr이다. 일부 실시예들에서, M은 Co, Fe, Ni, Cu, 또는 Zn이다. 일부 실시예들에서, M은 Hf, Zr, Ta 또는 Nb이다.
[97] 일부 실시예들에서, M은 희토금속(rare earth metal)일 수 있다. 일부 실시예들에서, M은 La, Ce, 또는 Y이다.
[98] 일부 실시예들에서, M은 2 내지 13족들로부터의 금속일 수 있다. 일부 실시예들에서, M은 Ba, Sr, Mg, Ca, 또는 Sc이다.
[99] 일부 실시예들에서, M은 귀금속이 아니다.
[100] 일부 실시예들에서, M은 Zr이다.
[101] 일부 실시예들에서, 소수성 반응물은 비스(메틸시클로펜타디에닐)메톡시메틸 지르코늄(IV)이다.
[102] 일부 실시예들에서, 소수성 반응물은 비스)메틸시클로펜타디에닐)메톡시메틸 하프늄(Hf)이다.
[103] 일부 실시예들에서, 제2 반응물은 선택적으로 퇴적되는 물질에 하나 또는 두 개의 성분들을 기여한다. 예를 들어, 제2 반응물은 금속 산화물을 퇴적하는 데 사용되는 산소 전구체, 또는 금속 질화물을 퇴적하는 데 사용되는 질소 전구체일 수 있다.
[104] 일부 실시예들에서, 제2 반응물은 산소 전구체를 포함한다. 예를 들어, 제2 반응물은 H2O, O3, H2O2, 산소-함유 플라즈마, 이온들, 래디칼들, 원자 O 또는 산소의 여기된 종들 중 하나 또는 그 이상을 포함할 수 있다.
[105] 일부 실시예들에서, 제2 반응물은 질소 전구체를 포함한다. 예를 들어, 제2 반응물은 NH3, N2H4, 질소-함유 플라즈마, 이온들, 래디칼들, 원자 N 또는 N을 포함하는 여기된 종들 중 하나 또는 그 이상을 포함할 수 있다. 일부 실시예들에서, 질소 반응물은 대응하는 수소 종들을 갖는 혼합물을 포함할 수 있다.
[106] 일부 실시예들에서, 제2 반응물은 질소와 같은 불활성 기체, 또는 Ar 또는 He와 같은 비활성 기체(noble gas)와 함께, 및/또는 이들의 도움으로 제공될 수 있다.
[107] 일부 실시예들에서, 다른 반응물들이 퇴적되는 물질에 N 또는 O 이외의 성분들을 기여하는 데 사용될 수 있다. 이러한 반응물들은 N 또는 O의 제2 반응물에 덧붙여 사용될 수 있거나, 또는 이들 자체가 제2 반응물로서 작용할 수 있다. 예를 들어, 일부 실시예들에서, H2S와 같은 황 반응물 또는 S-H 결합을 갖는 다른 반응물은 설파이드와 같은 황-함유 물질을 퇴적하도록 사용될 수 있다. 일부 실시예들에서, 카본 반응물이 카본-함유 물질을 퇴적하는 데 사용될 수 있거나, 실리콘 반응물이 실리사이드와 같은 실리콘-함유 물질을 퇴적하는 데 사용될 수 있다.
[108] 일부 실시예들에서, 제2 반응물은 퇴적되는 물질에 둘 또는 그 이상의 성분들을 기여할 수 있다.
[109] 일부 실시예들에서, 제2 반응물은 선택적으로 퇴적되는 막에 성분을 기여하지 않는다. 예를 들어, 일부 실시예들에서 제2(또는 추가적인) 반응물들이 금속 원소 막과 같은 금속 또는 금속성 막을 퇴적하는 데 도움을 주도록 사용될 수 있다. 예를 들어, 일부 실시예들에서 제2 반응물은 수소를 포함할 수 있다.
후속 공정
[110] 일부 실시예들에서, 추가적 공정이 선택적 퇴적 공정 이후에 수행될 수 있다. 예를 들어, 일부 실시예들에서 기판에 예를 들어 유기 표면으로부터 퇴적된 물질의 적어도 일부분을 제거하도록 식각 공정이 가해질 수 있다. 일부 실시예들에서, 선택적 퇴적 이후의 식각 공정은 제1 표면으로부터 퇴적된 물질을 부분적으로 제거할 수 있고, 퇴적의 선택적 속성에도 불구하고 기판의 제2 유기 표면 상에 퇴적되었던 물질을 실질적으로 완전히 제거할 수 있다.
[111] 여기 설명된 퇴적 공정들의 선택적 속성에 기인하여, 기판의 제2 유기 표면 상에 퇴적된 물질의 양이 기판의 제1 표면 상에 퇴적된 물질의 양보다 실질적으로 작을 수 있다. 그러므로, 식각 공정은 기판의 제2 유기 표면으로부터 퇴적된 물질을 완전히 제거할 수 있는 한편 기판의 제1 표면 상에 퇴적된 물질이 잔류할 수 있다. 유기 물질은 퇴적 이후에 부분적으로 또는 완전히 제거될 수 있다.
실험예들
[112] ZrO2가 Pulsar® 2000 반응기 내에서 다양한 기판들 상에 및 다양한 반응 조건들 하에서 ALD에 의해 퇴적되었다. 비스(메틸시클로펜타디에닐)메톡시메틸 지르코늄(IV) 및 H2O가 제1 소수성 반응물 및 제2 반응물로서 각각 사용되었다. ZrO2의 퇴적이 SAM 층(트리클로로(옥타데실)실레인) 또는 폴리머 표면을 갖는 표면을 포함하는 기판들 상에서 관찰되지 않았다. 도 1 내지 도 4를 보라.
[113] SAM 표면 상의 테스트들에서, 두 개의 조각 기판들, 자연 산화물(NatOx)/Si 및 SAM/NatOx/Si이 ZrO2 퇴적 공정들이 수행되는 ALD 반응기 내로 놓여졌다. 표면의 소수성 또는 친수성 속성을 가리키는 접촉각(CA) 측정이 NatOx/Si 조각 상의 SAM 층의 수동적 속성을 연구하도록 사용되었다. 접촉각은 양 기판들로부터 ZrO2 퇴적 이전 및 이후에 측정되었다.
[114] ZrO2 퇴적 이전에, SAM층의 접촉각은 매우 높았고(>105°), 이는 표면(-CHx)의 매우 소수성인 속성을 가리키는 반면, 자연 산화물 기판은 매우 낮은 값을 주어, 기판(Si-OH)의 친수성 속성을 나타낸다. 도 1로부터 볼 수 있는 바와 같이, ZrO2 공정(10 nm 층)에 노출된 SAM/NatOx/Si 조각의 접촉각은 퇴적 이전과 동일하게 유지되었으며, SAM 상의 ZrO2가 성장하지 않음을 가리킨다.
[115] XPS 결과들은 CA 측정들과 일치하였고, 10 nm ZrO2 퇴적 이후에도 SAM들 상에 Zr이 없음을 나타냈다(도 2). 또한 STEM은 SAM 표면 상에 ZrO2가 없는 한편, 자연 산화물 상에 10 nm의 ZrO2층이 퇴적되었음을 나타냈다(도 3). 25 nm 퇴적 이후에 XPS는 SAM 표면 상의 일부 Zr을 나타내었으나, SAM 조각은 5시간 이상 동안 반응기 내에 있었고, 이는 SAM의 저하를 유발할 수도 있었다(도 2).
[116] 도 4 및 표 1에서, 자연산화물(SiO2) 상에 ZrO2가 성장하나 폴리이미드 상에서는 상당히 성장하지 않음을 보여준다. 거의 25 nm의 ZrO2가 자연산화물(SiO2) 표면 상에 퇴적된 이후에도, 폴리이미드 표면 상에 C-NH2와 같은 친수성 표면기들이 존재함에도 불구하고 폴리이미드 표면 상에는 상당한 ZrO2는 존재하지 않았다.
[표 1] 자연산화물 및 폴리이미드 표면들뿐만 아니라 H-플라즈마 손상된 폴리이미드 표면 상의 다른 ZrO2 사이클 횟수들, 100 내지 760회 이후의 폴리이미드 샘플들의 XPS 분석. 760 사이클들 이후에도 폴리이미드 표면들 상에 매우 작은 양의 ZrO2만이 감지되었다. H 플라즈마 손상된 표면 또한 ZrO2 성장을 저해하였다.
성분 100c
PI 상의 ZrO2
200c
PI 상의 ZrO2
400c
PI 상의 ZrO2
760c
PI 상의 ZrO2
400c
자연산화물 상의 ZrO2
400c
플라즈마 손상된 PI 상의 ZrO2
C 70.8 69.4 68.9 67.5 15.6 70.2
O 18.2 19.0 19.6 21.3 57.0 18.5
N 8.4 8.3 7.7 6.6 - 8.2
Si 2.55 3.2 3.2 3.2 - 2.8
Zr 0.05 0.1 0.6 1.4 25.2 0.3
[117] 본 발명의 사상을 떠나지 않고 다수의 다양한 개조들이 만들어질 수 있음이 당업자에 있어서 이해될 것이다. 설명된 특징들, 구조들, 특성들 및 전구체들은 임의의 적합한 방식으로 결합될 수 있다. 그러므로, 본 발명의 형태들이 설명적일 뿐이며, 본 발명의 범위를 제한하도록 의도되지 않음이 명확히 이해되어야 한다. 모든 개조들 및 변경들은 첨부된 청구범위에 의해 한정되는 바와 같이 본 발명의 범위 내에 속할 것이 의도된다.

Claims (36)

  1. 제2 유기 표면에 대하여(relative to) 기판의 제1 표면 상에 물질을 선택적으로 퇴적하기 위한 기상 퇴적 방법으로서,
    상기 기판을 기상의(vapor phase) 소수성 제1 반응물 및 기상의 제2 반응물과 교대로 및 순차적으로 접촉시키는 단계를 포함하고,
    상기 물질은 상기 제2 유기 표면에 대하여 상기 제1 표면 상에 선택적으로 퇴적되는 것을 특징으로 하는 기상 퇴적 방법.
  2. 청구항 1에 있어서,
    상기 소수성 제1 반응물은 사이클 탄화수소(cyclic hydrocarbon) 리간드를 포함하는 것을 특징으로 하는 기상 퇴적 방법.
  3. 청구항 2에 있어서,
    상기 사이클 탄화수소 리간드는 시클로펜타디에닐(cyclopentadienyl) 리간드인 것을 특징으로 하는 기상 퇴적 방법.
  4. 청구항 1에 있어서,
    상기 소수성 제1 반응물은 알콕사이드 리간드를 포함하는 것을 특징으로 하는 기상 퇴적 방법.
  5. 청구항 1에 있어서,
    상기 소수성 제1 반응물은 알킬아민을 포함하는 것을 특징으로 하는 기상 퇴적 방법.
  6. 청구항 1에 있어서,
    상기 소수성 제1 반응물은 할라이드를 포함하는 것을 특징으로 하는 기상 퇴적 방법.
  7. 청구항 1에 있어서,
    상기 소수성 제1 반응물은 금속을 포함하는 것을 특징으로 하는 기상 퇴적 방법.
  8. 청구항 7에 있어서,
    상기 금속은 티타늄(Ti), 하프늄(Hf), 또는 지르코늄(Zr)인 것을 특징으로 하는 기상 퇴적 방법.
  9. 청구항 7에 있어서,
    상기 금속은 란타늄(La)인 것을 특징으로 하는 기상 퇴적 방법.
  10. 청구항 1에 있어서,
    상기 제2 반응물은 산소를 포함하는 것을 특징으로 하는 기상 퇴적 방법.
  11. 청구항 10에 있어서,
    상기 제2 반응물은 H2O를 포함하는 것을 특징으로 하는 기상 퇴적 방법.
  12. 청구항 10에 있어서,
    상기 제2 반응물은 O3를 포함하는 것을 특징으로 하는 기상 퇴적 방법.
  13. 청구항 10에 있어서,
    상기 제2 반응물은 H2O2를 포함하는 것을 특징으로 하는 기상 퇴적 방법.
  14. 청구항 1에 있어서,
    상기 제2 반응물은 카본을 포함하는 것을 특징으로 하는 기상 퇴적 방법.
  15. 청구항 1에 있어서,
    상기 제2 반응물은 질소를 포함하는 것을 특징으로 하는 기상 퇴적 방법.
  16. 청구항 1에 있어서,
    상기 제2 반응물은 황(sulfur)을 포함하는 것을 특징으로 하는 기상 퇴적 방법.
  17. 청구항 1에 있어서,
    상기 제2 반응물은 실리콘을 포함하는 것을 특징으로 하는 기상 퇴적 방법.
  18. 청구항 1에 있어서,
    상기 제2 반응물은 퇴적되는 상기 물질에 2개 또는 그 이상의 원소들을 기여하는 것을 특징으로 하는 기상 퇴적 방법.
  19. 청구항 1에 있어서,
    상기 제2 반응물은 퇴적되는 상기 물질에 원소를 기여하지 않는 것을 특징으로 하는 기상 퇴적 방법.
  20. 청구항 1에 있어서,
    상기 제2 유기 표면은 자기-조립 단층(self-assembled monolayer, SAM)을 포함하는 것을 특징으로 하는 기상 퇴적 방법.
  21. 청구항 1에 있어서,
    상기 제2 유기 표면은 폴리머를 포함하는 것을 특징으로 하는 기상 퇴적 방법.
  22. 청구항 21에 있어서,
    상기 폴리머는 폴리이미드인 것을 특징으로 하는 기상 퇴적 방법.
  23. 청구항 21에 있어서,
    상기 폴리머는 폴리아미드인 것을 특징으로 하는 기상 퇴적 방법.
  24. 청구항 21에 있어서,
    상기 폴리머는 폴리우레아인 것을 특징으로 하는 기상 퇴적 방법.
  25. 청구항 21에 있어서,
    상기 폴리머는 폴리스타이렌인 것을 특징으로 하는 기상 퇴적 방법.
  26. 청구항 1에 있어서,
    상기 제2 유기 표면은 그래핀을 포함하는 것을 특징으로 하는 기상 퇴적 방법.
  27. 청구항 1에 있어서,
    상기 제2 유기 표면은 비정질 카본을 포함하는 것을 특징으로 하는 기상 퇴적 방법.
  28. 청구항 1에 있어서,
    상기 물질은 금속을 포함하는 것을 특징으로 하는 기상 퇴적 방법.
  29. 청구항 28에 있어서,
    상기 물질은 금속 산화물인 것을 특징으로 하는 기상 퇴적 방법.
  30. 청구항 28에 있어서,
    상기 물질은 ZrO2 또는 HfO2인 것을 특징으로 하는 기상 퇴적 방법.
  31. 청구항 28에 있어서,
    상기 물질은 금속 또는 금속성 막인 것을 특징으로 하는 기상 퇴적 방법.
  32. 청구항 1에 있어서,
    상기 물질은 실리콘-함유 물질인 것을 특징으로 하는 기상 퇴적 방법.
  33. 청구항 32에 있어서,
    상기 물질은 실리사이드인 것을 특징으로 하는 기상 퇴적 방법.
  34. 청구항 1에 있어서,
    상기 물질은 카본-함유 물질인 것을 특징으로 하는 기상 퇴적 방법.
  35. 청구항 1에 있어서,
    상기 물질은 황화물(sulfide)인 것을 특징으로 하는 기상 퇴적 방법.
  36. 청구항 1에 있어서,
    상기 물질은 질화물인 것을 특징으로 하는 기상 퇴적 방법.
KR1020220033842A 2016-05-05 2022-03-18 소수성 전구체들을 사용한 선택적 퇴적 KR20220041797A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230077764A KR20230095898A (ko) 2016-05-05 2023-06-16 소수성 전구체들을 사용한 선택적 퇴적

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662332396P 2016-05-05 2016-05-05
US62/332,396 2016-05-05
KR1020170056542A KR102377746B1 (ko) 2016-05-05 2017-05-02 소수성 전구체들을 사용한 선택적 퇴적

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170056542A Division KR102377746B1 (ko) 2016-05-05 2017-05-02 소수성 전구체들을 사용한 선택적 퇴적

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230077764A Division KR20230095898A (ko) 2016-05-05 2023-06-16 소수성 전구체들을 사용한 선택적 퇴적

Publications (1)

Publication Number Publication Date
KR20220041797A true KR20220041797A (ko) 2022-04-01

Family

ID=60243644

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020170056542A KR102377746B1 (ko) 2016-05-05 2017-05-02 소수성 전구체들을 사용한 선택적 퇴적
KR1020220033842A KR20220041797A (ko) 2016-05-05 2022-03-18 소수성 전구체들을 사용한 선택적 퇴적
KR1020230077764A KR20230095898A (ko) 2016-05-05 2023-06-16 소수성 전구체들을 사용한 선택적 퇴적

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020170056542A KR102377746B1 (ko) 2016-05-05 2017-05-02 소수성 전구체들을 사용한 선택적 퇴적

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230077764A KR20230095898A (ko) 2016-05-05 2023-06-16 소수성 전구체들을 사용한 선택적 퇴적

Country Status (3)

Country Link
US (2) US11081342B2 (ko)
KR (3) KR102377746B1 (ko)
TW (1) TWI731074B (ko)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11404313B2 (en) 2017-04-26 2022-08-02 Applied Materials, Inc. Selective tungsten deposition at low temperatures
US10256144B2 (en) 2017-04-26 2019-04-09 Applied Materials, Inc. Process integration approach of selective tungsten via fill
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) * 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
TWI772516B (zh) * 2017-09-12 2022-08-01 美商應用材料股份有限公司 藉由化學蝕刻去除選擇性沉積缺陷
WO2019182916A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Substrate processing tool with integrated metrology and method of using
WO2019200234A1 (en) 2018-04-13 2019-10-17 Applied Materials, Inc. Methods of selective atomic layer deposition
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11738366B2 (en) 2019-01-25 2023-08-29 The Regents Of The University Of California Method of coating an object
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
US11251073B2 (en) * 2020-04-01 2022-02-15 Taiwan Semiconductor Manufacturing Co. Selective deposition of barrier layer
CN111411346A (zh) * 2020-04-14 2020-07-14 西安近代化学研究所 一种柔性无机-有机复合水汽氧气阻隔薄膜及其低温制备方法
US11694903B2 (en) 2020-06-24 2023-07-04 Asm Ip Holding B.V. Area selective organic material removal
CN115386854B (zh) * 2022-07-11 2024-01-16 南方科技大学 一种区域选择性沉积的方法

Family Cites Families (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) * 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
AU6785900A (en) * 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) * 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6482740B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US6605826B2 (en) * 2000-08-18 2003-08-12 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device and display device
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
AU2003290956A1 (en) 2002-11-15 2004-06-15 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
US7553686B2 (en) * 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
JP4959333B2 (ja) 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
EP1824960A2 (en) 2004-11-22 2007-08-29 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7160583B2 (en) * 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
KR100891779B1 (ko) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
EP1961042A2 (en) * 2005-12-07 2008-08-27 Nxp B.V. A method of forming a layer over a surface of a first material embedded in a second material in a structure for a semiconductor device
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8187678B2 (en) 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
JP2009539237A (ja) 2006-06-02 2009-11-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 新規なチタン、ジルコニウムおよびハフニウム前駆体をベースとするhigh−k誘電体フィルムを形成する方法および半導体製造におけるそれらの使用
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20100072021A (ko) 2007-09-14 2010-06-29 시그마-알드리치컴퍼니 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
JP2011503876A (ja) 2007-11-06 2011-01-27 エイチシーエフ パートナーズ リミテッド パートナーシップ 原子層堆積プロセス
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) * 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
TWI390756B (zh) 2008-07-16 2013-03-21 Applied Materials Inc 使用摻質層遮罩之混合異接面太陽能電池製造
TW201027766A (en) 2008-08-27 2010-07-16 Applied Materials Inc Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
EP2361445A4 (en) 2008-12-01 2012-07-04 Du Pont ANODE FOR AN ORGANIC ELECTRONIC DEVICE
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
KR101078309B1 (ko) * 2009-03-25 2011-10-31 포항공과대학교 산학협력단 선택적 증착법을 이용한 반도체 소자의 콘택트 형성방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
CN102471882A (zh) 2009-07-31 2012-05-23 阿克佐诺贝尔化学国际公司 制备涂覆基材的方法、涂覆的基材及其用途
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
WO2012001848A1 (ja) 2010-07-01 2012-01-05 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US20120263876A1 (en) * 2011-02-14 2012-10-18 Asm Ip Holding B.V. Deposition of silicon dioxide on hydrophobic surfaces
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8753978B2 (en) 2011-06-03 2014-06-17 Novellus Systems, Inc. Metal and silicon containing capping layers for interconnects
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
US9938303B2 (en) 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8786018B2 (en) * 2012-09-11 2014-07-22 International Business Machines Corporation Self-aligned carbon nanostructure field effect transistors using selective dielectric deposition
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20150372205A1 (en) 2013-01-31 2015-12-24 Dai Nippon Printing Co., Ltd. Electron beam curable resin composition, reflector resin frame, reflector, semiconductor light-emitting device, and molded article production method
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
US9640622B2 (en) 2013-06-28 2017-05-02 Intel Corporation Selective epitaxially grown III-V materials based devices
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
US9562188B2 (en) * 2013-09-20 2017-02-07 Baker Hughes Incorporated Composites for use in stimulation and sand control operations
KR102138719B1 (ko) 2013-09-27 2020-07-28 인텔 코포레이션 인접한 영역들 위로의 층들의 침범을 제한하는 것을 포함한 선택적 화학 반응에 의한 작은 영역들 위에서의 재료 층들의 형성
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US9831306B2 (en) 2013-12-19 2017-11-28 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
KR102287787B1 (ko) 2014-03-27 2021-08-10 인텔 코포레이션 광 지원형 금속 원자층 퇴적(ald) 및 화학 기상 퇴적(cvd)을 위한 전구체 및 프로세스 설계
EP3123497A4 (en) 2014-03-28 2017-11-01 Intel Corporation Selective epitaxially grown iii-v materials based devices
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
TWI717260B (zh) 2015-05-01 2021-01-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US10464959B2 (en) 2015-06-18 2019-11-05 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) * 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
WO2018063815A1 (en) 2016-10-02 2018-04-05 Applied Materials, Inc. Doped selective metal caps to improve copper electromigration with ruthenium liner
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
WO2018204709A1 (en) 2017-05-05 2018-11-08 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10847363B2 (en) 2017-11-20 2020-11-24 Tokyo Electron Limited Method of selective deposition for forming fully self-aligned vias
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積

Also Published As

Publication number Publication date
US20170323776A1 (en) 2017-11-09
TWI731074B (zh) 2021-06-21
TW201809333A (zh) 2018-03-16
KR20230095898A (ko) 2023-06-29
US20210351031A1 (en) 2021-11-11
KR20170125740A (ko) 2017-11-15
US11081342B2 (en) 2021-08-03
KR102377746B1 (ko) 2022-03-23

Similar Documents

Publication Publication Date Title
KR102377746B1 (ko) 소수성 전구체들을 사용한 선택적 퇴적
KR102626489B1 (ko) 산화물 박막의 증착을 위한 반응기
US10847361B2 (en) Selective deposition of aluminum and nitrogen containing material
KR20220050854A (ko) SiOCN 박막들의 형성
US7638170B2 (en) Low resistivity metal carbonitride thin film deposition by atomic layer deposition
JP4713041B2 (ja) 遷移金属窒化物薄膜の堆積方法
KR102472965B1 (ko) 불소-함유 도전성 막들
KR20210043745A (ko) 선택적 산화알루미늄 막 증착
TW202115270A (zh) 第六族金屬沈積方法
CN113423864B (zh) 氮化硅的选择性沉积
KR102470043B1 (ko) 알루미늄 및 질소 함유 재료의 선택적 증착
KR100766007B1 (ko) 하프늄 금속 유기물을 이용한 하프늄 산화막 형성 방법
CN112041980A (zh) 利用硼成核层的低温钼膜沉积

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E601 Decision to refuse application
E601 Decision to refuse application
E801 Decision on dismissal of amendment