KR102626489B1 - 산화물 박막의 증착을 위한 반응기 - Google Patents

산화물 박막의 증착을 위한 반응기 Download PDF

Info

Publication number
KR102626489B1
KR102626489B1 KR1020230036141A KR20230036141A KR102626489B1 KR 102626489 B1 KR102626489 B1 KR 102626489B1 KR 1020230036141 A KR1020230036141 A KR 1020230036141A KR 20230036141 A KR20230036141 A KR 20230036141A KR 102626489 B1 KR102626489 B1 KR 102626489B1
Authority
KR
South Korea
Prior art keywords
precursor
substrate
vapor phase
deposition
reactor
Prior art date
Application number
KR1020230036141A
Other languages
English (en)
Other versions
KR20230043089A (ko
Inventor
수비 피. 하우카
엘리나 패름
라이야 에이치. 마테로
에바 이. 토이스
히데미 스에모리
안티 유하니 니스카넨
정성훈
페트리 래이새넨
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20230043089A publication Critical patent/KR20230043089A/ko
Application granted granted Critical
Publication of KR102626489B1 publication Critical patent/KR102626489B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02469Group 12/16 materials
    • H01L21/02472Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemically Coating (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본원에서는 산화물 박막을 증착하기 위한 방법들이 제공된다. 동일 기판의 상이한 제2 표면에 상대적인 기판의 제1 표면 상에 산화물 박막의 선택적 증착을 포함하여, 산화물 막이 형성된다. 예를 들어, 절연 금속 산화물 박막과 같은 산화물 박막이 동일 기판의 상이한 제2 표면에 상대적인 기판의 제1 표면 상에 선택적으로 증착될 수 있다. 상이한 제2 표면은 유기물 패시베이션층일 수 있다.

Description

산화물 박막의 증착을 위한 반응기{REACTOR FOR DEPOSITION OF OXIDE THIN FILMS}
본 개시는 일반적으로 기상 증착, 특히 산화물의 주기적인 기상 증착에 관한 것이다.
현재, 집적 회로는 다양한 재료층이 기판 상에 소정의 배열로 순차적으로 구성되는 정교한 공정에 의해 제조된다.
기판 상에 재료층들의 소정 배열은 전체 기판 표면 상에 재료를 증착한 다음, 기판의 소정 영역으로부터 그 재료를 제거, 예를 들어 마스크층의 증착 및 이후의 선택적인 식각 공정에 의해 종종 이루어진다.
특정 경우, 기판 상에 집적 회로를 제조하는 데 포함되는 단계들의 수는 선택적 증착 공정을 사용함으로써 감소될 수 있는 데, 후속 공정에 대한 필요를 없애기 위해서나 후속 공정에 대한 필요를 줄이고자 제2 표면에 상대적인 제1 표면 상에 재료가 선택적으로 증착된다. 다양한 맥락에서, 선택성은 동일한 부분의 상이한 표면 상에 증착량을 구분하거나 상이한 부분들 상에서 증착을 구분하기 위해 유용할 수 있다.
선택적으로 형성되든 아니면 블랭킷 증착되든 지에 관계없이, 산화물은 반도체 제조를 비롯한 다양한 맥락에서 유용하다. 많은 맥락에서, 균일하고 얇은 산화물층들을 형성하는 것이 유용하다.
일부 양태에 따르면, 제2 표면에 상대적인 기판의 제1 표면 상에 박막들을 선택적으로 증착하기 위한 방법들을 개시한다. 일부 구현예에서, 방법은 기판을 제1 기상 전구체와 접촉시키는 단계, 기판을 제1 기상 전구체와 접촉시키는 단계 후 기판을 퍼지 기체 또는 진공에 노출시키는 단계, 및 기판을 퍼지 기체 또는 진공에 노출시키는 단계 후 기판을 산소 분자(O2)를 포함하는 제2 기상 전구체와 접촉시키는 단계를 포함한다. 일부 구현예에서, 박막은 절연 금속 산화물을 포함할 수 있다. 일부 구현예에서, 제2 표면은 유기종을 포함할 수 있다.
일부 구현예에서, 제1 표면은 제2 표면과 실질적으로 상이한 재료이다. 일부 구현예에서, 방법은 기판을 산소 분자를 포함하는 제2 기상 전구체와 접촉시키는 단계 후 기판을 퍼지 기체 또는 진공에 노출시키는 단계를 더 포함할 수 있다. 일부 구현예에서, 제2 표면은 자기조립 단층(self-assembled monolayer, SAM)을 포함할 수 있다. 일부 구현예에서, 제2 표면 위에 증착된 박막의 두께 또는 양은 기판의 제1 표면 위에 선택적으로 증착된 박막의 두께 또는 양의 약 50% 미만이다.
일부 구현예에서, 제1 기상 전구체는 유기금속 화합물을 포함할 수 있다. 일부 구현예에서, 제1 기상 전구체는 마그네슘, 란타늄, 하프늄, 지르코늄, 알루미늄, 이트륨, 스칸듐, 란타나이드 또는 전이금속을 포함할 수 있다. 일부 구현예에서, 제1 기상 전구체는 비스(시클로펜타디에닐)마그네슘(Mg(Cp)2)을 포함할 수 있다. 일부 구현예에서, 제1 기상 전구체는 란타늄 포름아미디네이트(lanthanum formamidinate (La(FAMD)3)를 포함할 수 있다. 일부 구현예에서, 제1 기상 전구체는 테트라메틸에틸 알킬아미드 하프늄(TEMAH)을 포함할 수 있다. 일부 구현예에서, 제2 기상 전구체는 산소를 포함하는 추가적인 화합물을 포함하지 않는다.
일부 구현예에서, 기판을 산소 분자를 포함하는 제2 기상 전구체와 접촉시키는 단계는 기판의 제2 표면을 열화시키거나 산화시키지 않는다. 일부 구현예에서, 박막은 약 100°C 내지 약 500°C의 온도로 증착된다.
일부 양태에 따르면, 기판의 표면 위에 마그네슘 산화물, 란타늄 산화물 또는 하프늄 산화물 박막을 증착하기 위한 방법들을 개시한다. 일부 구현예에서, 방법은 기판을 마그네슘, 란타늄 또는 하프늄을 포함하는 제1 기상 전구체와 접촉시키는 단계, 기판을 퍼지 기체 또는 진공에 노출시키는 단계, 및 기판을 퍼지 기체 또는 진공에 노출시키는 단계 후 기판을 산소 분자(O2)를 포함하는 제2 기상 전구체와 접촉시키는 단계를 포함할 수 있다. 일부 구현예에서, 방법은 기판을 제2 기상 전구체와 접촉시키는 단계 후 기판을 퍼지 기체 또는 진공에 노출시키는 단계를 더 포함할 수 있다.
일부 구현예에서, 기판은 제1 표면 및 실질적으로 상이한 제2 표면을 포함할 수 있고, 마그네슘 산화물, 란타늄 산화물 또는 하프늄 산화물은 실질적으로 상이한 제2 표면에 상대적인 기판의 제1 표면 상에 선택적으로 증착될 수 있다. 일부 구현예에서, 기판의 제2 표면은 유기종을 포함할 수 있다. 일부 구현예에서, 마그네슘, 란타늄 또는 하프늄을 포함하는 제1 기상 전구체는 적어도 하나의 시클로펜타디에닐(Cp) 리간드를 포함할 수 있다. 일부 구현예에서, 산소 분자를 포함하는 제2 기상 전구체는 산소를 포함하는 임의의 다른 화합물들을 포함하지 않는다. 일부 구현예에서, 마그네슘 산화물, 란타늄 산화물 또는 하프늄 산화물은 기판의 제2 표면에 상대적인 기판의 제1 표면 상에 선택적으로 증착되고, 제2 표면은 유기물 표면이다.
본 발명을 도시하는 의미를 갖고 본 발명을 한정하지는 않는 상세한 설명 및 첨부 도면들로부터 본 발명을 더 잘 이해할 것이고, 도면들 중:
도 1은 산화물막을 증착하기 위한 공정들을 일반적으로 도시하는 공정 흐름도이다.
도 2는 마그네슘 산화물막을 증착하기 위한 공정들을 일반적으로 도시하는 공정 흐름도이다.
도 3은 란타늄 산화물막을 증착하기 위한 공정들을 일반적으로 도시하는 공정 흐름도이다.
도 4는 하프늄 산화물막을 증착하기 위한 공정들을 일반적으로 도시하는 공정 흐름도이다.
도 5a는 제1 표면, 제2 표면 및 패시베이션층을 포함하는 기판을 일반적으로 도시하는 개략도이다.
도 5b는 선택적인 증착 공정을 거친 후 제1 표면, 제2 표면 및 패시베이션층을 포함하는 기판을 일반적으로 도시하는 개략도이다.
도 6은 본원에 기재된 공정들을 수행하도록 구성된 반응기를 일반적으로 도시하는 개략도이다.
도 7은 일부 구현예에 따라 증착된 마그네슘 산화물막들에 대한 증착 사이클의 수의 함수로서 마그네슘 산화물막 두께를 보여주는 플롯이다.
도 8a는 다양한 증착 공정들을 거치기 전과 후에 자기-조립된 단층(SAM)의 물 접촉각을 비교하는 막대 그래프이다.
도 8b는 O2를 제2 전구체로서 포함하는 일부 구현예에 따른 증착 공정 및 H2O를 제2 전구체로서 포함하는 증착 공정을 위한 SAM을 포함하는 제2 표면에 대한 제1 자연 실리콘 산화물 표면 상에 증착된 MgO 막 두께를 비교하는 막대 그래프이다.
도 9a는 일부 구현예에 따라 HfO2 증착 공정을 거친 후 제1 자연 실리콘 산화물 표면과 SAM을 포함하는 제2 표면의 물 접촉각 측정을 목표한 HfO2 막 두께의 함수로서 도시한 플롯이다.
도 9b는 제1 자연 실리콘 산화물 표면 및 SAM을 포함하는 제2 표면 상에 증착된 HfO2 막 두께를 목표한 HfO2 막 두께의 함수로서 도시한 플롯이다.
도 10은 X-선 광전자 분광학(XPS)을 통하여 검출된 Hf(at%)의 양을 일부 구현예에 따라 O2를 제2 전구체로서 포함하는 증착 공정을 위해 증착된 HfO2 목표 막 두께의 함수로서 도시한 플롯이다.
도 11은 일부 구현예에 따라 O2를 제2 전구체로서 포함하는 HfO2 증착 공정을 거친 다음 이후 Ar 스퍼터링을 거친 후 SAM을 포함하는 기판의 표면의 조성(at%)을 도시한다.
산화물 박막의 경우, 원자층 증착(ALD) 및 화학 기상 증착(CVD)과 같은 기상 증착 공정은 증착을 하기 위해 사용될 수 있다. 전형적으로, 이들 공정은 오존(O3)과 같은 산소 함유 반응물, 산소 함유 플라즈마, 또는 물(H2O)을 선택적 증착 공정에서 포함하지만, O3 또는 H2O 반응물의 농도를 조절하기 어렵고 O3 또는 H2O 반응물을 반응 챔버로부터 제거하기 어려울 수 있다. 또한, 예를 들어, O3 또는 산소 플라즈마는, 증착이 필요치 않은 제2 표면 또는 패시베이션층을 파괴하거나 산화시킬 수 있다.
본 개시의 일부 양태에 따르면, 선택적 증착은 제2 표면에 상대적인 기판의 제1 표면 상에 산화물 재료를 증착하기 위해 사용될 수 있다. 일부 구현예에서, 선택적 증착 공정은 기상 증착 공정, 예를 들어 기판 표면과 하나 이상의 전구체 또는 반응물 사이의 화학적 반응을 이용하는 증착 공정, 예를 들어 원자층 증착형 공정일 수 있다. 일부 구현예에서, 기상 증착 공정은 열적 증착 공정일 수 있다. 일부 구현예에서, 선택적 증착 공정은 주기적인 증착 공정, 예를 들어 ALD 공정 또는 주기적인 CVD 공정일 수 있다. 일부 구현예에서, 선택적 증착 공정은 O2 분자를 포함하는 산소 함유 반응물을 포함할 수 있다. 일부 구현예에서, 선택적 증착 공정은 산화물 박막을 포함할 수 있다. 일부 구현예에서, 선택적으로 증착된 산화물 재료는 금속 산화물, 예를 들어 란타늄 산화물, 하프늄 산화물, 지르코늄 산화물, 마그네슘 산화물, 전이금속 산화물 또는 알루미늄 산화물과 같은 유전체 또는 절연 금속 산화물을 포함할 수 있다. 일부 구현예에서, 증착된 금속 산화물 재료는, Ru와 같은 귀금속이 있는 경우, 이 귀금속의 실질적인 양을 포함하지 않는다. 일부 구현예에서, 증착된 금속 산화물 재료는 실질적으로 전도성이 없다. 일부 구현예에서, 증착된 금속 산화물 재료는 약 10,000 μΩ·cm를 초과하거나 약 1,000,000 μΩ·cm를 초과하는 비저항을 가진다.
일부 구현예에서, 제1 표면은 금속 또는 반도체 재료를 포함할 수 있다. 일부 구현예에서, 제1 표면은 금속 재료를 포함할 수 있다. 일부 구현예에서, 제1 표면은 산화된 금속 또는 금속 재료를 포함할 수 있다. 예를 들어, 제1 표면은, 이들에 제한되지는 않지만, 금속 질화물, 금속 실리사이드, 금속 탄화물 또는 이들의 혼합물과 같은 물질들을 비롯하여 그의 표면 상에 산화되어 있는 금속 또는 금속 재료를 포함할 수 있다. 일부 구현예에서, 제1 표면은 하나 이상의 전이금속을 포함할 수 있다. 일부 구현예에서, 제1 표면은 Al, Cu, Co, Ni, W, Nb, Fe 중 하나 이상을 포함할 수 있다. 일부 구현예에서, 제1 표면은 Ru와 같은 하나 이상의 귀금속을 포함할 수 있다. 일부 구현예에서, 제1 표면은 Zn, Fe, Mn, 또는 Mo를 포함할 수 있다. 일부 구현예에서, 제1 표면은 유전체 재료를 포함할 수 있다. 일부 구현예에서, 제1 표면은 반도체 또는 금속 산화물, 질화물, 탄화물, 불화물, 실리케이트 또는 이들의 조합을 포함할 수 있다. 예를 들어, 제1 표면은 RuOx, NbCx, NbBx, NiOx, CoOx, NbOx, WNCx, TaN, 또는 TiN 중 하나 이상을 포함할 수 있다.
일부 구현예에서, 제1 표면은 반도체 재료를 포함할 수 있다. 일부 구현예에서, 제1 표면은 실리콘 및/또는 게르마늄을 포함할 수 있다. 예를 들어, 제1 표면은 실리콘 질화물, 실리콘 산화물, 실리콘 탄화물, 또는 게르마늄 산화물을 포함할 수 있다. 일부 구현예에서, 제1 표면은 실리콘 이산화물 표면을 포함할 수 있다. 일부 구현예에서, 제1 표면은 위에서 언급된 재료들 중 두 가지 이상의 혼합물을 포함할 수 있다.
일부 구현예에서, 산화물 재료는 기판의 제2 상이한 표면에 상대적인 기판의 제1 표면 상에 선택적으로 증착된다. 일부 구현예에서, 제2 표면은 유기물 표면을 포함할 수 있다. 일부 구현예에서, 제2 표면은 폴리머 표면을 포함할 수 있다. 예를 들어, 일부 구현예에서, 제2 표면은 폴리이미드, 폴리아미드, 폴리스티렌, 폴리우리아, 또는 이러한 다른 폴리머를 포함할 수 있다. 일부 구현예에서, 폴리머는 다이머, 트리머, 폴리우레탄, 폴리티오우레아, 폴리에스테르, 또는 폴리이민을 포함할 수 있다. 일부 구현예에서, 유기물 표면은 상기한 재료들의 다른 폴리머 형태 또는 혼합물을 포함할 수 있다. 일부 구현예에서, 제2 유기물 표면은 그래핀 또는 탄소의 다른 형태를 포함한다. 일부 구현예에서, 유기물 재료는 비정질 탄소를 포함할 수 있다. 일부 구현예에서, 비정질 탄소는 수소를 함유할 수 있다. 일부 구현예에서, 제2 유기물 표면은 감광막 재료를 포함할 수 있다. 일부 구현예에서, 예를 들어 분위기로부터 표면의 탄화수소 오염은 없다.
일부 구현예에서, 제2 표면은 블록 코폴리머와 같은 유도 자기조립층(directed self-assembled layer, DSA)을 포함할 수 있다. 일부 구현예에서, 제2 표면은 자기조립 단층(self-assembled monolayer, SAM)을 포함할 수 있다. 예를 들어, 일부 구현예에서, 제2 표면은 트리클로로(옥타데실)실란 SAM을 포함할 수 있다. 일부 구현예에서, 제2 표면은 선택적 증착 동안 패시베이션층으로 작용할 수 있다. 일부 구현예에서, 제2 표면은 유기물 패시베이션 재료를 포함할 수 있고, 예를 들어 제2 표면은 벤조트리아졸(BTA)을 포함할 수 있다. 일부 구현예에서, 제2 표면은 유기종을 포함할 수 있고, 이 유기종은 선택적 증착 동안 제2 표면에 보호막을 씌우도록 작용할 수 있다. 일부 구현예에서, 제2 표면은 연속적이거나 닫혀진 층이 아닐 수 있다. 일부 구현예에서, 제2 표면은 포토레지스트와 같은 레지스트를 포함할 수 있다. 즉, 일부 구현예에서, 제2 표면은, 예를 들어 포토리소그래피 또는 포토엔그라빙(photoengraving) 공정에 사용될 수 있는 광감재를 포함할 수 있다. 예를 들어, 일부 구현예에서, 제2 표면은 극 자외선 리소그래피 공정(extreme ultraviolet lithography process)에 사용될 수 있으며, EUV 레지스트로도 지칭되는 포토레지스트를 포함할 수 있다. 일부 구현예에서, 제2 표면은 이머젼 리소그래피 공정에서 사용될 수 있는 포토레지스트, 예를 들어 193 nm 파장으로 빛을 사용하는 이머젼 리소그래피 공정에서 사용될 수 있으며, 193i 레지스트라고도 지칭되는 포토레지스트를 포함할 수 있다.
일부 구현예에서, 패시베이션층, 유기종 또는 금속, 및/또는 SAM과 같은 제2 재료는 상이한 제2 표면을 형성하기 위해 기판의 제1 표면의 일부 상에 증착될 수 있다. 일부 구현예에서, 제2 표면은 유기 재료 또는 유기종을 포함할 수 있고, 제1 표면은 유기 물질 또는 유기종을 포함하지 않을 수 있다.
제2 표면이 패시베이션층과 같은 유기물 표면을 포함하는 일부 구현예에서, 선택적 증착 공정은, 유기물 표면의 두께로 측정 시 유기물 표면의 약 40% 미만, 약 30% 미만, 약 20% 미만, 약 10% 미만, 약 5%미만, 약 1%미만을 제거하거나 열화 시킬 수 있다. 일부 구현예에서, 선택적 증착 공정은 유기물 표면으로부터 임의의 재료를 실질적으로 제거하지 않거나 열화 시키기 않을 수 있다. 일부 구현예에서, 패시베이션층과 같은 유기물의 제2 표면은, O3, 산소 함유 플라즈마, 및/또는 H2O를 산소 함유 반응물로서 포함하는 유사한 증착 공정에 비해, O2 를 산소 함유 반응물로서 포함하는 선택적 증착 공정에 의해 실질적으로 제거되지 않거나 열화되지 않을 수 있다.
선택도(Selectivity)
선택도는 [(제1 표면 상의 증착)-(제2표면 상의 증착)]/(제1 표면 상의 증착)에 의해 계산되는 백분율로서 제공될 수 있다. 증착은 다양한 방식들 중 하나로 측정될 수 있다. 일부 구현예에서, 증착은 증착된 재료의 측정된 두께로서 제공될 수 있다. 일부 구현예에서, 증착은 증착된 재료의 측정된 양으로서 제공될 수 있다.
증착이 증착된 재료의 두께로서 측정되고 선택도가 상이한 표면들 상에서 두께들의 비인 일부 구현예에서, 선택도는 약 10를 초과, 약 50%를 초과, 약 75%를 초과, 약 85%를 초과, 약 90%를 초과, 약 93%를 초과, 약 95%를 초과, 약 98%를 초과, 약 99%를 초과하거나 심지어 약 99.5%를 초과한다. 본원에 기재된 구현예에서, 선택도는 증착 지속시간 또는 두께에 따라 변할 수 있다.
증착이 증착된 재료의 양으로서 측정되고 선택도가 상이한 표면들 상에 증착된 재료의 양의 비인 일부 구현예에서, 선택도는 약 10%를 초과, 약 50%를 초과, 약 75%를 초과, 약 85%를 초과, 약 90%를 초과, 약 93%를 초과, 약 95%를 초과, 약 98%를 초과, 약 99%를 초과하거나 심지어 약 99.5%를 초과한다. 본원에 기재된 구현예에서, 선택도는 증착 과정에서 증착된 재료의 지속시간 또는 양에 따라 변할 수 있다.
일부 구현예에서, 증착은 제1 표면 상에서만 일어나고 제2 표면 상에서는 일어나지 않는다. 일부 구현예에서, 기판의 제2 표면에 상대적인 기판의 제1 표면 상의 증착은 적어도 80% 선택적인데, 이는 일부 특별한 응용들에서 충분히 선택적일 수 있다. 일부 구현예에서, 기판의 제2 표면에 대한 기판의 제1 표면 상의 증착은 적어도 50% 선택적인데, 이는 일부 특별한 응용들에서 충분히 선택적일 수 있다. 일부 구현예에서, 기판의 제2 표면에 대한 기판의 제1 표면 상의 증착은 적어도 10% 선택적인데, 이는 일부 특별한 응용들에서 충분히 선택적일 수 있다. 증착에서의 부분적 선택도는 결과적인 층들에서 완전한 선택도를 쉽게 제공할 수 있음을 당업자는 이해할 것이다. 예를 들어, 어떤 재료가 제1 표면 상에 20 nm 증착되고 제2 표면 상에 1 nm만 증착되면, 후속하는 간단한 식각(예컨대, 등방성 습식 식각)은 제2 표면 상으로부터 그 재료 전부를 제거할 수 있고, 제1 표면 상으로부터 그 재료에 대한 약간의 제거율만을 가질 수 있다. 추가적으로 또는 대안적으로, 제2 표면이 그 위에서의 증착을 최소화하는 패시베이션층을 포함하면, 패시베이션층의 제거로 인해 그 위에 증착된 재료의 일부는 언더컷되어 제거될 수 있다.
일부 구현예에서, 기판의 제2 표면에 상대적인 기판의 제1 표면 상에 증착된 재료의 비는 2:1 이상, 20:1 이상, 15:1 이상, 10:1 이상, 5:1 이상, 3:1 이상 또는 2:1 이상일 수 있는 동안, 기판의 제1 표면 상에 선택적으로 증착된 산화막은 약 50 nm 미만, 약 20 nm 미만, 약 10 nm 미만, 약 5 nm 미만, 약 3 nm 미만, 약 2 nm 미만, 또는 약 1 nm 미만의 두께를 가질 수 있다.
일부 구현예에서, O2를 산소 함유 반응물로서 포함하는 주기적인 선택적 증착 공정은 소정 레벨을 초과하는, 예를 들어 제1 증착 사이클 동안 50% 선택도를 초과하는 초기 선택도를 가질 수 있다. 일부 구현예에서, O2를 산소 함유 반응물로서 포함하는 주기적인 선택적 증착 공정은, O3, 산소 함유 플라즈마, 및/또는 H2를 산소 함유 반응물로서 포함하는 유사한 증착 공정에 비해 더 많은 후속 증착 사이클들을 위해 소정 레벨을 초과, 예를 들어 50% 선택도를 초과하는 선택도를 유지할 수 있다. 일부 구현예에서, O2를 산소 함유 반응물로서 포함하는 주기적인 선택적 증착 공정은, O3, 산소 함유 플라즈마, 및/또는 H2를 산소 함유 반응물로서 포함하는 유사한 증착 공정보다 25% 더 많은 사이클들, 50% 더 많은 사이클들, 75% 더 많은 사이클들, 또는 100% 더 많은 사이클들을 위해 소정 레벨을 초과하는 선택도를 유지할 수 있다.
일부 구현예에서, O2를 산소 함유 반응물로서 포함하는 주기적인 선택적 증착 공정은, O3, 산소 함유 플라즈마, 및/또는 H2를 산소 함유 반응물로서 포함하는 유사한 선택적 증착 공정에 비해 하나 이상의 증착 사이클에 대하여 더 높은 레벨의 선택도를 달성할 수 있다. 일부 구현예에서, O2를 산소 함유 반응물로서 포함하는 선택적 증착 공정은, O3, 산소 함유 플라즈마, 및/또는 H2를 산소 함유 반응물로서 포함하는 유사한 선택적 증착 공정보다 25% 이상, 50% 이상, 75% 이상, 100% 이상의 선택도를 가질 수 있다.
원자층 증착형 공정
원자층 증착(Atomic layer deposition, ALD)형 공정은 전구체 화학 물질들 또는 반응물들의 조절되고, 자기 제한적인 표면 반응에 기반한다. 기판을 전구체에 교대로 연속적으로 접촉시킴으로써 기상 반응을 회피한다. 예를 들어, 반응물 펄스 사이의 관심 있는 반응 챔버로부터 과량의 반응물 및/또는 반응 부산물을 제거함으로써 기상 반응물은 기판 표면 상에서 서로 분리된다. 일부 구현예에서, 하나 이상의 기판 표면은 둘 이상의 기상 전구체 또는 반응물과 교대로 그리고 순차적으로 접촉된다. 기판 표면을 기상 반응물과 접촉시키는 것은 한정된 기간 동안 반응물 증기가 기판 표면과 접촉한다는 것을 의미한다. 즉, 기판 표면은 한정된 기간 동안 각 기상 반응물에 노출된다.
간략히, 적어도 제1 표면 및 상이한 제2 표면을 포함하는 기판은 일반적으로 낮아진 압력에서 적합한 증착 온도로 가열된다. 증착 온도는 일반적으로 반응물의 열 분해 온도 이하이지만 반응물의 응축을 피하고 목적하는 표면 반응을 위한 활성화 에너지를 제공하기에 충분히 높은 수준으로 유지된다. 물론, 임의의 주어진 ALD 반응을 위한 적절한 온도 범위는 관련된 표면 말단 및 반응종에 따라 달라질 것이다. 여기서, 온도는 사용되는 전구체에 따라 변하고 일반적으로 약 700°C 이하이며, 일부 구현예에서, 증착 온도는 일반적으로 열적 ALD의 경우 약 100°C 이상이고, 일부 구현예에서, 증착 온도는 150°C 내지 350°C이고, 일부 구현예에서 증착 온도는 175°C 내지 약 300°C이다.
기판 표면은 기상 제1 반응물 또는 전구체와 접촉한다. 일부 구현예에서, 기상 제1 반응물의 펄스가 기판을 포함하는 반응 공간(예컨대, 시간 분할 ALD)에 제공된다. 일부 구현예에서, 기판은 기상의 제1 반응물을 함유하는 반응 공간(예컨대, 공간 분할 ALD)으로 이동된다. 제1 반응물의 단지 겨우 하나의 단층이 자기 제한 방식으로 기판의 제1 표면 상에 흡착되도록 조건들이 선택될 수 있다. 그러나, 일부 배치에서, 하이브리드 CVD/ALD 공정 또는 주기적 CVD 공정은 기판 상에서 서로 상이한 반응물들의 중첩을 허용할 수 있으므로, 사이클 당 단층보다 많은 층을 생성할 수 있다. 적절한 접촉 시간은 특정 환경에 기초하여 당업자에 의해 용이하게 결정될 수 있다. 과량의 제1 반응물 및 반응 부산물이 존재하는 경우, 이들 제1 반응물 및 반응 부산물은, 예컨대 불활성 기체로 퍼징함으로써 또는 제1 반응물이 존재하는 곳으로부터 기판을 제거함으로써 기판 표면으로부터 제거된다.
반응물들 간 중첩이 최소화 되거나 회피되는 ALD 공정의 경우, 예컨대 진공 펌프로 챔버를 배기하고/하거나 퍼징(반응기 내부의 기체를 아르곤 또는 질소와 같은 불활성 기체로 대체)함으로써 기상 전구체 및/또는 기상 부산물이 기판으로부터 제거된다. 기판 표면으로 반응물을 공급하는 것은 일반적으로 제거 기간 동안 중단되고, 제거 기간 동안 상이한 챔버로 전환되거나 진공 펌프로 전환된다. 일반적인 제거 시간은 약 0.05 내지 20초, 약 1 내지 10초, 약 1 내지 2초이다. 그러나, 필요한 경우, 예컨대 매우 높은 종횡비 구조 또는 복잡한 표면 형태를 갖는 다른 구조에 대한 고도의 등각 (conformal) 단계 커버리지가 필요한 경우, 다른 제거 시간이 사용될 수 있다.
기판 표면은 기상의 제2 기체 반응물 또는 전구체와 접촉된다. 일부 구현예에서, 제2 기체 반응물의 펄스가 기판을 포함하는 반응 공간에 제공된다. 일부 구현예에서, 기판은 기상의 제2 반응물을 함유하는 반응 공간으로 이동된다. 과량의 제2 반응물 및 기체 부산물이 존재하는 경우, 이들 제2 반응물 및 기체 부산물은 기판 표면으로부터 제거된다. 접촉 및 제거 단계는 목적하는 두께의 박막이 기판의 제1 표면 상에 선택적으로 형성될 때까지 반복되며, 각각의 사이클은 단지 겨우 하나의 분자 단층을 남긴다. 기판 표면을 다른 반응물과 교대로 순차적으로 접촉하는 단계를 포함하는 추가적인 단계들이 보다 복잡한 재료, 예컨대 3상(ternary) 재료를 형성하기 위해 포함될 수 있다.
위에서 언급된 바와 같이, 각 사이클의 각 단계는 ALD 공정에 대해 자기 제한적일 수 있다. 과량의 반응물 전구체가 민감한 구조 표면, 예컨대 기판의 제1 표면을 포화시키기 위해 각 단계에서 공급된다. 표면 포화는 이용 가능한 모든 반응 부위(예컨대, 물리적 크기 또는 "입체적 장애" 억제에 대한 대상)를 반응물이 점유하는 것을 보장하므로 우수한 스텝 커버리지를 보장한다. 전형적으로, 하나 미만의 분자 재료층이 각 사이클로 증착되지만, 일부 구현예에서, 하나 이상의 분자층이 상기 사이클 동안 증착된다.
과량의 반응물을 제거하는 단계는 반응 공간의 일부 내용물을 배기시키고/배기시키거나 반응 공간을 헬륨, 질소 또는 다른 불활성 기체로 퍼징하는 단계를 포함할 수 있다. 일부 구현예에서, 퍼징은 불활성 캐리어 기체를 반응 공간으로 지속적으로 흐르게 하면서 반응성 기체의 흐름을 차단하는 단계를 포함할 수 있다.
기판은 다양한 유형의 재료들을 포함할 수 있다. 집적 회로를 제조할 때, 일반적으로 기판은 변하는 화학적 및 물리적 특성을 가진 많은 박막을 포함한다. 예를 들어 그리고 제한 없이, 기판은 제1 층 및 적어도 상이한 제2 층을 포함할 수 있다. 일부 구현예에서, 상이한 제2 층은 패시베이션층 또는 블로킹층일 수 있다. 일부 구현예에서, 상이한 제2 층은 유기물층일 수 있다.
ALD형 공정에서 사용되는 전구체는, 기판 표면과 접촉하기 전에 기상일 경우, 표준 조건 (실온 및 대기압) 하에서 고체, 액체 또는 기체 재료일 수 있다. 기판 표면을 기화된 전구체와 접촉시키는 것은 한정된 기간 동안 전구체 증기가 기판 표면과 접촉한다는 것을 의미한다. 일반적으로, 접촉 시간은 약 0.05 내지 10초이다. 그러나, 기판 유형, 그의 표면적, 및/또는 챔버의 크기에 따라, 접촉 시간은 10초보다 훨씬 더 길 수 있다. 일부 경우, 특히 다수의 기판에 대한 배치(batch) 증착 공정의 경우에 접촉 시간은 분 단위일 수 있다. 최적의 접촉 시간은 특정 환경에 기초하여 당업자에 의해 결정될 수 있다.
전구체의 질량 유량이 또한 당업자에 의해 결정될 수 있다. 일부 구현예에서, 금속 전구체의 유량은 제한 없이 약 1 내지 1000 sccm이고, 보다 구체적으로는 단일 웨이퍼 증착 반응기의 경우 약 100 내지 500 sccm이다.
반응 챔버 내 압력은 전형적으로 약 0.01 내지 약 20 mbar, 또는 약 1 내지 약 10 mbar이다. 그러나, 일부의 경우 압력은 주어진 특정 상황에서 당업자에 의해 결정될 수 있는 바와 같이, 이러한 범위보다 높거나 낮을 수 있다.
막의 증착을 시작하기 전에, 기판은 전형적으로 적절한 성장 온도로 가열된다. 성장 온도는 형성된 박막 유형, 전구체의 물리적인 특성 등에 따라 달라진다. 비정질 박막이 형성되도록 성장 온도는 증착된 재료들에 대한 결정화 온도보다 낮을 수 있고 혹은 결정질 박막이 형성되도록 성장 온도는 결정화 온도보다 높을 수 있다. 증착 온도는 다수의 요인, 예컨대 제한 없이 반응물 전구체, 압력, 유량, 반응기의 배열, 증착된 박막의 결정화 온도, 및 그 위에 증착될 재료의 성질을 포함하여 기판의 조성에 따라 달라질 수 있다. 특정 성장 온도가 당업자에 의해 선택될 수 있다.
일부 구현예에서, 기판 온도는 관심 있는 반응물들에 대한 열적 ALD를 지원하기에 충분히 높지만, 선택도를 돕는 유기 패시베이션층을 연소시키는 것을 피할만큼 충분히 낮다. 예를 들어, 성장 온도는 약 100°C보다 일반적으로 높을 수 있다. 일부 구현예에서, 성장 온도는 약 700°C 이하, 약 500°C 이하, 또는 약 400°C 이하일 수 있다. 일부 구현예에서, 성장 온도는 약 150°C 내지 약 500°C이고, 일부 구현예에서, 성장 온도는 약 150°C 내지 약 350°C이고, 일부 구현예에서, 성장 온도는 약 175°C 내지 약 300°C이다.
박막을 성장시키기 위해 사용될 수 있는 반응기들이 증착을 위해 사용될 수 있다. 이러한 반응기는 전구체들을 제공하기 위한 적절한 장비 및 수단을 장착하고 있는 CVD 반응기뿐만 아니라 ALD 반응기를 포함한다. 일부 구현예에 따르면, 샤워헤드 반응기가 사용될 수 있다.
사용될 수 있는 적합한 반응기의 예로는 Pulsar® 반응기(예컨대, Pulsar® 2000 및 Pulsar® 3000)와 같은 상업적으로 구입 가능한 단일 기판(또는 단일 웨이퍼) 증착 장비, 및 애리조나 피닉스의 ASM America사와 네덜란드 알메르의 ASM Europe B.V.사에서 구입 가능한 EmerALD® 반응기가 있을 수 있다. 다른 상업적으로 구매 가능한 반응기는 Eagle® XP 및 XP8라는 상표명을 가진 ASM Japan K.K(일본, 동경)사의 반응기를 포함할 수 있다.
일부 구현예에서, 배치 반응기가 사용될 수 있다. 적합한 배치 반응기는, 이들에 한정되지는 않지만, ALDA400™ and A412™라는 상표명으로 ASM Europe B.V(네덜란드, 알메르)사로부터 상업적으로 구매 가능한 Advance® 400 시리즈 반응기를 포함한다. 일부 구현예에서, 처리를 하는 동안 보트(boat)가 회전하는 수직 배치 반응기, 예컨대 A412™이 사용된다. 이처럼, 일부 구현예에서, 웨이퍼는 처리 동안 회전한다. 다른 구현예에서, 배치 반응기는 10개 이하의 웨이퍼, 8개 이하의 웨이퍼, 6개 이하의 웨이퍼, 4개 이하의 웨이퍼 또는 2개의 웨이퍼를 수용하도록 구성된 미니배치 반응기를 포함한다. 배치 반응기가 사용되는 일부 구현예에서, 웨이퍼 대 웨이퍼 균일도는 3%(1 시그마)미만, 2% 미만, 1% 미만 또는 심지어 0.5% 미만이다.
본원에 기재되어 있는 증착 공정은 클러스터 도구에 연결된 반응기 또는 반응 공간에서 선택적으로 수행될 수 있다. 클러스터 도구에서, 각각의 반응 공간은 하나의 유형의 공정에 전용되기 때문에, 각 모듈 내의 반응 공간의 온도는 일정하게 유지될 수 있으며, 이는 기판이 각각 실행되기 전에 공정 온도로 가열되는 반응기에 비해 처리량을 향상시킨다. 추가적으로, 클러스터 도구에서, 기판들 사이에서 목표한 공정 압력 레벨까지 반응 공간을 펌핑하는 시간을 줄일 수 있다.
독립형 반응기는 로드-락 (load-lock)을 장착할 수 있다. 이러한 경우, 각 실행 사이에 반응 공간을 식힐 필요가 없다. 일부 구현예에서, 산화물 재료, 예컨대 금속 산화물 박막을 증착하기 위한 선택적 증착 공정은 다수의 증착 사이클, 예컨대 ALD 사이클을 포함할 수 있다. 일부 구현예에서, 각 ALD 사이클은 적어도 두 개의 뚜렷이 다른 단계를 포함한다. 기판을 제1 전구체와 접촉시킨 후 기판 표면으로부터 과량의 제1 전구체 및 반응 부산물을 제거하는 것은 단계로 고려될 수 있고 제1 단계, 제1 전구체 단계, 금속 단계, 금속 전구체 단계, 제1 금속 단계, 제1 금속 전구체 단계 등으로 지칭될 수 있다. 증착 사이클의 경우, 제1 단계에서, 기판은 단지 겨우 하나의 단층을 기판 상에 형성하는 제1 전구체와 접촉한다. 제1 단계는 공급 주기로도 지칭되는 접촉 주기와 제거(예컨대, 퍼징) 주기를 포함할 수 있다. 제2 단계에서, 기판은 산소를 포함하는 제2 전구체와 접촉하고 흡착된 제1 전구체를 산화물 재료, 예컨대 란타늄 산화물 또는 마그네슘 산화물과 같은 절연 금속 산화물로 변환할 수 있다. 기판을 제2 전구체와 접촉시킨 후 기판 표면으로부터 과량의 제2 전구체 및 반응 부산물을 제거하는 것은 단계로 고려될 수 있고 제2 단계, 제2 전구체 단계, 산화 단계, 산소 단계, 산소 전구체 단계, 제2 산소 단계, 및/또는 제2 산소 전구체 단계로 지칭될 수 있다. 하나 이상의 전구체는 N2, Ar, 또는 He와 같은 캐리어 가스로 제공될 수 있다. 최종 막의 조성을 조절하고자 할 때 추가적인 단계들이 추가될 수 있고 단계들이 제거될 수 있다.
도 1을 참조하고 일부 구현예에 따르면, 적어도 하나의 사이클을 포함하는 주기적인 열적 기상 증착 공정(100)에 의해 기판 상에 산화물 재료가 선택적으로 증착되고, 적어도 하나의 사이클은:
블록 120에서 기판을 제1 기상 전구체와 접촉시키는 단계;
블록 130에서 과량의 제1 전구체 및 반응 부산물이 존재하는 경우, 과량의 제1 전구체 및 반응 부산물을 기판으로부터 제거하는 단계;
블록 140에서 산소 분자(O2)를 포함하는 제2 기상 전구체와 기판을 접촉시키기 위해 기판에 산소 분자(O2)를 공급하는 단계;
블록 150에서 기판으로부터 산소 분자를 포함하는 과량의 제2 전구체 및/또는 임의의 기체 부산물을 제거하는 단계; 및
블록 170에서 목적하는 두께의 산화물 재료가 기판 상에 형성될 때까지 블록 160에서 접촉 및 제거 블록들을 선택적으로 반복하는 단계를 포함한다.
일부 구현예에서, 위에 기재된 주기적인 증착은 ALD형 공정일 수 있다. 일부 구현예에서, 증착은 제2 표면에 상대적인 제1 표면 상에 산화물 재료를 선택적으로 형성할 수 있다. 일부 구현예에서, 제1 및 제2 표면은 동일 기판 상에 있다. 다른 구현예에서, 제1 표면은 기판 상에 있고 제2 표면은 제1 표면과 동일 기판 상에 있지 않다.
일부 구현예에서, 증착 공정(100)을 시작하기 전에 기판의 하나 이상의 표면에 대한 전처리 공정을 수행할 수 있다. 일부 구현예에서, 전처리 공정은 선택적 증착 공정(100)의 선택도를 향상시킬 수 있다. 일부 구현예에서, 증착 공정(100)을 시작하기 전 전처리 공정은 하나 이상의 상이한 표면들에 상대적인 하나의 표면 상에 산화물 재료의 증착을 향상시킬 수 있다. 일부 구현예에서, 증착 공정(100)을 시작하기 전 전처리 공정은 하나 이상의 상이한 표면들에 상대적인 하나의 표면 상에 산화물 재료의 증착을 억제할 수 있다.
일부 구현예에서, 전처리 공정은 기판의 일부 상에 패시베이션층 또는 블로킹층을 형성하여 기판 상에 제2 표면을 형성하는 단계를 포함할 수 있다. 일부 구현예에서, 전처리 공정은 기판의 일부 상에 DSA층 또는 SAM층을 형성하는 단계를 포함할 수 있다. 일부 구현예에서, 전처리는 유기물 재료, 예컨대 폴리머를 기판의 일부 상에 형성하는 단계를 포함할 수 있다.
일부 구현예에서, 전처리 공정은 후속하는 선택적 증착 공정의 선택도를 향상시키기 위해 사용될 수 있다. 일부 구현예에서, 전처리 공정은 제2 상이한 표면에 상대적인 제1 표면 상에 산화물 재료의 선택적 증착을 향상시킬 수 있다. 일부 구현예에서, 전처리 공정은 후속하는 선택적 증착 공정의 선택도를 약 2 초과, 약 5 초과, 또는 약 10 초과하는 인자만큼 향상시킬 수 있다.
일부 구현예에서, 전처리 공정은 후속하는 증착 공정(100)과 동일한 반응 챔버 또는 반응기에서 수행될 수 있다. 일부 구현예에서, 전처리 공정은 후속하는 증착 공정(100)과 상이한 반응 챔버 또는 반응기에서 수행될 수 있다.
도 1을 다시 참조하면, 블록 120에서 기판은 제1 전구체와 접촉한다. 일부 구현예에서, 제1 전구체는 기상 펄스의 형태로 반응 챔버로 안내되어 기판 표면과 접촉한다. 전구체의 단지 겨우 하나의 단층이 자기 제한 방식으로 기판의 제1 표면 상에 흡착되도록 조건들이 선택될 수 있다. 그러나, 일부 구현예에서, 그 재료의 단지 하나의 단층이, 예컨대 전구체의 분해에 의해 형성될 수 있도록 조건들이 선택될 수 있지만, 일부 예에서, 선택도의 손실을 야기하는 CVD 기상 반응은 피해야 한다.
제1 전구체 펄스는 증기 형태로 공급될 수 있다. 노출된 표면을 포화시키기에 충분한 농도로 종을 작업물에 운반하기 위한 공정 조건 하에서 종이 충분한 증기압을 나타내는 경우, 본 명세서의 목적을 위해 제1 전구체 가스는 "휘발성"으로 간주된다.
일부 구현예에서, 제1 전구체는 약 0.01초 내지 약 60초 동안, 약 0.02초 내지 약 30초 동안, 약 0.025초 내지 약 20초 동안, 약 0.05초 내지 약 5.0초 동안, 약 0.05초 내지 약 2.0초 동안, 또는 약 0.1초 내지 약 1.0초 동안 기판과 접촉한다.
주기적인 증착 공정에서 사용되는 제1 전구체는 표준 조건 (실온 및 대기압) 하에서 고체, 액체 또는 기체 재료일 수 있지만, 반응 챔버로 안내되어 기판 표면과 접촉하기 전 제1 전구체는 기상으로 존재한다. 일부 구현예에서, 제1 전구체는 마그네슘, 란타늄, 하프늄, 지르코늄, 알루미늄 또는 전이금속과 같은 금속을 포함할 수 있다.
블록 130에서, 과량의 제1 전구체와 반응 부산물이 있으면, 이들 과량의 제1 전구체와 반응 부산물은, 예컨대 기판을 퍼지 기체 또는 진공에 노출시킴으로써 기판으로부터 제거된다. 일부 구현예에서, 과량의 제1 전구체와 임의의 반응 부산물의 제거는 질소 또는 아르곤과 같은 불활성 기체로 퍼징함으로써 이루어질 수 있다. 블록 130과 같은 제거 기간 동안, 반응 챔버를 진공 펌프로 배기하고/배기하거나 반응기 내부의 기체를 아르곤 또는 질소와 같은 불활성 기체로 퍼징하고/퍼징하거나 기판을 전구체의 공급으로부터 멀리 이동시킴으로써 임의의 기상 전구체들 및/또는 임의의 기상 부산물들을 제거할 수 있다. 전형적인 제거 시간은 약 0.05 내지 20초, 예컨대 약 1 내지 10초, 더 바람직하게는 약 1 내지 2초이다. 그러나, 원하는 경우, 예컨대 매우 높은 종횡비 구조 또는 복잡한 표면 형태를 갖는 다른 구조 위에 층을 증착하는 것이 필요한 경우, 다른 제거 시간이 사용될 수 있다. 적절한 제거 시간은 특정 환경에 기초하여 당업자에 의해 용이하게 결정될 수 있다.
위에서 언급한 바와 같이, 일부 구현예에서, 과량의 제1 전구체 및 반응 부산물이 있는 경우, 과량의 제1 전구체 및 반응 부산물의 제거는, 제1 전구체가 더 이상 기판과 접촉하지 않도록 기판을 이동시키는 단계를 포함할 수 있다. 일부 구현예에서, 어떠한 전구체도 챔버의 여러 부분으로부터 제거되지 않을 수 있다. 일부 구현예에서, 기판은 제1 전구체를 함유하는 챔버의 어떤 부분으로부터 제2 전구체를 함유하거나 전구체를 전혀 함유하지 않는 챔버의 또 다른 부분으로 이동된다. 일부 구현예에서, 기판은 제1 반응 챔버에서 제2, 상이한 반응 챔버로 이동된다. 일부 구현예에서, 기판은 동일 반응 챔버에서 제1 및 제2 전구체에 노출된다.
블록 140에서 산소 분자를 포함하는 제2 기상 전구체와 기판을 접촉시키기 위해 기판에 O2 분자가 공급된다. 일부 구현예에서, 제2 기상 전구체는 산소 분자를 포함하고 기판과 접촉하기 전에 여기되지 않는다. 이처럼, 일부 구현예에서, 블록 140은 임의의 인 시튜 또는 엑스 시튜 여기를 통하지 않고서 O2 분자를 반응 챔버에 흘려 보내는 단계를 포함할 수 있다. 일부 구현예에서, 블록 140은 기판 표면에 O2 분자의 흐름을 공급하는 단계를 포함할 수 있다.
일부 구현예에서, 제2 전구체가 기판 표면에 결합된 제1 전구체와 반응하는 기판에 제2 전구체가 공급된다. ALD 순서에서, 반응으로 기판의 표면 상에 거의 단층까지인 산화물 재료층이 형성될 수 있다. 그러나 일부 구현예에서, 하나의 분자층보다 많은 산화물 재료층이 기판 상에 형성되지만, 일부 예에서, 선택도의 손실을 야기하는 CVD 기상 반응은 피해야 한다.
일부 구현예에서, 제2 전구체는 약 0.01초 내지 약 60초 동안, 약 0.02초 내지 약 30초 동안, 약 0.025초 내지 약 20초 동안, 약 0.05초 내지 약 5.0초 동안, 약 0.05초 내지 약 2.0초 동안, 또는 약 0.1초 내지 약 1.0초 동안 기판과 접촉한다. 그러나, 반응기 유형, 기판 유형 및 기판의 표면적에 따라서, 제2 전구체 접촉 시간은, 특히 매우 많은 표면적이 코팅되어야 하는 배치 반응기 또는 다른 공정들의 경우 심지어 10초를 초과할 수 있다. 일부 구현예에서, 접촉 시간은 분 단위일 수 있다. 최적의 접촉 시간은 특정 환경에 기초하여 당업자에 의해 쉽게 결정될 수 있다.
반응 챔버 내에서 제2 전구체의 농도는 약 0.01 용적% 내지 약 99.0 용적%일 수 있다. 또한, 제2 전구체는 반응 챔버로부터 약 1 표준 cm3/분 및 약 4000 표준 cm3/분의 속도로 반응 챔버를 통해 흐를 수 있다.
블록 150에서, 과량의 제2 전구체 및 표면 반응의 기체 부산물이 있는 경우, 블록 130에 위에서 기재된 바와 같이, 이들 과량의 제2 전구체 및 기체 부산물은 기판으로부터 제거된다. 일부 구현예에서, 과량의 전구체 및 반응 부산물은 불활성 기체로 제거된다. 일부 구현예에서, 과량의 제2 전구체 및 임의의 부산물은 기판을 퍼지 기체 또는 진공에 노출시킴으로써 제거된다.
접촉 및 제거 단계는, 목적하는 두께의 산화물 재료가 기판의 표면 상에 형성될 때까지 블록 160에서 선택적으로 반복되며, 각각의 사이클은 단지 겨우 하나의 분자 단층을 남긴다. 일부 경우에, 다양한 전구체들 중 적어도 하나를 적어도 부분적으로 분해하는 것이 바람직할 수 있다. 이처럼, 일부 구현예에서, 각 증착 사이클에서 하나의 분자층보다 많은 산화물 재료층이 기판 상에 형성되도록 조건들이 선택될 수 있지만, 일부 예에서, 선택도의 손실을 야기하는 CVD 기상 반응은 피해야 한다. 일부 예에서, 다양한 전구체들 중 적어도 하나의 부분적 분해가 일어날 수 있는데, 이러한 분해는, 일부 예에서, 이론에 구속되지 않고서, O2를 향한 적어도 부분적으로 분해된 전구체의 반응성을 높일 수 있다.
본 개시의 산화물 재료의 선택적 증착 공정은 하나 이상의 사이클을 포함할 수 있다. 일부 구현예는 적어도 약 5 사이클, 적어도 약 10 사이클, 적어도 약 50 사이클, 적어도 약 100 사이클, 적어도 약 200 사이클, 또는 적어도 약 300 사이클 이상의 반복을 포함한다.
일부 구현예에 따르면, O2 를 사용하여 증착된 박막은 약 50%를 초과, 약 80%를 초과, 약 90%를 초과, 또는 약 95%를 초과하는 스텝 커버리지 및 패턴 로딩 효과를 나타낼 수 있다. 일부 경우에, 스텝 커버리지 및 패턴 로딩 효과는 약 98%를 초과할 수 있고, 일부 경우에는 약 100%를 초과할 수 있다(측정 도구 또는 측정법의 정확도 내). 이들 값은 2 이상의 단차비, 일부 구현예에서, 약 3 이상의 단차비, 일부 구현예에서, 약 5 이상의 단차비 그리고 이루 구현예에서 약 8 이상의 단차비를 갖는 특징부에서 이루어질 수 있다.
도시된 산화물 증착 사이클은 기판의 표면을 제1 기상 전구체와 접촉시키는 것으로 시작하지만, 다른 구현예에서, 증착 사이클은 기판의 표면을 산소 분자를 포함하는 제2 기상 전구체와 접촉시키는 것으로 시작한다. 제1 기상 전구체 및 산소 분자를 포함하는 제2 기상 전구체와 기판을 접촉시키는 것은 증착 사이클에서 서로 바뀔 수 있다는 것을 당업자는 이해할 것이다. 추가적으로, 일부 사이클은 동일 단계를 여러 번 순차적으로 반복하는 것을 포함할 수 있다.
일부 구현예에서, 상이한 반응물이 목적하는 시간 동안 목적하는 순서로 기판의 표면과 교대로 순차적으로 접촉하도록 기판이 이동된다. 일부 구현예에서, 제거 블록 130과 150은 수행되지 않는다. 일부 구현예에서, 반응물이 챔버의 다양한 부분으로부터 제거되는 것은 아니다. 일부 구현예에서, 기판은 제1 전구체를 함유하는 챔버의 어떤 부분으로부터 제2 반응물을 함유하는 챔버의 다른 부분으로 이동된다. 일부 구현예에서, 기판은 제1 반응 챔버에서 제2, 상이한 반응 챔버로 이동된다. 일부 구현예에서, 기판은 동일 반응 챔버에서 제1 및 제2 전구체에 노출된다.
당업자는 선택된 전구체들의 특성을 기초로 최적의 반응물 증발 온도를 결정할 수 있다. 당업자는 선택된 전구체의 특성 및 증착된 산화물 재료의 목적하는 특성을 기초로 통상적인 실험을 통하여 최적의 반응물 접촉 시간을 결정할 수 있다.
증착된 산화물 재료의 성장율은 반응 조건에 따라 변할 것이다. 아래에 기재된 바와 같이, 초기 실험들에서, 성장율은 약 0.01 Å/사이클과 1.5 Å/사이클 사이에서 변한다. 일부 구현예에서, 성장율은 약 0.01 Å/사이클 내지 약 10.0 Å/사이클, 약 0.1 Å/사이클 내지 약 2.5 Å/사이클, 또는 0.3 Å/사이클 내지 약 1.5 Å/사이클일 수 있다. 일부 구현예에서, 전구체의 분해가 일어나면, 성장율은 2.5 Å/사이클 또는 5 Å/사이클을 초과할 수 있다.
일부 구현예에서, 증착된 산화물 재료는 박막을 포함한다. 일부 구현예에서, 증착된 산화물 재료는 금속 산화물을 포함하고, 일부 구현예에서, 증착된 산화물 재료는 란타늄 산화물, 하프늄 산화물, 지르코늄 산화물, 마그네슘 산화물, 전이금속 산화물 또는 알루미늄 산화물과 같은 절연 금속 산화물을 포함한다. 일부 구현예에서, 증착된 산화물 재료는 란타늄 산화물, 하프늄 산화물, 지르코늄 산화물, 마그네슘 산화물, 전이금속 산화물, 또는 알루미늄 산화물이다. 본원에서 사용되는 바와 같이, 마그네슘 산화물은 MgO or MgOx의 일반 화학식을 갖는 재료들을 지칭하며, 여기서 x는 약 0.8 내지 약 1.2지만, 마그네슘 산화물이 화학양론일 필요는 없다는 것을 이해할 것이다. 본원에서 사용되는 바와 같이, 란타늄 산화물은 La2O3 or LaOx 의 일반 화학식을 갖는 재료들을 지칭하지만, 란타늄 산화물이 화학양론일 필요는 없다는 것을 이해할 것이다. 본원에서 사용되는 바와 같이, 하프늄 산화물은 HfO2 or HfOx의 일반식을 갖는 재료들을 지칭하지만, 하프늄 산화물이 화학양론일 필요는 없다는 것을 이해할 것이다. 본원에서 사용되는 바와 같이, 지르코늄 산화물은 ZrO2 or ZrOx의 일반식을 갖는 재료들을 지칭하지만, 지르코늄 산화물이 화학양론일 필요는 없다는 것을 이해할 것이다. 본원에서 사용되는 바와 같이, 알루미늄 산화물은 Al2O3 or AlOx의 일반식을 갖는 재료들을 지칭하지만, 알루미늄 산화물이 화학양론일 필요는 없다는 것을 이해할 것이다.
일부 구현예에서, 금속 산화물 재료들은 다른 구성물들(예컨대, 금속 실리케이트 또는 금속 산질화물)을 포함한다. 일부 구현예에서, 금속 또는 금속들 및 산소로 필수 구성되는 금속 산화물 재료들이 형성된다. 일부 구현예에서, 금속 또는 금속들 및 산소 이외의 오염물들은 수소를 제외하면 박막의 단지 약 30 at%, 단지 약 20 at%, 단지 약 10 at%, 단지 약 5 at%, 단지 약 3 at%, 단지 약 1.5 at%, 또는 단지 약 0.5 at%를 나타낸다. 일부 구현예에서, 금속 또는 금속들 및 산소 이외의 오염물들은 수소를 포함하면 박막의 단지 약 45%, 단지 약 30 at%, 단지 약 20 at%, 단지 약 10 at%, 단지 약 5 at%, 단지 약 3 at%, 단지 약 1.5 at%, 또는 단지 약 0.5 at%를 나타낸다. 일부 구현예에서, 추가적인 금속 단계와 같은 추가적인 단계는 최종 증착 사이클 이후 또는 증착 공정 중에 간헐적으로 제공될 수 있다.
일부 구현예에 따르면, 하나 이상의 사이클을 포함하는 주기적인 기상 증착 공정에 의해 기판 상에 산화물 재료가 선택적으로 증착되고, 각 사이클은:
기판을 제1 기상 전구체와 접촉시키는 단계;
기판을 제1 기상 전구체와 접촉시키는 단계 후 기판을 퍼지 기체 또는 진공에 노출시키는 단계;
산소 분자(O2)를 포함하는 제2 기상 전구체와 기판을 접촉시키기 위해 기판에 산소 분자(O2)를 공급하는 단계;
기판을 산소 분자와 접촉시킨 후 기판을 퍼지 기체 또는 진공에 노출시키는 단계;
목표하는 두께의 산화물 재료가 기판 상에 형성될 때까지 접촉 및 제거 단계들을 선택적으로 반복하는 단계를 포함한다.
도 2를 참조하고 일부 구현예에 따르면, 적어도 하나의 사이클을 포함하는 주기적인 증착 공정(200)에 의해 마그네슘 산화물 재료, 예컨대 마그네슘 산화물 박막이 기판의 표면 상에 증착되고, 적어도 하나의 사이클은:
블록 220에서 기판을 마그네슘을 포함하는 제1 기상 전구체와 접촉시키는 단계;
블록 230에서 마그네슘을 포함하는 과량의 제1 기상 전구체 및/또는 반응 부산물이 있으면, 이들 제1 기상 전구체 및/또는 반응 부산물을 표면으로부터 제거하는 단계;
블록 240에서 산소 분자를 포함하는 제2 기상 전구체와 기판을 접촉시키기 위해 기판 표면에 산소 분자(O2)를 공급하는 단계;
블록 250에서 기판으로부터 산소 분자를 포함하는 과량의 제2 전구체 및/또는 임의의 기체 부산물을 제거하는 단계; 및
블록 270에서 목표하는 두께의 마그네슘 산화물 박막이 기판의 표면 상에 형성될 때까지 블록 260에서 접촉 및 제거 블록들을 선택적으로 반복하는 단계를 포함한다.
일부 구현예에서, 위에 기재된 주기적인 증착은 ALD형 공정일 수 있다. 일부 구현예에서, 증착은 제2 표면에 상대적인 제1 표면 상에 마그네슘 산화물 재료를 선택적으로 형성할 수 있다. 일부 구현예에서, 제1 및 제2 표면은 동일 기판 상에 있다. 다른 구현예에서, 제1 표면은 기판 상에 있고 제2 표면은 반응기 표면과 같은 다른 부분 상에 있다.
도시된 마그네슘 산화물 증착 사이클은 기판을 마그네슘을 포함하는 제1 전구체와 접촉시키는 것으로 시작하지만, 다른 구현예에서, 증착 사이클은 기판을 산소 분자를 포함하는 제2 전구체와 접촉시키는 것으로 시작한다. 마그네슘을 포함하는 제1 전구체 및 산소 분자를 포함하는 제2 전구체와 기판을 접촉시키는 것은 증착 사이클에서 서로 바뀔 수 있다는 것을 당업자는 이해할 것이다.
일부 구현예에서, 위에 기재된 증착 공정은 선택적 증착 공정일 수 있다. 즉, 일부 구현예에서, 제1 표면 및 상이한 제2 표면을 포함하는 기판이 제공되고, 마그네슘을 포함하는 기상의 제1 전구체 및 산소 분자를 포함하는 기상의 제2 전구체와 기판을 교대로 순차적으로 접촉시키는 단계를 포함하는 적어도 하나의 사이클을 포함하는 주기적 증착 공정에 의해, 상이한 제2 표면에 상대적인 기판의 제1 표면 상에 마그네슘 산화물이 선택적으로 증착된다.
일부 구현예에서, 제1 전구체는 마그네슘을 포함하는 유기금속 화합물을 포함할 수 있고 제2 전구체는 O2를 포함할 수 있다. 일부 구현예에서, 제1 전구체는 Mg(Cp)2를 포함할 수 있고 제2 전구체는 O2를 포함할 수 있다.
일부 구현예에서, 마그네슘 산화물막은 약 0.01 Å/사이클 내지 약 2.0 Å/사이클의 성장율로 증착될 수 있다. 일부 구현예에서, 마그네슘 산화물 박막의 성장율은 0.1 Å/사이클을 초과, 약 0.5 Å/사이클을 초과, 약 0.75 Å/사이클을 초과하거나 약 1.0 Å/사이클을 초과할 수 있다.
일부 구현예에서, 증착된 마그네슘 산화물 박막의 표준 편차(STD) 균일도는 약 10% 미만, 약 7.5% 미만, 약 5% 미만 또는 약 1% 미만일 수 있다. 일부 구현예에서, 증착된 마그네슘 산화물 박막은 약 50%를 초과, 약 80%를 초과, 약 90%를 초과, 약 95%를 초과, 약 98%를 초과, 약 99%를 초과하는 스텝 커버리지를 가질 수 있다. 이들 값은 2 이상의 단차비, 일부 구현예에서, 약 3 이상의 단차비, 일부 구현예에서, 약 5 이상의 단차비 그리고 이루 구현예에서 약 8 이상의 단차비를 갖는 특징부에서 이루어질 수 있다.
일부 구현예에서, 마그네슘 산화물 선택적 증착 공정을 위한 증착 온도는 약 150°C 내지 약 500°C, 약 200°C 내지 약 450°C, 또는 약 225°C 내지 약 400°C일 수 있다. 일부 구현예에서, 반응 챔버 내 압력은 약 0.001 Torr 내지 약 100 Torr 또는 약 0.1 Torr 내지 약 20 Torr일 수 있다.
도 3을 참조하고 일부 구현예에 따르면, 적어도 하나의 사이클을 포함하는 ALD형 증착 공정(300)에 의해 란타늄 산화물 재료, 예컨대 란타늄 산화물 박막이 기판 상에 선택적으로 증착되고, 적어도 하나의 사이클은:
블록 320에서 기판을 란타늄을 포함하는 제1 기상 전구체와 접촉시키는 단계;
블록 330에서 과량의 제1 전구체 및 반응 부산물이 존재하는 경우, 과량의 제1 전구체 및 반응 부산물을 기판으로부터 제거하는 단계;
블록 340에서 산소 분자를 포함하는 제2 기상 전구체와 기판을 접촉시키기 위해 기판 표면에 산소 분자(O2)를 공급하는 단계;
블록 350에서 기판으로부터 산소 분자를 포함하는 과량의 제2 전구체 및 임의의 기체 부산물을 제거하는 단계; 및
블록 370에서 목표하는 두께의 산화물 재료가 기판의 제1 표면 상에 형성될 때까지 블록 360에서 접촉 및 제거 블록들을 선택적으로 반복하는 단계를 포함한다.
일부 구현예에서, 위에 기재된 주기적인 증착은 ALD형 공정일 수 있다. 일부 구현예에서, 증착은 제2 표면에 상대적인 제1 표면 상에 란타늄 산화물 재료를 선택적으로 형성할 수 있다. 일부 구현예에서, 제1 및 제2 표면은 동일 기판 상에 있다. 다른 구현예에서, 제1 표면은 기판 상에 있고 제2 표면은 반응기 표면과 같은 다른 부분 상에 있다.
도시된 란타늄 산화물 증착 사이클은 기판을 란타늄을 포함하는 제1 전구체와 접촉시키는 것으로 시작하지만, 다른 구현예에서, 증착 사이클은 기판을 산소 분자를 포함하는 제2 전구체와 접촉시키는 것으로 시작한다. 란타늄을 포함하는 제1 전구체 및 산소 분자를 포함하는 제2 전구체와 기판을 접촉시키는 것은 증착 사이클에서 서로 바뀔 수 있다는 것을 당업자는 이해할 것이다.
일부 구현예에서, 제1 전구체는 란타늄을 포함하는 유기금속 화합물을 포함할 수 있고 제2 전구체는 O2를 포함할 수 있다. 일부 구현예에서, 제1 전구체는 란타늄 포름아미디네이트(La(FAMD)3)를 포함할 수 있고 제2 전구체는 O2를 포함할 수 있다.
도 4를 참조하고 일부 구현예에 따르면, 적어도 하나의 사이클을 포함하는 ALD형 증착 공정(400)에 의해 하프늄 산화물 재료, 예컨대 하프늄 산화물 박막이 기판 상에 선택적으로 증착되고, 적어도 하나의 사이클은:
블록 420에서 기판을 하프늄을 포함하는 제1 기상 전구체와 접촉시키는 단계;
블록 430에서 과량의 제1 전구체 및 반응 부산물이 존재하는 경우, 과량의 제1 전구체 및 반응 부산물을 기판으로부터 제거하는 단계;
블록 440에서 산소 분자를 포함하는 제2 기상 전구체와 기판을 접촉시키기 위해 기판 표면에 산소 분자(O2)를 공급하는 단계;
블록 450에서 기판으로부터 산소 분자를 포함하는 과량의 제2 전구체 및 임의의 기체 부산물을 제거하는 단계; 및
블록 470에서 목표하는 두께의 산화물 재료가 기판의 제1 표면 상에 형성될 때까지 블록 460에서 접촉 및 제거 블록들을 선택적으로 반복하는 단계를 포함한다.
일부 구현예에서, 위에 기재된 주기적인 증착은 ALD형 공정일 수 있다. 일부 구현예에서, 증착은 제2 표면에 상대적인 제1 표면 상에 하프늄 산화물 재료를 선택적으로 형성할 수 있다. 일부 구현예에서, 제1 및 제2 표면은 동일 기판 상에 있다. 다른 구현예에서, 제1 표면은 기판 상에 있고 제2 표면은 반응기 표면과 같은 다른 부분 상에 있다.
도시된 하프늄 산화물 증착 사이클은 기판을 하프늄을 포함하는 제1 전구체와 접촉시키는 것으로 시작하지만, 다른 구현예에서, 증착 사이클은 기판을 산소 분자를 포함하는 제2 전구체와 접촉시키는 것으로 시작한다. 하프늄을 포함하는 제1 전구체 및 산소 분자를 포함하는 제2 전구체와 기판을 접촉시키는 것은 증착 사이클에서 서로 바뀔 수 있다는 것을 당업자는 이해할 것이다.
일부 구현예에서, 제1 전구체는 하프늄을 포함하는 유기금속 화합물을 포함할 수 있고 제2 전구체는 O2를 포함할 수 있다. 일부 구현예에서, 제1 기상 전구체는 테트라메틸에틸 알킬아미드 하프늄(TEMAH)을 포함할 수 있고 제2 전구체는 O2를 포함할 수 있다.
도 5a는 선택적인 증착 공정을 거치기 전 제1 표면(510) 및 상이한 제2 표면(520)을 포함하는 기판(500)을 일반적으로 도시하는 개략도이다. 일부 구현예에서, 본원에 기재된 증착 공정은 제2 표면(520)에 상대적인 기판(500)의 제1 표면(510) 상에 산화물 재료를 선택적으로 증착하기 위해 사용될 수 있다. 일부 구현예에서, 기판의 제2 표면(520)은 본원에 기재된 바와 같이 유기물 패시베이션층(522), 예를 들어 폴리머 패시베이션층 또는 SAM을 포함할 수 있다.
도 5b는 본원에 기재된 선택적인 증착 공정을 거친 후 제1 표면(510) 및 상이한 제2 표면(520)을 포함하는 기판(500)을 일반적으로 도시하는 개략도이다. 목표하는 두께의 산화물 재료(530)는 패시베이션층(522)을 포함하는 제2 표면(520)에 상대적인 기판의 제1 표면(510) 상에 선택적으로 증착되었다. 이처럼, 일부 구현예에서, 유기물 패시베이션층(522)은 제2 표면(520) 상에서 산화물 재료(530)의 증착을 억제하거나 방지할 수 있다. 또한, 일부 구현예에서, 선택적 증착 공정은 하부의 제2 표면(520)을 노출시키기에 충분히 유기물 패시베이션층(522)의 품질을 저하시키거나 산화시키지 않고서 제1 표면(510) 상에 산화물 재료(530)의 증착을 이룰 수 있다.
도 5a 및 5b는 개략도이고 일부 구현예에서 본원에 묘사된 층들의 두께는 층들의 실제 두께 또는 치수와 반드시 일치하지 않는다는 것을 주목하여야 한다. 또한, 어떠한 산화물 재료도 제2 표면(520) 또는 패시베이션층(522) 상에 증착되지 않은 것으로 도시되지 않았지만, 일부 구현예에서, 일부 산화물 재료(530)는 증착 시의 선택도로 인하여 제1 표면(510) 상의 두께보다 더 작은 두께로 제2 표면(520) 상에 증착될 수 있다. 일부 구현예에서, 제2 표면(502)이 그 위에서의 증착을 최소화하는 패시베이션층(522)을 포함하면, 패시베이션층(422)의 제거로 인해 그 위에 증착된 산화물 재료(430)의 일부는 언더컷되어 제거될 수 있다. 그러나, 일부 구현예에서, 산화물 재료(430)의 증착은 제1 표면(410) 상에서만 일어나고 제2 표면(420) 상에서는 일어나지 않는다.
도 6을 참조하면, 일부 구현예에서, 위에서 기재된 증착 공정은 증착 챔버 또는 반응 공간(510)을 포함하는 반응기(600)에서 수행될 수 있다. 위에 기재된 공정들을 수행하기 위해서, 일부 구현예에서, 반응기(500)는 제어 시스템(620)을 포함한다. 제어 시스템(620)은 증착 챔버(610)에 연결된 제1 금속 전구체원(630)을 경유하여 제1 금속 전구체를 증착 챔버(610)로 공급하는 것을 제어하도록 구성될 수 있다. 제어 시스템(620)은 증착 챔버(610)에 연결된 산소원(640)을 경유하여 제1 반응물을 증착 챔버(610)로 공급하는 것을 제어하도록 구성될 수 있다. 이처럼, 도 1~4를 참조하여 위에 기재된 바와 같이, 제어 시스템(620)은, 예를 들어 전구체들의 펄스를 경유하여 금속 전구체원(630) 및/또는 산소원(640)으로부터 목표하는 교번적 및/또는 순차적인 접촉 단계들을 제공할 수 있다. 제어 시스템(620)은 처리기(622) 및 메모리(624)를 포함할 수 있다. 일부 구현예에서, 제어 시스템(620)은 메모리에 저장되어 공정을 수행하기 위한 소프트웨어 프로그램을 포함할 수 있다. 제어 시스템은 이 산업에서 공지된 다른 구성 요소들 또한 포함할 수 있다. 범용 컴퓨터는 제어 시스템(620)으로서 사용하기 위해 프로그램될 수 있다. 제어 시스템(620)은, 예를 들어 메모리에 저장된 프로그램에 따라 제1 전구체원(630) 및/또는 산소원(640)의 밸브를 여닫음으로써 제1 금속 전구체 및/또는 제2 반응물을 증착 챔버(610)에 자동으로 제공할 수 있다. 제어 시스템(620)은 반응기(600)의 다른 동작들, 예컨대 다른 동작 파라미터들 중 온도, 압력 및 로봇 제어를 제어하도록 구성될 수 있다.
당업자는 본원의 교시를 공간 분할된 ALD 또는 하이브리드 시스템에 적용시키는 방법을 쉽게 이해하겠지만, 고정 기판을 위해 시간 분할된 ALD의 예를 사용하여 반응기(600)를 위한 동작 과정을 이제 설명할 것이다. 제1 단계에서, 제1 금속 전구체는 증착 챔버(610)로 공급된다. 구체적으로, 산소원(640)이 증착 챔버(610)로 흐르지 않는 동안, 제1 금속 전구체가, 예를 들어 제1 공급 도관을 통하여 증착 챔버(610)로 흐를 수 있도록 제1 금속 전구체원(630)이 연결된다. 예를 들어 펄싱 밸브 또는 개시 전체가 본원에 참조로 포함되어 있고, 2002년 1월 21일에 공개된 국제 공개 WO 02/08488호의 8페이지에 기재된 장치와 같은 불활성 가스 밸브 장치에 의해 제2 반응물이 증착 챔버(610)로 흐르는 것이 방지될 수 있다. 일부 구현예에서, 퍼징 기체는 제1 금속 전구체원(630)을 증착 챔버(610)에 연결하는 제1 공급 도관 및 산소원(640)을 증착 챔버(610)에 연결하는 제2 공급 도관 모두를 통해 흐른다. 이러한 단계 동안, 제1 금속 전구체는 기판의 활성 부위에 흡착되어 본원에 기재된 바와 같은 흡착된 단층을 형성할 수 있다. 제2 단계 동안, 과량의 제1 금속 전구체 및 임의의 가스 부산물은 증착 챔버(610)로부터 또는 적어도 기판 표면 근처로부터 제거된다. 일부 구현예에서, 공급 도관을 통하여 퍼지 기체를 계속 흘리면서 제1 금속 전구체 흐름을 차단함으로써 제거가 이루어질 수 있다. 일부 구현예에서 퍼지 기체는 증착 챔버(610)에 독립적으로 연결되어 있는 제3 공급 도관을 통해 추가적으로 또는 대신 공급될 수 있다. 제3 단계에서, 제2 반응물은 증착 챔버(610)로 공급된다. 구체적으로, 제1 금속 전구체원(630)이 증착 챔버(610)로 흐르지 않는 동안, 산소원(640)이 증착 챔버(610)로 흐르도록 연결된다. 일부 구현예에서, 퍼징 기체는 제1 및 제2 도관 모두를 통해 여전히 공급된다. 제1 금속 전구체 및 제2 반응물은 상호 반응성이다. 이처럼, 금속 전구체의 흡착종(전형적으로 단층 또는 순수 ALD보다 작음)은 증착 챔버(610)로 유입된 제2 반응물과 반응한다. 이 반응으로 기판 상에는 목표한 금속 산화물 박막이 남는다. 이 반응은 일반적으로 자기 제한적이어서 제1 금속 전구체의 흡착종의 전체량이 소비되면 종료된다. 이 반응이 박막층에 소자를 남기거나 단순히 흡착된 층으로부터 리간드를 단순히 제거할 수 있음을 주목하여야 한다. 제4 단계에서, 과량의 제2 금속 전구체 및 임의의 가스 부산물은 증착 챔버(610)로부터 또는 적어도 기판 표면 근처로부터 제거된다. 퍼징 기체가 제1 및 제2 공급 도관 모두로 흐르는 동안 산소원을 닫음으로써 이러한 제거가 이루어질 수 있다. 위에 기재된 사이클은 금속 산화물 박막을 목적하는 두께로 증착하기 위해 필요하면 반복될 수 있다. 물론, 일부 구현예에서, 퍼지 단계는 펌프 다운 단계 또는 해당 반응물을 포함하지 않는 별도의 증착 챔버 또는 증착 챔버의 별도 영역으로 기판을 이동하는 단계를 포함하는 단계로 대체될 수 있다.
제1 전구체
본원에 기재된 선택적 증착 공정에서 수많은 상이한 제1 전구체들이 사용될 수 있다. 일부 구현예에서, 제1 전구체는 금속을 포함할 수 있다. 일부 구현예에서, 제1 전구체는 C1-C4 알킬 리간드와 같은 적어도 하나의 알킬 리간드를 포함할 수 있다. 일부 구현예에서, 제1 전구체는 유기금속 또는 금속유기 화합물을 포함할 수 있다. 일부 구현예에서, 제1 전구체는 적어도 하나의 시클로펜타디에닐(Cp) 리간드를 포함할 수 있다. 일부 구현예에서, 제1 전구체는 포름아미디네이트 또는 아미디네이트 화합물을 포함할 수 있다. 일부 구현예에서, 제1 전구체는 베타-디케토네이트 화합물을 포함할 수 있다. 일부 구현예에서, 제1 전구체는 디알킬아미노 화합물과 같은 알킬아미노 화합물을 포함할 수 있다. 일부 구현예에서, 제1 전구체는 -NMe2, -NEt2 또는 -NEtMe와 같은 알킬아미노 리간드를 포함할 수 있다.
일부 구현예에서, 제1 전구체는 마그네슘을 포함할 수 있다. 일부 구현예에서, 제1 전구체는 마그네슘을 포함하는 유기금속 또는 금속유기 화합물일 수 있다. 예를 들어, 일부 구현예에서, 제1 전구체는 Mg(Cp)2 또는 그의 유도체를 포함할 수 있다.
일부 구현예에서, 제1 전구체는 란타늄을 포함할 수 있다. 일부 구현예에서, 제1 전구체는 란타늄을 포함하는 유기금속 화합물일 수 있다. 일부 구현예에서, 제1 기상 전구체는 란타늄 포름아미디네이트(La(FAMD)3)를 포함할 수 있다.
일부 구현예에서, 제1 전구체는 하프늄을 포함할 수 있다. 일부 구현예에서, 제1 기상 전구체는 하프늄을 포함하는 유기금속 화합물을 포함할 수 있다. 예를 들어, 일부 구현예에서, 제1 전구체는 테트라메틸에틸 알킬아미노(TEMAH, Hf(NEtMe)4) 또는 그의 유도체와 같은 알킬아미노 하프늄 화합물을 포함할 수 있다.
일부 구현예에서, 제1 전구체는 지르코늄을 포함할 수 있다. 일부 구현예에서, 제1 전구체는 알루미늄을 포함할 수 있다. 일부 구현예에서, 제1 전구체는 전이금속을 포함할 수 있다. 일부 구현예에서, 제1 전구체는 Ru와 같은 귀금속을 포함하지 않는다.
일부 구현예에서, 제1 전구체는 다음 식을 가진다:
MgL2 (I)
여기서 Mg는 알루미늄이고, L 각각은 탄화수소기 이도록 독립적으로 선택된다. 일부 구현예에서, L 각각은 선형, 분지형, 환형 알킬 또는 불포화 탄화수소기, 예컨대 알케닐기, 알키닐기, 방향족기, 사이클로펜타디에닐기, 페닐기, 사이클로옥타디에닐기, 또는 사이클로헵타트리에닐기일 수 있다. 일부 구현예에서, 하나의 L 또는 두 개의 L 모두 사이클로펜타디에닐기일 수 있다. 일부 구현예에서, 하나의 L 또는 두 개의 L 모두 비덴테이트(bidentate) 리간드, 예컨대 베타디케토네이트(betadiketonate), 구아니디네이트(guanidinate) 또는 아미디네이트(amidinate)일 수 있다. 일부 구현예에서, 베타디케토네이트 리간드는 아세틸아세토네이트 또는 2,2,6,6-테트라메틸-3,5-헵탄디오나토 (thd) 리간드이다.
일부 구현예에서, 제1 전구체는 다음 식을 갖는 사이클로펜타디에닐 화합물 또는 그의 유도체, 예컨대 알킬치환된 사이클로펜타디에닐 화합물이다:
Mg(R1R2R3R4R5Cp)2 (II)
여기서, R1기 각각, R2기 각각, R3기 각각, R4기 각각, 및 R5기 각각은 수소 또는 치환된 또는 비치환된 알킬기 이도록 독립적으로 선택될 수 있다. 일부 구현예에서, R1기 각각, R2기 각각, R3기 각각, R4기 각각, 및 R5기 각각은 수소 또는 선형의 또는 분지형의 C1-C5 알킬기 이도록 독립적으로 선택될 수 있다. 일부 구현예에서, R1기 각각, R2기 각각, R3기 각각, R4기 각각, 및 R5기 각각은 수소 또는 C1-C3 알킬기, 예컨대 메틸기, 에틸기, n-프로필기 또는 i-프로필기 이도록 독립적으로 선택될 수 있다. 일부 구현예에서, 제1 전구체는 Mg(Cp)2일 수 있다.
일부 구현예에서, 제1 전구체는 사이클로펜타디에닐("Cp") 리간드와 같은 하나 이상의 리간드를 포함할 수 있다. 이들 제1 전구체 화합물은 다음 화합물들의 군으로부터 선택될 수 있다:
(Cp)xLa (III);
(Cp)xLyLa (IV);
(Cp)xWnLa (V);
(CP)xLyWnLa (VI);
La는 란타늄이고, Cp는 사이클로펜타디에닐기 또는 사이클로옥타디에닐기여서, 화학식 I~IV의 Cp 기들은 서로 동일하거나 서로 상이하고; x는 Cp 리간드들의 수를 나타내고 1에서 La의 산화상태까지의 정수이고; 사이클로펜타디엔은 보통 Cod의 약어로 표시되지만, 여기서 표시는 사이클로펜타디에닐과 사이클로옥타디에닐 모두에 대한 하나의 공통 약어의 사용에 의해 단순화되고;
Ly 은 그의 원자들 중 하나 이상으로부터 금속에 결합된 중성의 부가물 리간드이고 y는 결합된 리간드들의 수를 나타내고; 그리고
W는 Cp보다 1가(valence)가 적은 일부 다른 리간드이고 n은 리간드들의 수를 나타낸다. 일부 구현예에서, W는 아미디네이트 또는 포름아미디네이트이다. 일부 구현예에서, W는 베타-디케토네이트 또는 그의 해당 황 또는 질소 화합물, 할라이드, 아미드, 알콕사이드, 카복실레이트 또는 쉬프(Schiff)의 염기이다.
화학식 I~IV에서, 사이클로펜타디에닐기 및/또는 사이클로옥타디에닐기는 동일 분자에 있을 수 있어서, Si, N, P, Se, S 또는 B로부터 선택된 헤테로원자를 포함할 수 있는 치환 또는 비치환 C1-C6 사슬로 구성되는 두 개의 Cp- 사이에 가교가 있다.
일부 구현예에서, L은 다음으로부터 독립적으로 선택된다:
(i) 수소,
(ii) 산소를 포함하는 탄화수소,
(iii) 질소를 포함하는 탄화수소,
(iv) 황을 포함하는 탄화수소,
(v) 인을 포함하는 탄화수소,
(vi) 비소를 포함하는 탄화수소,
(vii) 셀레늄을 포함하는 탄화수소, 및/또는
(viii) 텔루륨을 포함하는 탄화수소.
일부 구현예에서, L은 다음으로부터 독립적으로 선택된다:
(a) 아민 또는 폴리아민,
(b) 비피리딘,
(c) 화학 다이아그램에 따른 리간드:
여기서 G는 ―O―, ―S―, 또는 ―NR1이고, R1 은 독립적으로 선택된 수소 또는 치환 또는 비치환된, 환형의, 선형의 또는 분지형의 알킬기, 알케닐기, 아릴기, 알킬아릴기, 아릴알킬기, 알콕시기, 티오기, 시아노기 또는 실릴기이다. R1 에서 환형 또는 방향족 고리는 헤테로원자를 포함할 수 있다. 수소 또는 R1-형 치환체는 화학식 V의 탄소 원자들에 부착될 수도 있고, 혹은
(d) 에테르 또는 티오에테르
화학식 I~IV의 사이클로펜타디에닐기 또는 사이클로옥타디에닐기, Cp는 다음 형태를 가진다:
Cp'RmHa-m (VII)
여기서 a가 8일 때 m은 0~8의 정수이고, a가 5일 때, m은 0~5의 정수이다.
Cp'는 융합되거나 단리된 사이클로펜타디에닐 또는 사이클로옥타디에닐이고,
R은 1~6개의 탄소 원자를 포함하는 독립적으로 선택된 탄화수소 단편, 예컨대 C1-C6 탄화수소이다.
일부 구현예에서, 각각의 R 리간드는 서로 동일할 수 있고 혹은 각각의 R 리간드는 서로 상이할 수 있다. 즉, 각각의 R 리간드는 독립적으로 선택될 수 있다. 일부 구현예에서, R은 치환 또는 비치환된, 환형, 선형 또는 분지형 알킬 알케닐기, 아릴기, 알킬아릴기, 아릴알킬기, 알콕시기, 티오기, 아미노기, 시아노기 또는 실릴기일 수 있다. 치환체의 환형 또는 방향족 고리는 헤테로원자를 포함할 수 있다. 치환체들의 예로는 메틸기, 에틸기, 프로필기 및 이소프로필기가 있다.
화학식 II와 IV에 도시된 중성의 부가물 리간드는 독립적으로 선택된 에테르, 아민 또는 용매 분자, 예컨대 하나의 원자를 가진 금속과 결합을 형성하는 테트라하이드로푸란일 수 있다. 여러 개의 원자를 가진 금속과 결합을 형성하는 적합한 중성 부가물 리간드의 예로는 폴리에테르와 폴리아민이 있다.
일부 구현예에서, 제1 전구체는 적어도 하나의 사이클로펜타디에닐 리간드를 포함할 수 있고 식 VIII로 표시될 수 있다:
(R1R2R3R4R5Cp)x―MR0 z―(R6)y (VIII)
여기서 M은 Mg, Sr, Ba, Sc, Y 및 란타나이드로 구성되는 군으로부터 선택되는 금속이고;
여기서 R0기 각각, R1기 각각, R2기 각각, R3기 각각, R4기 각각, 및 R5기 각각은 다음으로부터 독립적으로 선택될 수 있다:
i. 수소;
ii. 독립적으로 치환 또는 비치환된, 선형 및 분지형 C1~C6 알킬기, 알케닐기 및 알키닐기;
iii. 아릴기, 페닐기, 사이클로펜타디에닐기, 알킬아릴기, 및 할로겐화 탄소고리기와 같은 탄소고리기; 및
iv. 헤테로고리기;
여기서 R6은 다음으로부터 독립적으로 선택된다:
i. 수소;
ii. 독립적으로 치환 또는 비치환된, 선형 및 분지형 C1~C6 알킬기, 알케닐기 및 알키닐기;
iii. 아릴기, 페닐기, 사이클로펜타디에닐기, 알킬아릴기, 및 할로겐화 탄소고리기와 같은 탄소고리기;
iv. 헤테로고리기; 및
v. NR1R2;
여기서 x와 y 모두 ≥1이고 z≥0이다.
일부 구현예에서, 사이클로펜타디에닐 화합물을 포함하는 제1 전구체는 식 IX로 묘사된 바와 같이 질소를 통해 금속과 결합되는 적어도 하나의 리간드를 포함한다:
(R1R2R3R4R5Cp)x―MR0 z―(NR1R2)y (IX)
여기서 M은 Mg, Sr, Ba, Sc, Y 또는 란타나이드로 구성되는 군으로부터 선택되는 금속이고;
여기서 R0기 각각, R1기 각각, R2기 각각, R3기 각각, R4기 각각, 및 R5기 각각은 다음으로부터 독립적으로 선택된다:
i. 수소;
ii. 독립적으로 치환 또는 비치환된, 선형 및 분지형 C1~C6 알킬기, 알케닐기 및 알키닐기;
iii. 아릴기, 페닐기, 사이클로펜타디에닐기, 알킬아릴기, 및 할로겐화 탄소고리기와 같은 탄소고리기; 및
iv. 헤테로고리기;
여기서 x와 y 모두 ≥1이고 z는 ≥0이다.
식 IX에서, 알킬기, 알케닐기 및 알키닐기는 1 내지 6개의 탄소 원자를 갖는 임의의 선형 또는 분지형 알킬기, 알케닐기 및 알키닐기로부터 선택될 수 있다. 이러한 알킬기의 예로는 메틸; 에틸; n- 및 i-프로필-; n-, i- 및 t-부틸-; n- 및 이소아밀; n- 및 이소펜틸; n- 및 이소헥실; 및 2,3-메틸-2-부틸이 있다. 일부 구현예에서, 알킬기가 사용된다. 다른 구현예에서, 대응하는 불포화도를 갖는 대응 기들을 포함하는 C1-6, 알케닐 및 알키닐기가 사용될 수 있다.
일부 구현예에서, 제1 전구체는 적어도 하나의 사이클로펜타디에닐 리간드 및 적어도 하나의 킬레이트 리간드, 예컨대 비덴테이트 리간드를 갖는 화합물이다. 일부 구현예에서, 이 화합물은 식 X, (R1R2R3R4R5Cp)x―MR0 z―(NR1NR2R)y로 다음과 같이 나타낸다:
(X)
여기서 M은 Mg, Sr, Ba, Sc, Y 또는 란타나이드로 구성되는 군으로부터 선택되는 금속이고;
R은 독립적으로 치환 또는 비치환된 임의의 선형 및 분지형 C1~C6 알킬기, 알케닐기 또는 알키닐기이고, R은 알킬, 알케닐 및 알키닐기의 임의 지점에서 두 개의 가교 질소 원자에 결합될 수 있다.
여기서 R0기 각각, R1기 각각, R2기 각각, R3기 각각, R4기 각각, 및 R5기 각각은 다음으로부터 독립적으로 선택될 수 있다:
i. 수소;
ii. 독립적으로 치환 또는 비치환된, 선형 및 분지형 C1~C6 알킬기, 알케닐기 및 알키닐기;
iii. 아릴기, 페닐기, 사이클로펜타디에닐기, 알킬아릴기, 및 할로겐화 탄소고리기와 같은 탄소고리기; 및
iv. 헤테로고리기;
여기서 x와 y 모두 ≥1이고 z≥0이다.
일부 구현예에서, 제1 전구체는 식 XI, (R1R2R3R4R5Cp)x―MR0 z―[(NR1NR2)CNR3]y로 다음과 같이 나타낼 수 있다:,
(XI)
여기서 M은 Mg, Sr, Ba, Sc, Y 또는 란타나이드로 구성되는 군으로부터 선택되는 금속이고;
여기서 R0기 각각, R1기 각각, R2기 각각, R3기 각각, R4기 각각, 및 R5기 각각은 다음으로부터 독립적으로 선택될 수 있다:
i. 수소;
ii. 독립적으로 치환 또는 비치환된, 선형 및 분지형 C1~C6 알킬기, 알케닐기 및 알키닐기;
iii. 아릴기, 페닐기, 사이클로펜타디에닐기, 알킬아릴기, 및 할로겐화 탄소고리기와 같은 탄소고리기; 및
iv. 헤테로고리기;
여기서 x와 y 모두 ≥1이고 z≥0이다.
또 다른 구현예에서, 제1 전구체는 식 XII, (R1R2R3R4R5Cp)x―MR0 z―[(NR1NR2)CNR3R4]y로 다음과 같이 나타낼 수 있다:,
(XII)
여기서 M은 Mg, Sr, Ba, Sc, Y 또는 란타나이드로 구성되는 군으로부터 선택되는 금속이고;
여기서 R0기 각각, R1기 각각, R2기 각각, R3기 각각, R4기 각각, 및 R5기 각각은 다음으로부터 독립적으로 선택될 수 있다:
i. 수소;
ii. 독립적으로 치환 또는 비치환된, 선형 및 분지형 C1~C6 알킬기, 알케닐기 및 알키닐기;
iii. 아릴기, 페닐기, 사이클로펜타디에닐기, 알킬아릴기, 및 할로겐화 탄소고리기와 같은 탄소고리기; 및
iv. 헤테로고리기;
여기서 x와 y 모두 ≥1이고 z≥0이다.
일부 구현예에서, 식 VIII-XII로 나타낸 바와 같이 제1 전구체는 R0, R1, R2, R3, R4, R5, 및 R6을 포함할 수 있고, R0기 각각, R1기 각각, R2기 각각, R3기 각각, R4기 각각, R5기 각각, 및 R6기 각각은 다음으로부터 독립적으로 선택될 수 있다:
i. 수소;
ii. 독립적으로 치환 또는 비치환된, 선형 및 분지형 C1~C6 알킬기, 알케닐기 및 알키닐기;
iii. 아릴기, 페닐기, 사이클로펜타디에닐기, 알킬아릴기와 같은 탄소고리기; 및
iv. 헤테로고리기.
선택적으로, 기재된 바와 같이 제1 전구체는 개질된 사이클로펜타디에닐기를 포함할 수 있다. 일부 구현예에서, 개질된 사이클로펜타디에닐기는 Me5Cp, MeCp, EtCp, 및 Me3SiCp로 구성되는 군으로부터 선택된다. 또 다른 구현예에서, 제1 전구체는 트리이소프로필구아니디네이트(triisopropylguandinate) 리간드와 같은 음이온 또는 2음이온을 포함할 수 있다.
제2 전구체
일부 구현예에서, 제2 전구체는 산소를 포함할 수 있고 본원에서 산소 전구체, 산소 반응물, 산소 함유 전구체, 또는 산소 함유 반응물로서 지칭될 수 있다. 일부 구현예에서, 제2 전구체는 산소 분자(O2)를 포함할 수 있다. 일부 구현예에서, 제2 전구체는 O2 이외의 산소를 포함하는 화합물을 포함하지 않는다. 일부 구현예에서, 제2 전구체는 O3 또는 H2O를 포함하지 않는다. 일부 구현예에서, 제2 전구체는 플라즈마, 예컨대 산소 플라즈마를 포함하지 않는다. 일부 구현예에서, 제2 전구체는 N2, He 또는 Ar과 같은 불활성 기체를 공급받거나 이러한 불활성 기체와 혼합된다.
일부 구현예에서, 제2 전구체는 산소 분자 및, 불활성 기체 이외의 50%, 25%, 15%, 10%, 5%, 1%, 또는 0.1% 미만의 불순물을 포함한다.
제2 전구체가 산소 분자인 일부 구현예에서, O3 or H2O에 비해 이러한 제2 전구체는 유리하게는 기판 표면으로부터 퍼징을 개선할 수 있다. 일부 구현예에서, 퍼징을 개선하면 사이클 시간이 빨라질 수 있고 또한 보유 개선 및 제2 표면, 예컨대 SAM 또는 폴리머와 같은 유기물 패시베이션층을 포함하는 제2 표면에 대한 손상을 최소화 할 수 있다.
일부 구현예에서, 제2 전구체는 기판의 제2 표면을 열화시키거나 산화시키지 않는다. 예를 들어, 일부 구현예에서, 산소 분자는 증착 조건 하에서 SAM 또는 폴리머와 같은 유기물 패시베이션층을 포함하는 제2 표면을 산화시키거나 열화시키지 않는다. 즉, 일부 구현예에서, 선택적 증착 공정은 유기물 패시베이션층이 열화되거나 연소될 수 있는 온도보다 낮은 증착 온도를 가질 수 있다. 일부 구현예에서, 증착 온도는 약 450°C 이하, 약 400°C 이하, 약 350°C 이하, 약 300°C 이하일 수 있다.
실시예 1
부 구현예에 따른 ALD형 공정에 의한 마그네슘 산화물(MgO)의 증착을 다양한 기판들 상에서 비스(사이클로펜타디에닐)마그네슘(Mg(Cp)2)을 제1 전구체로 산소 분자(O2)를 제2 전구체로 사용하여 수행하였다. 증착 온도는 250°C였고 증착 사이클의 수는 각 샘플에 대해 변하였다.
도 7을 이제 참조하면, 증착된 마그네슘 산화물 박막 두께는 증착 사이클의 수가 증가함에 따라 선형적으로 증가했다는 것을 관찰하였다. 마그네슘 산화물 증착 공정의 성장율은 약 0.77 nm/사이클로 관찰되었고 증착은 매우 균일한 것으로 관찰되었다.
실시예 2
두 개의 쿠폰 기판, 자연 실리콘 산화물 표면을 갖는 기준 실리콘 쿠폰 기판, 및 각각이 자연 실리콘 산화물 표면의 일부 상에 형성된 SAM층을 포함하는 두 개의 SAM 실리콘 쿠폰 기판 상에서 마그네슘 산화물(MgO)의 증착을 수행하였다.
쿠폰 기판들의 표면에 대한 물 접촉각을 MgO층의 증착 전후에 측정하였다. 표면의 물 접촉각은 그 표면의 소수성 또는 친수성 성질을 나타낸다. 이러한 물 접촉각 측정은 SAM 쿠폰 기판들 상에 있는 SAM 층의 패시베이션 능력을 연구하기 위해 사용되었다.
도 8a에 나타낸 바와 같이, SAM층에 대한 접촉각은, MgO 증착 공정 전 105°를 초과하는 매우 높은 각이었는데, 이는 -CHx 말단을 포함하는 SAM 표면이 매우 소수성이라는 것을 나타내었다. 자연 산화물 표면은 매우 낮은 접촉각을 갖는 것으로 나타났는데, 이는 Si-OH 말단을 포함하는 표면의 친수성 성질을 나타낸다.
이후 SAM 쿠폰 기판들에 대한 MgO 증착 공정을 거쳤다. 제1 SAM 쿠폰 기판은, 일부 구현예에 따라 본원에 기재된, MgCp2를 제1 전구체로서, O2를 제2 전구체로서 포함하는 MgO 증착 공정을 거쳤다. 제2 SAM 쿠폰 기판은, 당해 기술에 공지되었고 MgCp2를 제1 전구체로 H2O를 제2 전구체로 포함하는 전형적인 MgO 증착 공정을 거쳤다.
도 8a에 나타낸 바와 같이, 제1 SAM 쿠폰 기판의 SAM층의 물 접촉각은, 일부 구현예에 따라 O2 를 제2 전구체로 포함하는 MgO 증착 공정 후 매우 높게 유지되었다. 이는 O2를 제2 전구체로 포함하는 MgO 증착 공정에 대한 SAM층의 패시베이션 특성이 바람직하다는 것을 나타내고, 이에 의해 증착 공정이 매우 선택적일 수 있도록 한다. 제2 SAM 쿠폰의 SAM층의 물 접촉각은, H2O를 제2 전구체로서 포함하는 MgO 증착 공정을 거친 후 상당히 낮았는데, 이는 SAM 패시베이션층으로서의 성능이 열악하다는 것을 나타낸다.
도 8b는 기준 쿠폰 기판 상에 증착된 MgO의 두께를 두 개의 SAM 기판 상에 증착된 MgO의 두께와 비교한다. 기준 쿠폰 기판과 H2O를 제2 전구체로서 포함하는 MgO 증착 공정을 거친 제2 SAM 기판의 경우, 증착된 MgO층의 두께는 거의 동일하였지만, 일부 구현예에 따라 O2 를 제2 전구체로서 포함하는 MgO 증착 공정을 거친 제1 SAM 쿠폰 기판 상에서 MgO층은 측정되지 않았다.
제1 SAM 쿠폰 기판을 XPS로 분석하였고, 제1 SAM 쿠폰 상에서 소량의 Mg를 검출하였지만; 검출된 Mg의 양은 O2를 제2 전구체로서 포함하는 MgO 증착 사이클의 함수로서 상당히 증가하지는 못하였고, MgO 막 두께 증가는 얼마 안 되는 25 nm까지였다.
실시예 3
자연 실리콘 산화물 표면을 갖는 제1 실리콘 쿠폰 기판, 및 자연 실리콘 산화물 표면의 일부 상에 형성된 SAM층을 포함하는 표면을 갖는 제2 실리콘 쿠폰 기판 상에서 하프늄 산화물(HfO2)의 증착을 수행하였다. 쿠폰 기판들은, 일부 구현예에 따라 본원에 기재된, TEMAH를 제1 전구체로서, O2를 제2 전구체로서 포함하는 ALD 하프늄 산화물 증착 공정을 거쳤다. 증착 온도는 285°였다.
쿠폰 기판들의 표면에 대한 물 접촉각을 HfO2 증착 공정 전후에 측정하였다. 도 9a에 나타낸 바와 같이, SAM 층에 대한 접촉각은 HfO2 증착 공정 전 약 110°로 매우 높았는데, 이는 -CHx 말단을 포함하는 SAM 표면이 매우 소수성이었다는 것을 나타낸다. 자연 산화물 표면은 매우 낮은 접촉각을 갖는 것으로 나타났는데, 이는 Si-OH 말단을 포함하는 표면의 친수성 성질을 나타낸다.
도 9a에서 더 알 수 있는 것처럼, 제2 쿠폰 기판의 SAM 표면에 대한 물 접촉각은 10 nm의 목표 두께를 가진 HfO2 증착 공정을 거친 후 100° 넘게 유지되었다. 이 결과는 SAM층이 일부 구현예에 따라 TEMAH를 제1 전구체로 O2를 제2 전구체로 포함하는 HfO2 증착 공정에 대하여 바람직한 패시베이션 특성을 가졌고, 이에 의해 선택적 HfO2 증착을 허용했다는 것을 나타내었다.
도 9b는 O2를 제2 전구체로서 포함하는 HfO2 증착 공정에 의해 제1 및 제2 쿠폰 기판 상에 증착된 HfO2의 두께를 도시한다. SAM층 상에 증착된 재료의 두께는, 목표 HfO2 두께 및 그에 따른 증착 사이클의 수가 증가했을 때 조차도 일정하게 유지되는 것으로 밝혀졌다. 자연 실리콘 산화물 표면 상의 HfO2의 두께는 예상한 바와 같이 목표 두께와 함께 선형적으로 증가했는데, 이는 HfO2의 규칙적인 증착을 나타낸다.
제2 SAM 쿠폰 기판 상에서 유사한 실험을 수행하였는데, 그 기판은 TEMAH를 제1 전구체로서 H2O를 제2 전구체로서 포함하는 당해 기술에 공지된 전형적인 HfO2 증착 공정을 거쳤다. 6 nm의 목표 두께를 가진 HfO2 증착 공정을 거친 후 SAM층에 대한 물 접촉각은 94°로 감소하였다. 이러한 HfO2 증착 공정은 285°C의 증착 온도를 가졌고 85회의 증착 사이클을 포함했다. 증착 공정에 앞서, 액상으로부터 쿠폰 기판의 자연 실리콘 산화물 표면 상에 형성된 SAM층은 107의 물 접촉각을 가졌는데, 이는 높은 품질을 나타낸다.
도 10에 도시된 바와 같이, O2를 제2 전구체로서 포함하는 증착 공정을 사용하여 제1 및 제2 쿠폰 기판 상에 증착된 HfO2 재료의 두께가 XPS로 결정되었다. 제1 쿠폰 기판의 자연 산화물 표면 상에서 XPS에 의해 검출된 Hf의 원자%는 목표 HfO2 두께가 증가함에 따라 증가했지만, 제2 쿠폰의 SAM 표면 상의 Hf의 원자%는 목표 HfO2 두께가 증가했음에도 불구하고 거의 0이었다. 이 결과는 SAM층이 일부 구현예에 따라 TEMAH를 제1 전구체로 O2를 제2 전구체로 포함하는 HfO2 증착 공정에 대하여 바람직한 패시베이션 특성을 가졌고, 이에 의해 선택적 증착을 허용했다는 것을 나타내었다.
도 11은 O2를 제2 전구체로서 포함하고 5 nm 및 7.5 nm의 목표 막 두께를 갖는 HfO2 증착 공정을 거친 후 제2 쿠폰의 SAM 표면의 원자% 조성을 도시한다. 증착 공정 후 0.1 at% 내지 0.5 at%의 단지 매우 소량의 Hf가 표면 상에서 검출되었다. SAM 표면의 일부를 제거하기 위해 SAM 표면들은 이후 15초의 약한 Ar 스퍼터링을 거쳤다. Ar 스퍼터링 후, 0.18 at% 내지 0.22 at%의 Hf가 기판 표면 상에서 검출되었다.
본원에서 사용된 바와 같이, 용어 "약(about)"은 해당 값의 15% 이내, 10% 이내, 5% 이내 또는 1% 이내인 값을 지칭할 수 있다.
용어 "막" 및 "박막"은 간략화를 위해 본원에서 사용된다. "막" 및 "박막"은 본원에 개시된 방법에 의해 증착된 임의의 연속적인 또는 비-연속적인 구조 및 재료를 의미한다. 예컨대, "막" 및 "박막"은 2D 재료, 나노막대, 나노튜브 또는 나노입자 또는 심지어는 단일 부분 또는 전체 분자층 또는 부분 또는 전체 원자층 또는 원자 및/또는 분자 클러스터를 포함할 수 있다. "막" 및 "박막"은 핀홀을 포함하는 재료 또는 층을 포함할 수 있지만 여전히 적어도 부분적으로 연속적일 수 있다.
당업자는 본 발명의 사상을 벗어나지 않고서 다수의 그리고 다양한 변형들이 만들어질 수 있음을 이해할 것이다. 설명된 형상, 구조물, 특징 및 전구체는 임의의 적합한 방식으로 결합될 수 있다. 따라서, 본 발명의 형태들은 단지 예시적인 것이며 본 발명의 범위를 한정하도록 의도된 것이 아니라는 것을 분명히 이해해야 한다. 모든 변형 및 변경은 첨부된 청구범위에 의해 정의된 본 발명의 범주에 속하는 것으로 의도된다.
특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 청구범위의 범주가 구체적으로 개시된 구현예 내지 대안적인 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형 및 등가물 너머로 연장된다는 것을 이해할 것이다.

Claims (20)

  1. 기판 상에 박막을 선택적으로 증착하기 위한 반응기로서, 상기 반응기는,
    증착 챔버;
    상기 증착 챔버에 연결된 금속 전구체원;
    상기 증착 챔버에 연결된 산소원; 및
    상기 기판이 상기 증착 챔버 내에 위치되는 동안 상기 기판의 제2 표면에 대해 상기 기판의 제1 표면 상에 상기 박막을 선택적으로 증착하기 위한 동작들을 수행하기 위해 상기 반응기를 제어하도록 구성된 제어 시스템;을 포함하며,
    상기 박막은 절연 금속 산화물을 포함하고, 상기 동작들은,
    제1 기상 전구체가 상기 기판과 접촉하도록 상기 금속 전구체원으로부터 상기 증착 챔버로 상기 제1 기상 전구체를 공급하는 단계;
    상기 제1 기상 전구체를 공급하는 단계 후에, 상기 기판으로부터 과량의 제1 기상 전구체 및/또는 반응 부산물을 제거하는 단계; 및
    상기 과량의 제1 기상 전구체 및/또는 반응 부산물을 제거하는 단계 후에, 제2 기상 전구체가 상기 기판과 접촉하도록 상기 산소원으로부터 상기 증착 챔버로 상기 제2 기상 전구체를 공급하는 단계;를 포함하며,
    상기 제2 기상 전구체는 산소 분자(O2)를 포함하며, 상기 제2 기상 전구체의 상기 산소 분자는 상기 박막을 선택적으로 증착하기 위한 상기 동작들에서 산소의 유일한 공급원인, 반응기.
  2. 청구항 1에 있어서,
    상기 제어 시스템은, 상기 박막이 400℃ 미만의 증착 온도에서 선택적으로 증착되도록 상기 반응기를 제어하도록 구성된 것을 특징으로 하는 반응기.
  3. 청구항 2에 있어서,
    상기 제어 시스템은, 상기 제2 표면에 대한 상기 제1 표면으로의 선택적 증착이 적어도 50% 선택적이도록 상기 증착 온도를 유지하고 상기 제2 기상 전구체를 공급하여 상기 제2 표면의 열화를 최소화하도록 구성된 것을 특징으로 하는 반응기.
  4. 청구항 2에 있어서,
    상기 제어 시스템은 상기 증착 온도가 225℃ 내지 400℃ 범위를 유지하도록 구성된 것을 특징으로 하는 반응기.
  5. 청구항 1에 있어서,
    상기 제어 시스템, 상기 금속 전구체원, 및 상기 산소원은 상기 절연 금속 산화물로서 마그네슘 산화물을 증착하도록 구성된 것을 특징으로 하는 반응기.
  6. 청구항 1에 있어서,
    상기 제어 시스템, 상기 금속 전구체원, 및 상기 산소원은 상기 절연 금속 산화물로서 전이 금속 산화물을 증착하도록 구성된 것을 특징으로 하는 반응기.
  7. 청구항 1에 있어서,
    상기 제어 시스템, 상기 금속 전구체원, 및 상기 산소원은 상기 절연 금속 산화물로서 알루미늄 산화물을 증착하도록 구성된 것을 특징으로 하는 반응기.
  8. 청구항 1에 있어서,
    상기 제어 시스템은, 상기 기판과 접촉하는 상기 제2 기상 전구체가 상기 제2 표면을 열화시키거나 또는 산화시키지 않도록 상기 반응기를 제어하도록 구성되며, 상기 제2 표면은 유기종을 포함하는 것을 특징으로 하는 반응기.
  9. 청구항 1에 있어서,
    상기 제어 시스템은, 상기 기판과 접촉하는 상기 제2 기상 전구체가 상기 제2 표면 아래의 하부의 금속을 노출시키지 않도록 상기 반응기를 제어하도록 구성되며, 상기 제2 표면은 유기종을 포함하는 것을 특징으로 하는 반응기.
  10. 청구항 1에 있어서,
    상기 제1 기상 전구체는 유기금속 화합물을 포함하는 것을 특징으로 하는 반응기.
  11. 청구항 10에 있어서,
    상기 제1 기상 전구체는 비스(사이클로펜타디에닐)마그네슘(Mg(Cp)2)을 포함하는 것을 특징으로 하는 반응기.
  12. 청구항 10에 있어서,
    상기 제1 기상 전구체는 란타늄 포름아미디네이트(La(FAMD)3)를 포함하는 것을 특징으로 하는 반응기.
  13. 청구항 1에 있어서,
    상기 동작들은 상기 제2 기상 전구체를 공급하는 단계 후에, 상기 기판으로부터 과량의 제2 기상 전구체 및/또는 반응 부산물을 제거하는 단계를 포함하는 것을 특징으로 하는 반응기.
  14. 청구항 1에 있어서,
    상기 제어 시스템은 상기 반응기로 하여금 상기 박막을 선택적으로 증착시키기 위해 상기 동작들을 주기적으로 반복하도록 구성된 것을 특징으로 하는 반응기.
  15. 기판 상에 박막을 선택적으로 증착하기 위한 반응기로서, 상기 반응기는,
    증착 챔버;
    상기 증착 챔버에 연결된 금속 전구체원;
    상기 증착 챔버에 연결된 산소원; 및
    상기 기판이 상기 증착 챔버 내에 위치되는 동안 상기 기판의 제2 표면에 대해 상기 기판의 제1 표면 상에 상기 박막을 선택적으로 증착하기 위한 동작들을 수행하기 위해 상기 반응기를 제어하도록 구성된 제어 시스템;을 포함하며,
    상기 박막은 마그네슘 산화물, 란타늄 산화물, 또는 하프늄 산화물 박막을 포함하고, 상기 동작들은,
    제1 기상 전구체가 상기 기판과 접촉하도록 상기 금속 전구체원으로부터 상기 증착 챔버로 상기 제1 기상 전구체를 공급하는 단계로서, 상기 제1 기상 전구체는 마그네슘, 란타늄, 또는 하프늄을 포함하는, 상기 제1 기상 전구체를 공급하는 단계;
    상기 제1 기상 전구체를 공급하는 단계 후에, 상기 기판으로부터 과량의 제1 기상 전구체 및/또는 반응 부산물을 제거하는 단계; 및
    상기 과량의 제1 기상 전구체 및/또는 반응 부산물을 제거하는 단계 후에, 제2 기상 전구체가 상기 기판과 접촉하도록 상기 산소원으로부터 상기 증착 챔버로 상기 제2 기상 전구체를 공급하는 단계;를 포함하며,
    상기 제2 기상 전구체는 산소 분자(O2)를 포함하며, 상기 제2 기상 전구체는 상기 박막을 선택적으로 증착하기 위한 상기 동작들에서 산소의 유일한 공급원인, 반응기.
  16. 청구항 15에 있어서,
    상기 제어 시스템은, 상기 박막이 400℃ 미만의 증착 온도에서 선택적으로 증착되도록 상기 반응기를 제어하도록 구성된 것을 특징으로 하는 반응기.
  17. 청구항 16에 있어서,
    상기 제어 시스템은, 상기 제2 표면에 대한 상기 제1 표면으로의 선택적 증착이 적어도 50% 선택적이도록 상기 증착 온도를 유지하고 상기 제2 기상 전구체를 공급하여 상기 제2 표면의 열화를 최소화도록 구성된 것을 특징으로 하는 반응기.
  18. 청구항 16에 있어서,
    상기 제어 시스템은, 상기 증착 온도가 225℃ 내지 400℃ 범위를 유지하도록 구성된 것을 특징으로 하는 반응기.
  19. 청구항 15에 있어서,
    상기 동작들은 상기 제2 기상 전구체를 공급하는 단계 후에, 상기 기판으로부터 과량의 제2 기상 전구체 및/또는 반응 부산물을 제거하는 단계를 포함하는 것을 특징으로 하는 반응기.
  20. 청구항 15에 있어서,
    상기 제어 시스템은 상기 반응기로 하여금 상기 박막을 선택적으로 증착시키기 위해 상기 동작들을 주기적으로 반복하도록 구성된 것을 특징으로 하는 반응기.
KR1020230036141A 2016-11-29 2023-03-20 산화물 박막의 증착을 위한 반응기 KR102626489B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/364,024 2016-11-29
US15/364,024 US11430656B2 (en) 2016-11-29 2016-11-29 Deposition of oxide thin films
KR1020170160616A KR102513600B1 (ko) 2016-11-29 2017-11-28 산화물 박막의 증착

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170160616A Division KR102513600B1 (ko) 2016-11-29 2017-11-28 산화물 박막의 증착

Publications (2)

Publication Number Publication Date
KR20230043089A KR20230043089A (ko) 2023-03-30
KR102626489B1 true KR102626489B1 (ko) 2024-01-18

Family

ID=62190963

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170160616A KR102513600B1 (ko) 2016-11-29 2017-11-28 산화물 박막의 증착
KR1020230036141A KR102626489B1 (ko) 2016-11-29 2023-03-20 산화물 박막의 증착을 위한 반응기

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020170160616A KR102513600B1 (ko) 2016-11-29 2017-11-28 산화물 박막의 증착

Country Status (4)

Country Link
US (2) US11430656B2 (ko)
JP (2) JP7050468B2 (ko)
KR (2) KR102513600B1 (ko)
TW (2) TWI804213B (ko)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) * 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
JP6568127B2 (ja) * 2017-03-02 2019-08-28 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び記録媒体
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10900120B2 (en) * 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP7101551B2 (ja) * 2018-07-02 2022-07-15 東京エレクトロン株式会社 選択的に対象膜を形成する方法およびシステム
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
JP7109397B2 (ja) * 2019-03-13 2022-07-29 東京エレクトロン株式会社 成膜方法
US11965238B2 (en) * 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
JP7227122B2 (ja) * 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
EP3919979A1 (en) 2020-06-02 2021-12-08 Imec VZW Resistless patterning mask
KR20210158811A (ko) 2020-06-24 2021-12-31 에이에스엠 아이피 홀딩 비.브이. 유기 재료의 영역 선택적 제거
KR20220039629A (ko) * 2020-09-22 2022-03-29 에이에스엠 아이피 홀딩 비.브이. 게르마늄 칼코지나이드를 포함한 층을 증착하기 위한 시스템, 소자, 및 방법
US11515154B2 (en) * 2020-10-27 2022-11-29 Applied Materials, Inc. Selective deposition of a passivation film
US20240018655A1 (en) 2020-11-19 2024-01-18 Adeka Corporation Method of producing thin-film
US20240035151A1 (en) * 2022-07-27 2024-02-01 Applied Materials, Inc. Methods of selective deposition of molybdenum

Family Cites Families (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
WO2001012731A1 (en) 1999-08-19 2001-02-22 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
JP4333900B2 (ja) 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. 磁気メモリセル、磁気構造体及び磁気素子の製造方法、並びに磁気構造体用金属層の成長方法
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR100476374B1 (ko) * 2000-12-26 2005-03-16 주식회사 하이닉스반도체 반도체소자 제조 방법
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
EP1563117B1 (en) 2002-11-15 2010-01-06 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
JP2004281479A (ja) 2003-03-13 2004-10-07 Rikogaku Shinkokai 薄膜形成方法
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8187678B2 (en) 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
WO2008136882A2 (en) 2007-02-14 2008-11-13 The Board Of Trustees Of The Leland Stanford Junior University Fabrication method of size-controlled, spatially distributed nanostructures by atomic layer deposition
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
CN103147062A (zh) 2007-09-14 2013-06-12 西格玛-奥吉奇有限责任公司 采用单环戊二烯基三烷氧基铪和锆前体通过原子层沉积制备薄膜的方法
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
EP2222889A4 (en) 2007-11-06 2010-12-29 Hcf Partners L P ATOM LOCATION SEPARATION PROCESS
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
TW201013961A (en) 2008-07-16 2010-04-01 Applied Materials Inc Hybrid heterojunction solar cell fabrication using a metal layer mask
US7951637B2 (en) 2008-08-27 2011-05-31 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
JP2012510706A (ja) 2008-12-01 2012-05-10 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 有機電子デバイス用のアノード
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
JP2010232316A (ja) 2009-03-26 2010-10-14 Fujifilm Corp 酸化亜鉛系半導体薄膜の成膜方法、及び成膜装置
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
EP2459766A1 (en) 2009-07-31 2012-06-06 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) * 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
WO2011160004A1 (en) * 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
JP5400964B2 (ja) 2010-07-01 2014-01-29 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR20190077619A (ko) 2011-06-03 2019-07-03 노벨러스 시스템즈, 인코포레이티드 상호접속을 위한 캡핑층들을 함유하는 금속 및 실리콘
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
US8883637B2 (en) * 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP2015528011A (ja) 2012-07-20 2015-09-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
CN104968724B (zh) 2013-01-31 2017-09-26 大日本印刷株式会社 电子束固化性树脂组合物、反射器用树脂框架、反射器、半导体发光装置、及成形体的制造方法
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
KR102099841B1 (ko) 2013-06-28 2020-04-13 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
MX2016002656A (es) 2013-09-20 2016-06-06 Baker Hughes Inc Materiales compuestos para uso en operaciones de estimulacion y control de arena.
CN105556644B (zh) 2013-09-27 2019-04-19 英特尔公司 通过包括限制材料层在相邻区域上方的侵蚀的选择性的化学反应来在小区域上方形成材料层
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
EP3084815A4 (en) 2013-12-19 2018-01-03 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI661072B (zh) * 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150247238A1 (en) * 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
EP3122918A4 (en) 2014-03-27 2018-03-14 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
KR20160137977A (ko) 2014-03-28 2016-12-02 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
TWI627192B (zh) 2015-03-13 2018-06-21 村田製作所股份有限公司 Atomic layer deposition inhibiting material
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
CN107533951B (zh) 2015-05-01 2021-10-26 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
WO2016204772A1 (en) 2015-06-18 2016-12-22 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
KR102662612B1 (ko) 2016-10-02 2024-05-03 어플라이드 머티어리얼스, 인코포레이티드 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積

Also Published As

Publication number Publication date
KR102513600B1 (ko) 2023-03-23
JP2022088516A (ja) 2022-06-14
KR20180061052A (ko) 2018-06-07
US20220367173A1 (en) 2022-11-17
US11430656B2 (en) 2022-08-30
TW202227653A (zh) 2022-07-16
TW202334477A (zh) 2023-09-01
KR20230043089A (ko) 2023-03-30
TW201833356A (zh) 2018-09-16
JP7300032B2 (ja) 2023-06-28
TWI804213B (zh) 2023-06-01
JP2018100446A (ja) 2018-06-28
US20180151345A1 (en) 2018-05-31
TWI759365B (zh) 2022-04-01
JP7050468B2 (ja) 2022-04-08

Similar Documents

Publication Publication Date Title
KR102626489B1 (ko) 산화물 박막의 증착을 위한 반응기
US20210351031A1 (en) Selective deposition using hydrophobic precursors
US11996284B2 (en) Formation of SiOCN thin films
US10510529B2 (en) Formation of SiOCN thin films
US10186420B2 (en) Formation of silicon-containing thin films
TWI595109B (zh) 在基板上處理氮化物薄膜的方法
CN110993482A (zh) 选择性钝化和选择性沉积
KR20180073483A (ko) 기판 상의 구조물 형성 방법
US20210225634A1 (en) FORMATION OF SiCN THIN FILMS
KR102470043B1 (ko) 알루미늄 및 질소 함유 재료의 선택적 증착
US20230098114A1 (en) Selective deposition of organic material

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant