JP7101551B2 - 選択的に対象膜を形成する方法およびシステム - Google Patents

選択的に対象膜を形成する方法およびシステム Download PDF

Info

Publication number
JP7101551B2
JP7101551B2 JP2018125837A JP2018125837A JP7101551B2 JP 7101551 B2 JP7101551 B2 JP 7101551B2 JP 2018125837 A JP2018125837 A JP 2018125837A JP 2018125837 A JP2018125837 A JP 2018125837A JP 7101551 B2 JP7101551 B2 JP 7101551B2
Authority
JP
Japan
Prior art keywords
film
substrate
forming
substrate region
target
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018125837A
Other languages
English (en)
Other versions
JP2020002452A (ja
Inventor
秀司 東雲
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2018125837A priority Critical patent/JP7101551B2/ja
Priority to TW108121061A priority patent/TWI808199B/zh
Priority to KR1020190073307A priority patent/KR102293637B1/ko
Priority to US16/453,138 priority patent/US10790138B2/en
Publication of JP2020002452A publication Critical patent/JP2020002452A/ja
Application granted granted Critical
Publication of JP7101551B2 publication Critical patent/JP7101551B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal

Description

本開示は、選択的に対象膜を形成する方法およびシステムに関する。
半導体デバイスの製造において、基板の特定の表面領域に選択的に膜を形成する技術として、フォトグラフィ技術が広く用いられている。例えば、下層配線形成後に絶縁膜を成膜し、フォトリソグラフィおよびエッチングによりトレンチおよびビアホールを有するデュアルダマシン構造を形成し、トレンチおよびビアホールにCu等の導電膜を埋め込んで配線を形成する。
しかし、近時、半導体デバイスの微細化が益々進んでおり、フォトリソグラフィ技術では位置合わせ精度が十分でない場合も生じている。
このため、フォトリソグラフィ技術を用いずに、基板の特定の領域の表面に、選択的に膜を形成する手法が求められている。そのような手法として、膜形成を望まない基板領域の表面に自己組織化単分子膜(Self-Assembled Monolayer:SAM)を形成し、SAMが形成されていない基板領域の表面のみに膜形成する技術が提案されている(例えば特許文献1~4、非特許文献1)。
特表2007-501902号公報 特表2007-533156号公報 特表2010-540773号公報 特表2013-520028号公報
Hashemi,F.S.M. et.al ACS Appl. Mater. Interfaces 2016, 8(48), pp33264-33272, November 7, 2016
本開示は、3種類以上の表面を有する基板に対し、特定の1つの表面のみに選択的に膜を形成する技術を提供する。
本開示の一実施形態に係る方法は、基板上へ対象膜を形成する方法であって、第1の基板領域と、前記第1の基板領域とは異なる材料で形成された少なくとも2種類の表面を有する第2の基板領域とを有する基板を準備することと、前記第2の基板領域への前記膜の成膜を阻害する第1の自己組織化単分子膜が吸着可能な中間膜を、前記第2の基板領域の表面に選択的に形成することと、次いで、前記中間膜の表面に選択的に前記第1の自己組織化単分子膜を吸着させることと、次いで、前記第1の基板領域の表面に選択的に前記対象膜を形成することと、を有する。
本開示によれば、3種類以上の表面を有する基板に対し、特定の1つの表面のみに選択的に膜を形成することができる。
第1の実施形態に係る選択的成膜方法を示すフローチャートである。 第1の実施形態に係る選択的成膜方法を示す工程断面図である。 第2の実施形態に係る選択的成膜方法を示すフローチャートである。 第2の実施形態に係る選択的成膜方法を示す工程断面図である。 一実施形態に係る選択的成膜方法を実施するための成膜システムの一例を示す模式図である。 図5のシステムの成膜装置およびSAM形成装置として用いることができる処理装置の一例を示す断面図である。
以下、添付図面を参照して実施形態について説明する。
<経緯および概要>
最初に、本開示の方法の経緯および概要について説明する。
SAMを用いた選択成膜は、従来、例えば、表面が金属である第1の基板領域および表面が誘電体である第2の基板領域を有する基板に対して行われる。すなわち、例えば、表面が金属である第1の基板領域に、チオール系SAMを吸着させることにより、誘電体膜の成膜を阻害させ、第2の基板領域にのみ誘電体膜を成膜する(例えば非特許文献1)。
しかし、このような手法では、基板が3種類以上の表面を有する場合、そのうち1つの表面に選択的に所望の膜を形成することは困難である。
そこで、本開示の一実施形態では、第1の基板領域と、第1の基板領域とは異なる材料で形成された少なくとも2種類の表面を有する第2の基板領域とを有する基板において、第1の基板領域の表面のみに選択的に対象膜を成膜するにあたり、以下の第1~第3工程を実施する。第1工程は、第2の基板領域への対象膜の成膜を阻害する第1のSAMが吸着可能な中間膜を、第2の基板領域の表面に選択的に成膜する工程である。第2工程は、第1工程の後、中間膜の表面に選択的に第1のSAMを吸着させる工程である。第3工程は、第2工程の後、第1の基板領域の表面に選択的に対象膜を成膜する工程である。
すなわち、本開示の一実施形態では、3種類以上の異なる表面のうち、膜形成しない第2の基板領域の複数種類の表面に対し、中間膜を形成することにより、基板の表面を2種類の表面のみを有する状態とする。この状態で、保護膜で覆われた第2の基板領域の表面に対象膜の成膜を阻害する第1のSAMを吸着させることにより、第1の基板領域の表面のみに選択的に所定の膜を形成することができる。
この場合に、第1の工程に先立って、基板に対し、第2の基板領域の表面のみに中間膜を形成することが可能となる処理を行う工程(第4工程)を実施することが好ましい。これにより、容易に中間膜を第2の基板領域表面のみに形成することができる。第4工程の例として、基板表面にプラズマ処理を施し、第1の基板領域の表面を中間膜が形成されない表面に改質する処理を挙げることができる。第4工程の他の例として、第1の基板領域の表面に選択的に吸着可能であり、かつ中間膜の成膜を阻害する機能を有する第2のSAMを第1の基板領域に吸着させる処理を挙げることができる。
<具体的な実施形態>
次に、具体的な実施形態について説明する。
[第1の実施形態]
最初に、第1の実施形態について説明する。
図1は第1の実施形態に係る選択的成膜方法を示すフローチャート、図2はその工程断面図である。
本実施形態では、複数種類の表面(アモルファスシリコン(a-Si)膜、カーボン(C)膜、SiN膜、SiO膜)を有する基板のうち、a-Si膜の表面のみに選択的に対象膜を形成する例について説明する。
まず、基体10上にa-Si膜2、C膜3、SiN膜4、SiO膜5が形成されており、a-Si膜2が形成された第1の基板領域20と、C膜3、SiN膜4、SiO膜5が形成された第2の基板領域30とを有する基板1を準備する(ステップ1、図2の(a))。すなわち、第2の基板領域30は、第1の基板領域20とは異なる材料で形成された少なくとも2種類の表面を有している。第2の基板領域30は、C膜3、SiN膜4、SiO膜5の全てが存在しなくても、これらのうち少なくとも2種であればよい。本実施形態では、このような基板1の第1の基板領域20の表面(a-Si膜2の表面)のみに対象膜として金属膜または金属窒化膜等の金属化合物膜、例えばTiN膜を成膜する。基板1としては、典型的には、半導体からなる基体10上にこれらの膜が形成された半導体基板(半導体ウエハ)が例示される。ただし、これに限るものではない。
次に、基板1の全面に、Hプラズマ処理を施す(ステップ2、図2の(b))。この処理は、a-Si膜2の表面を水素終端する処理である。この処理により、a-Si膜の表面がSi-Hとなり、次に形成される中間膜のa-Si膜2への成膜が阻害される。すなわち、この処理は、第2の基板領域30の表面のみに中間膜6を形成することが可能となる処理である。
次に、対象膜、例えばTiN膜の成膜を阻害(ブロッキング)する第1のSAMが吸着可能な中間膜6を、第2の基板領域30のC膜3、SiN膜4、およびSiO膜5の表面に選択的に成膜する(ステップ3、図2の(c))。
中間膜6としては、Al膜等の誘電体膜を用いる。Al膜の場合には、例えば、アルミニウムプリカーサとしてトリメチルアルミニウム(TMA)ガスを用い、酸化剤としてHOガスを用いてALDにより成膜することができる。このとき、a-Si膜2の表面は、水素終端されてSi-Hとなっており、第2の基板領域30の表面(C膜3、SiN膜4、SiO膜5の表面)はOHが存在しているため、OHを有する第2の基板領域30の表面のみに選択的に中間層6が成膜される。中間膜6の厚さは1nm程度で十分である。
次に、中間膜6上に選択的に第1のSAM7を吸着させる(ステップ4、図2の(d))。第1のSAM7は所定の有機化合物ガスを供給することにより形成することができる。第1のSAM7は、表面にOHを有する中間膜6の表面のみに吸着し、水素終端されたa-Si膜2の表面には吸着しない性質、および上述したように、対象膜、例えばTiN膜の成膜を阻害する性質を兼備する。
第1のSAM7を形成するための有機化合物としては、例えばシラン系化合物を挙げることができる。シラン系化合物としては、一般式R-SiCl(x=1、2、3)で表される化合物またはR′-Si(O-R)で表される化合物(シランカップリング剤)を用いることができる。ここで、R、R′はアルキル基等の官能基であり、O-Rは、加水分解可能な官能基、例えばメトキシ基、エトキシ基である。シランカップリング剤としては、例えばオクタメチルトリメトキシシラン(OTS)を挙げることができる。
次に、第1の基板領域20の表面のみに対象膜40、例えばTiN膜を成膜する(ステップ5、図2の(e))。すなわち、第1のSAM7は、対象膜40の成膜を阻害する機能を有するため、結果的に第1の基板領域20の表面(a-Si膜2の表面)のみに選択的に対象膜40、例えばTiN膜が成膜される。TiN膜は、例えば、原料ガスとしてTDMAT等の有機化合物原料ガスまたはTiClガス、反応ガスとしてNHガスを用いてALDにより成膜することができる。
ステップ5の対象膜40の成膜の際に、ターゲット膜厚まで第1のSAM7のブロッキング機能が持たない場合は、ステップ4とステップ5を繰り返す。
以上により、第1の基板領域20のa-Si膜2の表面のみに対象膜40を所定の膜厚で形成するという目的が達成される。なお、対象膜40を選択的に成膜する膜としては、a-Si膜に限らず、金属膜、例えばCu、W、Co、Ruであってもよい。
その後、第1のSAM7および中間膜6をエッチングで除去し、得ようとするデバイスに応じて、C膜3、SiN膜4、SiO膜5の表面に対して適宜の処理を施す。
従来のSAMを用いた選択成膜では、基板に3種類以上の異なる表面が存在する際に、そのうちの一つの表面のみに選択的に対象膜を成膜しようとすると、以下のような不都合が生じる。すなわち、対象膜を成膜しない表面が2種類以上ある場合、それらの面にSAMを吸着させて成膜阻害(ブロッキング)を生じさせようとすると、その成膜阻害の程度が異なり、十分な選択成膜が行われない場合が生じる。特に、カーボン膜表面への成膜を阻害するSAMとして適当な化合物が存在せず、対象膜を成膜しない表面にカーボン膜が含まれている場合には、SAMを利用した成膜阻害処理(ブロッキング処理)は困難であった。
これに対し、本実施形態では、対象膜を成膜しない2種類以上の異なる表面を有する第2の基板領域30に対し、対象膜の成膜を阻害(ブロッキング)する第1のSAM7が吸着可能な中間膜6を成膜する。これにより、基板表面が、第1のSAM7が吸着可能な中間膜6と、第1のSAM7が吸着しない第1の基板領域の表面(すなわちa-Si膜2の表面)の2種類となる。このため、中間膜6に選択的に対象膜40の成膜をブロッキングする第1のSAM7を吸着して、第1の基板領域20のみに対象膜40を成膜することができる。
また、第1の実施形態では、ステップ3の中間膜6の成膜に先立って、基板表面をHプラズマ処理するステップ2を実施することにより、第1の基板領域20のa-Si膜2の表面を水素終端して、その部分の中間膜6の成膜をブロックする。これにより、容易に中間膜6の選択成膜可能な表面を形成することができる。
<第2の実施形態>
次に、第2の実施形態について説明する。
図3は第2の実施形態に係る選択的成膜方法を示すフローチャート、図4はその工程断面図である。
本実施形態においても第1の実施形態と同様、複数種類の表面(アモルファスシリコン(a-Si)膜、カーボン(C)膜、SiN膜、SiO膜)を有する基板のうち、a-Si膜の表面のみに選択的に対象膜を成膜する例について説明する。
まず、基体10上にa-Si膜2、C膜3、SiN膜4、SiO膜5が形成されており、a-Si膜2が形成された第1の基板領域20と、C膜3、SiN膜4、SiO膜5が形成された第2の基板領域30とを有する基板1を準備する(ステップ11、図4の(a))。これは第1の実施形態のステップ1と同様であり、第2の基板領域30は、第1の基板領域20とは異なる材料で形成された少なくとも2種類の表面を有している。本実施形態においても、第2の基板領域30は、C膜3、SiN膜4、SiO膜5の全てが存在しなくても、これらのうち少なくとも2種であればよい。また、このような基板1の第1の基板領域20のa-Si膜2の表面のみに対象膜として金属膜または金属窒化膜等の金属化合物膜、例えばTiN膜を成膜する。さらに、基板1としては、典型的には、半導体からなる基体10上にこれらの膜が形成された半導体基板(半導体ウエハ)が例示される。ただし、これに限るものではない。
次に、第1の基板領域10のa-Si膜2の表面に選択的に第2のSAM8を吸着させる(ステップ12、図4の(b))。第2のSAM8は、第1の基板領域の表面(a-Si膜2の表面)に選択的に吸着可能であり、かつ中間膜6の成膜を阻害する機能を有する。第2のSAM8としては、例えば一般式R-SHで表されるチオール系化合物を用いることができる。ここで、Rは、ハロゲン原子もしくはヘテロ原子を含んでいてもよい、置換または非置換の脂肪族炭化水素基または芳香族炭化水素基である。チオール系化合物は、Si膜や金属膜の表面には吸着し、酸化物やカーボンの表面には吸着しない性質を有し、かつ、それ自体の表面にAl膜等の誘電体膜が吸着しない性質を有する。
次に、第1の実施形態のステップ2と同様、第1のSAMが吸着可能な中間膜6を、第2の基板領域30のC膜3、SiN膜4、SiO膜5表面に選択的に成膜する(ステップ13、図4の(c))。中間膜6として用いるAl膜等の誘電体膜は、第2のSAM8にブロッキングされて第2の基板領域30の表面のみに選択的に成膜される。
次に、第2のSAM8をエッチングにより除去する(ステップ14、図4(d))。
次に、第1の実施形態のステップ4と同様、中間膜6上に選択的に第1のSAM7を吸着させる(ステップ15、図4の(e))。
次に、第1の実施形態のステップ5と同様、第1の基板領域20の表面のみに対象膜40、例えばTiN膜を成膜する(ステップ16、図4の(f))。
以上により、第1の基板領域20のa-Si膜2の表面のみに対象膜40を所定の膜厚で形成するという目的が達成される。なお、対象膜40を選択的に成膜する膜としては、a-Si膜に限らず、金属膜、例えばCu、W、Co、Ruであってもよい。
その後、第1のSAM7および中間膜6をエッチングで除去し、得ようとするデバイスに応じて、C膜3、SiN膜4、SiO膜5の表面に対して適宜の処理を施す。
第2の実施形態においても、対象膜を成膜しない2種類以上の異なる表面を有する第2の基板領域30に対し、対象膜の成膜を阻害(ブロッキング)する第1のSAM7が吸着可能な中間膜6を成膜する。これにより、基板表面が、第1のSAM7が吸着可能な中間膜6と、第1のSAM7が吸着しない第1の基板領域の表面(すなわちa-Si膜2の表面)の2種類となる。このため、中間膜6に選択的に対象膜40の成膜をブロッキングする第1のSAM7を吸着して、第1の基板領域20のみに対象膜40を成膜することができる。
また、第2の実施形態では、ステップ13の中間膜6の成膜に先立って、a-Si膜に選択的に吸着可能で、かつAl等の誘電体膜からなる中間膜6の成膜を阻害する第2のSAM8を吸着するステップ12を実施する。これにより、第1の基板領域20の表面(a-Si膜2の表面)への中間膜6の吸着をブロックすることができるので、容易に第2の基板領域30のみに選択的に中間膜6を成膜することができる。
<成膜システム>
次に、本開示の一実施形態に係る選択的成膜方法を実施するためのシステムについて説明する。
本開示の一実施形態に係る選択的に膜を形成する方法は、バッチ装置、枚葉装置、セミバッチ装置のいずれの形態であってもよい。ただし、上記それぞれのステップにおいて最適な温度が異なる場合があり、また、基板の表面が酸化して表面状態が変化したときに各ステップの実施に支障をきたす場合がある。そのような点を考慮すると、各ステップを最適な温度に設定しやすく、かつ全てのステップを真空中で行うことができるマルチチャンバータイプの枚葉式成膜システムが好適である。
以下、このようなマルチチャンバータイプの枚葉式成膜システムについて説明する。
図5は、一実施形態に係る選択的成膜方法を実施するための成膜システムの一例を示す模式図である。
図5に示すように、成膜システム100は、中間膜成膜装置200、SAM形成装置300、対象膜成膜装置400、プラズマ処理装置500を有している。これら装置は、平面形状が七角形をなす真空搬送室101の4つの壁部にそれぞれゲートバルブGを介して接続されている。真空搬送室101内は、真空ポンプにより排気されて所定の真空度に保持される。すなわち、成膜システム100は、マルチチャンバータイプの真空処理システムであり、上述した選択的成膜方法を、真空を破ることなく連続して行えるものである。
中間膜成膜装置200は、基板1の第2の基板領域30に対し、ALDにより中間膜6、例えば、Al膜を成膜するものである。
SAM形成装置300は、基板1の所定の膜に、SAMを形成するための有機化合物ガスを供給して、第1のSAM7または第2のSAM8を選択的に形成するためのものである。
対象膜成膜装置400は、基板1の第1の基板領域20の膜、例えばa-Si膜2に対し、ALDにより対象膜40、例えば、TiN膜を成膜するものである。
プラズマ処理装置500は、Hプラズマによりa-Si膜2の表面を水素終端する処理や、第1のSAM7または第2のSAM8をエッチング除去する処理を行うためのものである。
真空搬送室101の他の3つの壁部には3つのロードロック室102がゲートバルブG1を介して接続されている。ロードロック室102を挟んで真空搬送室101の反対側には大気搬送室103が設けられている。3つのロードロック室102は、ゲートバルブG2を介して大気搬送室103に接続されている。ロードロック室102は、大気搬送室103と真空搬送室101との間で基板1を搬送する際に、大気圧と真空との間で圧力制御するものである。
大気搬送室103のロードロック室102取り付け壁部とは反対側の壁部には基板1を収容するキャリア(FOUP等)Cを取り付ける3つのキャリア取り付けポート105を有している。また、大気搬送室103の側壁には、基板1のアライメントを行うアライメントチャンバ104が設けられている。大気搬送室103内には清浄空気のダウンフローが形成されるようになっている。
真空搬送室101内には、第1の搬送機構106が設けられている。第1の搬送機構106は、中間膜成膜装置200、SAM形成装置300、対象膜成膜装置400、プラズマ処理装置500、ロードロック室102に対して基板1を搬送する。第1の搬送機構106は、独立に移動可能な2つの搬送アーム107a,107bを有している。
大気搬送室103内には、第2の搬送機構108が設けられている。第2の搬送機構108は、キャリアC、ロードロック室102、アライメントチャンバ104に対して基板1を搬送するようになっている。
成膜システム100は、全体制御部110を有している。全体制御部110は、CPU(コンピュータ)を有する主制御部と、入力装置(キーボード、マウス等)と、出力装置(プリンタ等)と、表示装置(ディスプレイ等)と、記憶装置(記憶媒体)とを有している。主制御部は、中間膜成膜装置200、SAM形成装置300、対象膜成膜装置400、プラズマ処理装置500、真空搬送室101、およびロードロック室102の各構成部等を制御する。全体制御部110の主制御部は、例えば、記憶装置に内蔵された記憶媒体、または記憶装置にセットされた記憶媒体に記憶された処理レシピに基づいて、成膜システム100に、上記第1の実施形態および第2の実施形態の方法を行うための動作を実行させる。なお、各装置に下位の制御部を設け、全体制御部110を上位の制御部として構成してもよい。
以上のように構成される成膜システムにおいては、第2の搬送機構108により大気搬送室103に接続されたキャリアCから基板1を取り出し、アライメントチャンバ104を経由した後に、いずれかのロードロック室102内に搬入する。そして、ロードロック室102内を真空排気した後、第1の搬送機構106により、基板1を、中間膜成膜装置200、SAM形成装置300、対象膜成膜装置400、およびプラズマ処理装置500に搬送して、第1または第2の実施形態の処理を行う。その後、必要に応じて、プラズマ処理装置500により第1のSAM7および中間膜6のエッチング除去を行う。
以上の処理が終了した後、第1の搬送機構106により基板1をいずれかのロードロック室102に搬送し、第2の搬送機構108によりロードロック室102内の基板1をキャリアCに戻す。
以上のような処理を、複数の基板1について同時並行的に行って、所定枚数の基板1の選択的成膜処理が完了する。
これらの各処理を独立した枚葉装置で行うので、各処理に最適な温度に設定しやすく、また、一連の処理を真空を破ることなく行えるので、処理の過程での酸化を抑制することができる。
<成膜処理およびSAM形成装置の例>
次に、中間膜成膜装置200、対象膜成膜装置400のような成膜装置、およびSAM形成装置300の一例について説明する。
図6は、成膜装置およびSAM形成装置として用いることができる処理装置の一例を示す断面図である。
中間膜成膜装置200、対象膜成膜装置400のような成膜装置、およびSAM形成装置300は、同様の構成を有する装置とすることができ、例えば図6に示すような処理装置600として構成することができる。
処理装置600は、気密に構成された略円筒状の処理容器601を有しており、その中には基板1を水平に支持するためのサセプタ602が、処理容器601の底壁中央に設けられた円筒状の支持部材603により支持されて配置されている。サセプタ602にはヒーター605が埋め込まれており、このヒーター605はヒーター電源606から給電されることにより基板1を所定の温度に加熱する。なお、サセプタ602には、基板1を支持して昇降させるための複数のウエハ昇降ピン(図示せず)がサセプタ602の表面に対して突没可能に設けられている。
処理容器601の天壁には、成膜またはSAM形成のための処理ガスを処理容器601内にシャワー状に導入するためのシャワーヘッド610がサセプタ602と対向するように設けられている。シャワーヘッド610は、後述するガス供給機構630から供給されたガスを処理容器601内に吐出するためのものであり、その上部にはガスを導入するためのガス導入口611が形成されている。また、シャワーヘッド610の内部にはガス拡散空間612が形成されており、シャワーヘッド610の底面にはガス拡散空間612に連通した多数のガス吐出孔613が形成されている。
処理容器601の底壁には、下方に向けて突出する排気室621が設けられている。排気室621の側面には排気配管622が接続されており、この排気配管622には真空ポンプや圧力制御バルブ等を有する排気装置623が接続されている。そして、この排気装置623を作動させることにより処理容器601内を所定の減圧(真空)状態とすることが可能となっている。
処理容器601の側壁には、真空搬送室101との間で基板1を搬入出するための搬入出口627が設けられており、搬入出口627はゲートバルブGにより開閉されるようになっている。
ガス供給機構630は、中間膜の成膜、対象膜の成膜、またはSAMの形成に必要なガスの供給源と、各供給源からガスを供給する個別配管、個別配管に設けられた開閉バルブおよびガスの流量制御を行うマスフローコントローラのような流量制御器等を有し、さらに、個別配管からのガスをガス導入口611を介してシャワーヘッド610に導くガス供給配管635を有している。
ガス供給機構630は、処理装置600が中間膜6としてAl膜のALD成膜を行う場合、例えば、原料ガスとしてTMAガス、反応ガスとしてHOガスをシャワーヘッド610に供給する。また、ガス供給機構630は、処理装置600が対象膜40としてTiN膜のALD成膜を行う場合、例えば、原料ガスとしてTDMAT等の有機化合物原料ガスまたはTiClガス、反応ガスとしてNHガスをシャワーヘッド610に供給する。さらに、ガス供給機構630は、処理装置600がSAMの形成を行う場合、SAMを形成するための化合物の蒸気を処理容器601内に供給する。また、ガス供給機構630は、パージガスや伝熱ガスとしてNガスやArガス等の不活性ガスも供給できるように構成されている。
このように構成される処理装置600においては、ゲートバルブGを開にして搬入出口627から基板1を処理容器601内に搬入し、サセプタ602上に載置する。サセプタ602はヒーター605により所定温度に加熱されており、処理容器601内に不活性ガスが導入されることによりウエハWが加熱される。そして、排気装置623の真空ポンプによりチャンバー601内を排気して、チャンバー601内の圧力を所定圧力に調整する。
次いで、処理装置600が中間膜6としてAl膜のALD成膜を行う場合、ガス供給機構630から、例えば、原料ガスとしてTMAガス、反応ガスとしてHOガスを用い、これらを、処理容器601内のパージを挟んで交互に処理容器601内に供給する。また、処理装置600が対象膜40としてTiN膜のALD成膜を行う場合、ガス供給機構630から、例えば、原料ガスとしてTDMAT等の有機化合物原料ガスまたはTiClガス、反応ガスとしてNHガスを用い、これらを、処理容器601内のパージを挟んで交互に処理容器601内に供給する。さらに、処理装置600がSAMの形成を行う場合、ガス供給機構630から、SAMを形成するための有機化合物蒸気を処理容器601内に供給する。
<他の適用>
以上、実施形態について説明したが、今回開示された実施形態は、全ての点で例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の特許請求の範囲およびその主旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。
例えば、上記実施形態では、対象膜を成膜しない第2の基板領域の表面を構成する複数の膜として、SiO膜、SiN膜、C膜を用い、第1の基板領域の表面を構成する膜としてa-Si膜(または金属膜)の場合を示したが、これに限るものではない。また、上記実施形態では、対象膜としてTiN膜の例を示したが、これに限るものでもない。さらに、中間膜の材料も誘電体膜に限るものではなく、第1の基板領域を構成する材料および対象膜に応じて適宜設定される。例えば、第1の基板領域が酸化物系膜で、対象膜が酸化物系膜であってもよく、その場合は、第2の基板領域の表面を構成する複数の膜として、Si膜や金属系膜等を用いることでき、中間膜としてもSi膜や金属系膜等を挙げることができる。さらにまた、SAMを構成する化合物としても上記実施形態の化合物に限定されることなく、選択された中間膜および対象膜に応じて、中間膜に吸着し、かつ対象膜が吸着しない化合物を選択すればよい。
さらにまた、上記実施形態では、便宜上、第1の基板領域の表面、第2の基板領域の表面が平面状の場合を示したが、これに限らず、凹部等の所定の形状を有するものであってもよい。
さらにまた、上記実施の形態では、基板として半導体基板(半導体ウエハ)を用いた場合を示したが、これに限るものではなく、ガラス基板やセラミック基板等の他の基板であってもよい。
1;基板
2;a-Si膜
3;カーボン(C)膜
4;SiN膜
5;SiO
6;中間膜(Al膜)
7;第1のSAM(シラン系化合物)
8;第2のSAM(チオール系化合物)
10;基体
20;第1の基板領域
30;第2の基板領域
40;対象膜(TiN膜)
100;成膜システム
200;中間膜成膜装置
300;SAM形成装置
400;対象膜成膜装置
500;プラズマ処理装置
600;処理装置

Claims (12)

  1. 基板上へ対象膜を形成する方法であって、
    第1の基板領域と、前記第1の基板領域とは異なる材料で形成された少なくとも2種類の表面を有する第2の基板領域とを有する基板を準備することと、
    前記第2の基板領域への前記対象膜の成膜を阻害する第1の自己組織化単分子膜が吸着可能な中間膜を、前記第2の基板領域の表面に選択的に形成することと、
    次いで、前記中間膜の表面に選択的に前記第1の自己組織化単分子膜を吸着させることと、
    次いで、前記第1の基板領域の表面に選択的に前記対象膜を形成することと、
    を有する、方法。
  2. 前記第1基板領域は、a-Si膜または金属膜を含む、請求項1に記載の方法。
  3. 前記対象膜は、金属膜または金属化合物膜である、請求項2に記載の方法。
  4. 前記対象膜は、TiN膜である、請求項3に記載の方法。
  5. 前記第2の基板領域は、SiO膜、SiN膜、カーボン膜からなる群から選択された少なくとも2種の材料が前記少なくとも2種類の表面を形成している、請求項1から請求項4のいずれか1項に記載の方法。
  6. 前記中間膜は、誘電体膜である、請求項1から請求項5のいずれか1項に記載の方法。
  7. 前記中間膜は、Al膜である、請求項6に記載の方法。
  8. 前記中間膜を形成することに先立って実施される、前記基板に対し、前記第2の基板領域の表面のみに中間膜を形成することが可能となる処理を行うことをさらに有する、請求項1から請求項7のいずれか1項に記載の方法。
  9. 前記第2の基板領域の表面のみに中間膜を形成することが可能となる処理は、前記第1の基板領域の表面に選択的に吸着可能であり、かつ中間膜の成膜を阻害する機能を有する第2の自己組織化単分子膜を、前記第1の基板領域に吸着させる処理である、請求項8に記載の方法。
  10. 前記第2の基板領域の表面のみに中間膜を形成することが可能となる処理は、前記基板表面にプラズマ処理を施し、前記第1の基板領域の表面を前記中間膜が形成されない表面に改質する処理である、請求項8に記載の方法。
  11. 基板対象膜を形成するシステムであって、
    基板を搬送するための搬送装置を有する搬送室と、
    前記搬送室に連結された、中間膜を成膜する装置と、
    前記搬送室に連結された、対象膜を成膜する装置と、
    前記搬送室に連結された、自己組織化単分子膜を吸着させる装置と、
    前記搬送装置、前記中間膜を成膜する装置、前記対象膜を成膜する装置、および前記自己組織化単分子膜を吸着させる装置を制御する制御部と、
    を具備し、
    前記制御部は、請求項1から請求項9のいずれか1項に記載の方法が実施されるように、搬送装置、前記中間膜を成膜する装置、前記対象膜を成膜する装置、および前記自己組織化単分子膜を吸着させる装置を制御する、システム。
  12. 基板対象膜を形成するシステムであって、
    基板を搬送するための搬送装置を有する搬送室と、
    前記搬送室に連結された、中間膜を成膜する装置と、
    前記搬送室に連結された、対象膜を成膜する装置と、
    前記搬送室に連結された、自己組織化単分子膜を吸着させる装置と、
    前記搬送室に連結された、プラズマ処理する装置と、
    前記搬送装置、前記中間膜を成膜する装置、前記対象膜を成膜する装置、前記自己組織化単分子膜を吸着させる装置、および前記プラズマ処理する装置を制御する制御部と、
    を具備し、
    前記制御部は、請求項10に記載の方法が実施されるように、前記搬送装置、前記中間膜を成膜する装置、前記対象膜を成膜する装置、前記自己組織化単分子膜を吸着させる装置、および前記プラズマ処理する装置を制御する、システム。
JP2018125837A 2018-07-02 2018-07-02 選択的に対象膜を形成する方法およびシステム Active JP7101551B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2018125837A JP7101551B2 (ja) 2018-07-02 2018-07-02 選択的に対象膜を形成する方法およびシステム
TW108121061A TWI808199B (zh) 2018-07-02 2019-06-18 選擇性地形成膜之方法及系統
KR1020190073307A KR102293637B1 (ko) 2018-07-02 2019-06-20 선택적으로 막을 형성하는 방법 및 시스템
US16/453,138 US10790138B2 (en) 2018-07-02 2019-06-26 Method and system for selectively forming film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2018125837A JP7101551B2 (ja) 2018-07-02 2018-07-02 選択的に対象膜を形成する方法およびシステム

Publications (2)

Publication Number Publication Date
JP2020002452A JP2020002452A (ja) 2020-01-09
JP7101551B2 true JP7101551B2 (ja) 2022-07-15

Family

ID=69054762

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018125837A Active JP7101551B2 (ja) 2018-07-02 2018-07-02 選択的に対象膜を形成する方法およびシステム

Country Status (4)

Country Link
US (1) US10790138B2 (ja)
JP (1) JP7101551B2 (ja)
KR (1) KR102293637B1 (ja)
TW (1) TWI808199B (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11738366B2 (en) 2019-01-25 2023-08-29 The Regents Of The University Of California Method of coating an object
KR20200108242A (ko) * 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7353200B2 (ja) * 2020-02-06 2023-09-29 東京エレクトロン株式会社 成膜方法
KR102513358B1 (ko) * 2020-12-17 2023-03-24 주식회사 포스코 이종코팅 강판 및 디스플레이 장치
JPWO2022138280A1 (ja) * 2020-12-25 2022-06-30
JP7339975B2 (ja) * 2021-03-18 2023-09-06 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
JPWO2022264430A1 (ja) * 2021-06-18 2022-12-22
JP2023023459A (ja) 2021-08-05 2023-02-16 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2024062634A1 (ja) * 2022-09-23 2024-03-28 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置およびプログラム
JP2024047208A (ja) * 2022-09-26 2024-04-05 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理システム、およびプログラム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007533156A (ja) 2004-04-19 2007-11-15 フリースケール セミコンダクター インコーポレイテッド 金属からなるゲート電極を形成するための方法
JP2017222928A (ja) 2016-05-31 2017-12-21 東京エレクトロン株式会社 表面処理による選択的堆積

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
KR101078309B1 (ko) * 2009-03-25 2011-10-31 포항공과대학교 산학협력단 선택적 증착법을 이용한 반도체 소자의 콘택트 형성방법
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US8664126B2 (en) * 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
WO2017192980A1 (en) * 2016-05-06 2017-11-09 Applied Materials, Inc. Selective deposition through formation of self-assembled monolayers
US11430656B2 (en) * 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
WO2019200234A1 (en) * 2018-04-13 2019-10-17 Applied Materials, Inc. Methods of selective atomic layer deposition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007533156A (ja) 2004-04-19 2007-11-15 フリースケール セミコンダクター インコーポレイテッド 金属からなるゲート電極を形成するための方法
JP2017222928A (ja) 2016-05-31 2017-12-21 東京エレクトロン株式会社 表面処理による選択的堆積

Also Published As

Publication number Publication date
US10790138B2 (en) 2020-09-29
TW202014547A (zh) 2020-04-16
JP2020002452A (ja) 2020-01-09
KR102293637B1 (ko) 2021-08-25
US20200006057A1 (en) 2020-01-02
TWI808199B (zh) 2023-07-11
KR20200004245A (ko) 2020-01-13

Similar Documents

Publication Publication Date Title
JP7101551B2 (ja) 選択的に対象膜を形成する方法およびシステム
TWI648791B (zh) Etching method
CN110581067A (zh) 蚀刻方法及蚀刻装置
CN110783188B (zh) 蚀刻方法和蚀刻装置
TWI648790B (zh) Etching method
TW201624564A (zh) 蝕刻方法
KR102571409B1 (ko) 성막 방법
JP2019062142A (ja) 選択成膜方法および半導体装置の製造方法
WO2021060111A1 (ja) 成膜方法
US20210087691A1 (en) Film forming method
JP7109397B2 (ja) 成膜方法
WO2022080153A1 (ja) 基板処理方法および基板処理装置
KR102497066B1 (ko) 성막 방법
WO2020184284A1 (ja) 成膜方法および成膜装置
KR101812900B1 (ko) 패턴 형성 방법
JP2010080737A (ja) 半導体装置の製造方法及び基板処理装置
WO2021060109A1 (ja) 成膜方法
WO2022080169A1 (ja) 埋め込み方法及び成膜装置
WO2021060110A1 (ja) 成膜方法
WO2021100427A1 (ja) 成膜方法および成膜装置
JP2007227804A (ja) 半導体装置の製造方法
KR20230098062A (ko) 에피택셜 반응기 시스템 및 이를 사용하는 방법
JP2022066687A (ja) エッチング方法およびエッチング装置
JP2022137698A (ja) 成膜方法および成膜システム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210402

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220201

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220324

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220607

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220705

R150 Certificate of patent or registration of utility model

Ref document number: 7101551

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150