KR102293637B1 - 선택적으로 막을 형성하는 방법 및 시스템 - Google Patents

선택적으로 막을 형성하는 방법 및 시스템 Download PDF

Info

Publication number
KR102293637B1
KR102293637B1 KR1020190073307A KR20190073307A KR102293637B1 KR 102293637 B1 KR102293637 B1 KR 102293637B1 KR 1020190073307 A KR1020190073307 A KR 1020190073307A KR 20190073307 A KR20190073307 A KR 20190073307A KR 102293637 B1 KR102293637 B1 KR 102293637B1
Authority
KR
South Korea
Prior art keywords
film
substrate
forming
substrate region
target
Prior art date
Application number
KR1020190073307A
Other languages
English (en)
Other versions
KR20200004245A (ko
Inventor
슈지 아즈모
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20200004245A publication Critical patent/KR20200004245A/ko
Application granted granted Critical
Publication of KR102293637B1 publication Critical patent/KR102293637B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Composite Materials (AREA)
  • Robotics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

3종류 이상의 표면을 갖는 기판에 대해, 특정 1개의 표면에만 선택적으로 막을 형성하는 기술을 제공한다.
기판 상에 대상막을 형성하는 방법은, 제1 기판 영역과, 제1 기판 영역과는 상이한 재료로 형성된 적어도 2종류의 표면을 갖는 제2 기판 영역을 갖는 상기 기판을 준비하는 것과, 제2 기판 영역에 대한 대상막의 성막을 저해하는 제1 자기 조직화 단분자막이 흡착 가능한 중간막을, 제2 기판 영역의 표면에 선택적으로 형성하는 것과, 중간막의 표면에 선택적으로 제1 자기 조직화 단분자막을 흡착시키는 것과, 제1 기판 영역의 표면에 선택적으로 대상막을 형성하는 것을 갖는다.

Description

선택적으로 막을 형성하는 방법 및 시스템{METHOD AND SYSTEM FOR SELECTIVELY FORMING FILM}
본 개시는, 선택적으로 막을 형성하는 방법 및 시스템에 관한 것이다.
반도체 디바이스의 제조에 있어서, 기판의 특정 표면 영역에 선택적으로 막을 형성하는 기술로서, 포토그래피 기술이 널리 사용되고 있다. 예를 들어, 하층 배선 형성 후에 절연막을 성막하고, 포토리소그래피 및 에칭에 의해 트렌치 및 비아 홀을 갖는 듀얼 다마신 구조를 형성하고, 트렌치 및 비아 홀에 Cu 등의 도전막을 매립하여 배선을 형성한다.
그러나, 최근, 반도체 디바이스의 미세화가 점점 진행되고 있어, 포토리소그래피 기술로는 위치 정렬 정밀도가 충분하지 않은 경우도 발생하고 있다.
이 때문에, 포토리소그래피 기술을 사용하지 않고, 기판의 특정 영역의 표면에, 선택적으로 막을 형성하는 방법이 요구되고 있다. 그와 같은 방법으로서, 막 형성을 원하지 않는 기판 영역의 표면에 자기 조직화 단분자막(Self-Assembled Monolayer : SAM)을 형성하고, SAM이 형성되어 있지 않은 기판 영역의 표면에만 막 형성하는 기술이 제안되어 있다(예를 들어 특허문헌 1 내지 4, 비특허문헌 1).
일본 특허 공표 제2007-501902호 공보 일본 특허 공표 제2007-533156호 공보 일본 특허 공표 제2010-540773호 공보 일본 특허 공표 제2013-520028호 공보
Hashemi, F.S.M. et.al ACS Appl. Mater. Interfaces 2016, 8(48), pp33264-33272, November 7, 2016
본 개시는, 3종류 이상의 표면을 갖는 기판에 대해, 특정 1개의 표면에만 선택적으로 막을 형성하는 기술을 제공한다.
본 개시의 일 실시 형태에 관한 방법은, 기판 상에 대상막을 형성하는 방법이며, 제1 기판 영역과, 상기 제1 기판 영역과는 상이한 재료로 형성된 적어도 2종류의 표면을 갖는 제2 기판 영역을 갖는 상기 기판을 준비하는 것과, 상기 제2 기판 영역에 대한 상기 대상막의 성막을 저해하는 제1 자기 조직화 단분자막이 흡착 가능한 중간막을, 상기 제2 기판 영역의 표면에 선택적으로 형성하는 것과, 상기 중간막의 표면에 선택적으로 상기 제1 자기 조직화 단분자막을 흡착시키는 것과, 상기 제1 기판 영역의 표면에 선택적으로 상기 대상막을 형성하는 것을 갖는다.
본 개시에 의하면, 3종류 이상의 표면을 갖는 기판에 대해, 특정 1개의 표면에만 선택적으로 막을 형성할 수 있다.
도 1은 제1 실시 형태에 관한 선택적 성막 방법을 설명하는 흐름도.
도 2는 제1 실시 형태에 관한 선택적 성막 방법을 도시하는 공정 단면도.
도 3은 제2 실시 형태에 관한 선택적 성막 방법을 설명하는 흐름도.
도 4는 제2 실시 형태에 관한 선택적 성막 방법을 도시하는 공정 단면도.
도 5는 일 실시 형태에 관한 선택적 성막 방법을 실시하기 위한 성막 시스템의 일례를 도시하는 모식도.
도 6은 도 5의 시스템의 성막 장치 및 SAM 형성 장치로서 사용할 수 있는 처리 장치의 일례를 도시하는 단면도.
이하, 첨부 도면을 참조하여 실시 형태에 대하여 설명한다.
<경위 및 개요>
처음에, 본 개시의 방법의 경위 및 개요에 대하여 설명한다.
SAM을 사용한 선택 성막은, 종래, 예를 들어 표면이 금속인 제1 기판 영역 및 표면이 유전체인 제2 기판 영역을 갖는 기판에 대하여 행해진다. 즉, 예를 들어 표면이 금속인 제1 기판 영역에, 티올계 SAM을 흡착시킴으로써, 유전체막의 성막을 저해시켜, 제2 기판 영역에만 유전체막을 성막한다(예를 들어 비특허문헌 1).
그러나, 이와 같은 방법에서는, 기판이 3종류 이상의 표면을 갖는 경우, 그 중 1개의 표면에 선택적으로 원하는 막을 형성하는 것은 곤란하다.
따라서, 본 개시의 일 실시 형태에서는, 제1 기판 영역과, 제1 기판 영역과는 상이한 재료로 형성된 적어도 2종류의 표면을 갖는 제2 기판 영역을 갖는 기판에 있어서, 제1 기판 영역의 표면에만 선택적으로 대상막을 성막함에 있어서, 이하의 제1 내지 제3 공정을 실시한다. 제1 공정은, 제2 기판 영역에 대한 대상막의 성막을 저해하는 제1 SAM이 흡착 가능한 중간막을, 제2 기판 영역의 표면에 선택적으로 성막하는 공정이다. 제2 공정은, 제1 공정 후, 중간막의 표면에 선택적으로 제1 SAM을 흡착시키는 공정이다. 제3 공정은, 제2 공정 후, 제1 기판 영역의 표면에 선택적으로 대상막을 성막하는 공정이다.
즉, 본 개시의 일 실시 형태에서는, 3종류 이상의 상이한 표면 중, 막을 형성하지 않는 제2 기판 영역의 복수 종류의 표면에 대해, 중간막을 형성함으로써, 기판의 표면을 2종류의 표면만을 갖는 상태로 한다. 이 상태에서, 보호막으로 덮인 제2 기판 영역의 표면에 대상막의 성막을 저해하는 제1 SAM을 흡착시킴으로써, 제1 기판 영역의 표면에만 선택적으로 소정의 막을 형성할 수 있다.
이 경우에, 제1 공정에 앞서서, 기판에 대해, 제2 기판 영역의 표면에만 중간막을 형성하는 것이 가능해지는 처리를 행하는 공정(제4 공정)을 실시할 수 있다. 이에 의해, 용이하게 중간막을 제2 기판 영역 표면에만 형성할 수 있다. 제4 공정의 예로서, 기판 표면에 플라스마 처리를 실시하여, 제1 기판 영역의 표면을 중간막이 형성되지 않는 표면으로 개질하는 처리를 들 수 있다. 제4 공정의 다른 예로서, 제1 기판 영역의 표면에 선택적으로 흡착 가능하며, 또한 중간막의 성막을 저해하는 기능을 갖는 제2 SAM을 제1 기판 영역에 흡착시키는 처리를 들 수 있다.
<구체적인 실시 형태>
다음에, 구체적인 실시 형태에 대하여 설명한다.
[제1 실시 형태]
처음에, 제1 실시 형태에 대하여 설명한다.
도 1은 제1 실시 형태에 관한 선택적 성막 방법을 설명하는 흐름도, 도 2는 제1 실시 형태에 관한 선택적 성막 방법을 도시하는 공정 단면도이다.
본 실시 형태에서는, 복수 종류의 표면(아몰퍼스 실리콘(a-Si)막, 카본(C)막, SiN막, SiO2막)을 갖는 기판 중, a-Si막의 표면에만 선택적으로 대상막을 형성하는 예에 대하여 설명한다.
먼저, 기체(10) 상에 a-Si막(2), C막(3), SiN막(4), SiO2막(5)이 형성되어 있고, a-Si막(2)이 형성된 제1 기판 영역(20)과, C막(3), SiN막(4), SiO2막(5)이 형성된 제2 기판 영역(30)을 갖는 기판(1)을 준비한다(스텝 1, 도 2의 (a)). 즉, 제2 기판 영역(30)은, 제1 기판 영역(20)의 재료와는 상이한 재료로 형성된 적어도 2종류의 표면을 갖고 있다. 제2 기판 영역(30)은, C막(3), SiN막(4), SiO2막(5) 모두가 존재하지 않더라도, C막(3), SiN막(4), SiO2막(5) 중 적어도 2종이면 된다. 본 실시 형태에서는, 이와 같은 기판(1)의 제1 기판 영역(20)의 표면(a-Si막(2)의 표면)에만 대상막으로서 금속막 또는 금속 질화막 등의 금속 화합물막, 예를 들어 TiN막을 성막한다. 기판(1)으로서는, 전형적으로는, 반도체로 이루어지는 기체(10) 상에 이들 막이 형성된 반도체 기판(반도체 웨이퍼)이 예시된다. 단, 이것에 한정되는 것은 아니다.
다음에, 기판(1)의 전체면에, H2 플라스마 처리를 실시한다(스텝 2, 도 2의 (b)). 이 처리는, a-Si막(2)의 표면을 수소 종단하는 처리이다. 이 처리에 의해, a-Si막의 표면이 Si-H로 되어, 다음에 형성되는 중간막의 a-Si막(2)에 대한 성막이 저해된다. 즉, 이 처리는, 제2 기판 영역(30)의 표면에만 중간막(6)을 형성하는 것이 가능해지는 처리이다.
다음에, 대상막, 예를 들어 TiN막의 성막을 저해(블로킹)하는 제1 SAM이 흡착 가능한 중간막(6)을, 제2 기판 영역(30)의 C막(3), SiN막(4), 및 SiO2막(5)의 표면에 선택적으로 성막한다(스텝 3, 도 2의 (c)).
중간막(6)으로서는, Al2O3막 등의 유전체막을 사용한다. Al2O3막의 경우에는, 예를 들어 알루미늄 전구체로서 트리메틸알루미늄(TMA) 가스를 사용하고, 산화제로서 H2O 가스를 사용하여 ALD에 의해 성막할 수 있다. 이때, a-Si막(2)의 표면은, 수소 종단되어 Si-H로 되어 있고, 제2 기판 영역(30)의 표면(C막(3), SiN막(4), SiO2막(5)의 표면)은 OH가 존재하고 있기 때문에, OH를 갖는 제2 기판 영역(30)의 표면에만 선택적으로 중간막(6)이 성막된다. 중간막(6)의 두께는 1㎚ 정도로 충분하다.
다음에, 중간막(6) 상에 선택적으로 제1 SAM(7)을 흡착시킨다(스텝 4, 도 2의 (d)). 제1 SAM(7)은 유기 화합물 가스를 공급함으로써 형성할 수 있다. 제1 SAM(7)은, 표면에 OH를 갖는 중간막(6)의 표면에만 흡착되고, 수소 종단된 a-Si막(2)의 표면에는 흡착되지 않는 성질, 및 상술한 바와 같이, 대상막, 예를 들어 TiN막의 성막을 저해하는 성질을 겸비한다.
제1 SAM(7)을 형성하기 위한 유기 화합물로서는, 예를 들어 실란계 화합물을 들 수 있다. 실란계 화합물로서는, 일반식 R-SiClx(x=1, 2, 3)로 표시되는 화합물 또는 R'-Si(O-R)3로 표시되는 화합물(실란 커플링제)을 사용할 수 있다. 여기서, R, R'는 알킬기 등의 관능기이며, O-R은, 가수 분해 가능한 관능기, 예를 들어 메톡시기, 에톡시기이다. 실란 커플링제로서는, 예를 들어 옥타메틸트리메톡시실란(OTS)을 들 수 있다.
다음에, 제1 기판 영역(20)의 표면에만 대상막(40), 예를 들어 TiN막을 성막한다(스텝 5, 도 2의 (e)). 즉, 제1 SAM(7)은, 대상막(40)의 성막을 저해하는 기능을 갖기 때문에, 결과적으로 제1 기판 영역(20)의 표면(a-Si막(2)의 표면)에만 선택적으로 대상막(40), 예를 들어 TiN막이 성막된다. TiN막은, 예를 들어 원료 가스로서 TDMAT 등의 유기 화합물 원료 가스 또는 TiCl4 가스, 반응 가스로서 NH3 가스를 사용하여 ALD에 의해 성막할 수 있다.
스텝 5의 대상막(40)의 성막 시에, 타깃 막 두께까지 제1 SAM(7)이 블로킹 기능을 갖지 않는 경우에는, 스텝 4와 스텝 5를 반복한다.
이상에 의해, 제1 기판 영역(20)의 a-Si막(2)의 표면에만 대상막(40)을 소망의 막 두께로 형성한다는 목적이 달성된다. 또한, 대상막(40)을 선택적으로 성막하는 막으로서는, a-Si막에 한하지 않고, 금속막, 예를 들어 Cu, W, Co, Ru여도 된다.
그 후, 제1 SAM(7) 및 중간막(6)을 에칭으로 제거하고, 얻고자 하는 디바이스에 따라서, C막(3), SiN막(4), SiO2막(5)의 표면에 대하여 적당한 처리를 실시한다.
한 예의 SAM을 사용한 선택 성막에서는, 기판에 3종류 이상의 상이한 표면이 존재할 때, 그 중 하나의 표면에만 선택적으로 대상막을 성막하고자 하면, 이하와 같은 문제가 발생한다. 즉, 대상막을 성막하지 않는 표면이 2종류 이상인 경우, 그것들의 면에 SAM을 흡착시켜 성막 저해(블로킹)를 발생시키려고 하면, 그 성막 저해의 정도가 상이하여, 충분한 선택 성막이 행해지지 않는 경우가 발생한다. 특히, 카본막 표면에 대한 성막을 저해하는 SAM으로서 적당한 화합물이 존재하지 않아, 대상막을 성막하지 않는 표면에 카본막이 포함되어 있는 경우에는, SAM을 이용한 성막 저해 처리(블로킹 처리)는 곤란하였다.
이에 반해, 본 실시 형태에서는, 대상막을 성막하지 않는 2종류 이상의 상이한 표면을 갖는 제2 기판 영역(30)에 대해, 대상막의 성막을 저해(블로킹)하는 제1 SAM(7)이 흡착 가능한 중간막(6)을 성막한다. 이에 의해, 기판 표면이, 제1 SAM(7)이 흡착 가능한 중간막(6)과, 제1 SAM(7)이 흡착되지 않는 제1 기판 영역의 표면(즉 a-Si막(2)의 표면)의 2종류가 된다. 이 때문에, 중간막(6)에 선택적으로 대상막(40)의 성막을 블로킹하는 제1 SAM(7)을 흡착하여, 제1 기판 영역(20)에만 대상막(40)을 성막할 수 있다.
또한, 제1 실시 형태에서는, 스텝 3의 중간막(6)의 성막에 앞서서, 기판 표면을 H2 플라스마 처리하는 스텝 2를 실시함으로써, 제1 기판 영역(20)의 a-Si막(2)의 표면을 수소 종단하여, 그 부분의 중간막(6)의 성막을 블로킹한다. 이에 의해, 용이하게 중간막(6)의 선택 성막 가능한 표면을 형성할 수 있다.
<제2 실시 형태>
다음에, 제2 실시 형태에 대하여 설명한다.
도 3은 제2 실시 형태에 관한 선택적 성막 방법을 설명하는 흐름도, 도 4는 제2 실시 형태에 관한 선택적 성막 방법을 도시하는 공정 단면도이다.
본 실시 형태에 있어서도 제1 실시 형태와 마찬가지로, 복수 종류의 표면(아몰퍼스 실리콘(a-Si)막, 카본(C)막, SiN막, SiO2막)을 갖는 기판 중, a-Si막의 표면에만 선택적으로 대상막을 성막하는 예에 대하여 설명한다.
먼저, 기체(10) 상에 a-Si막(2), C막(3), SiN막(4), SiO2막(5)이 형성되어 있고, a-Si막(2)이 형성된 제1 기판 영역(20)과, C막(3), SiN막(4), SiO2막(5)이 형성된 제2 기판 영역(30)을 갖는 기판(1)을 준비한다(스텝 11, 도 4의 (a)). 이것은 제1 실시 형태의 스텝 1과 마찬가지이며, 제2 기판 영역(30)은, 제1 기판 영역(20)의 재료와는 상이한 재료로 형성된 적어도 2종류의 표면을 갖고 있다. 본 실시 형태에 있어서도, 제2 기판 영역(30)은, C막(3), SiN막(4), SiO2막(5) 모두가 존재하지 않더라도, C막(3), SiN막(4), SiO2막(5) 중 적어도 2종이면 된다. 또한, 이와 같은 기판(1)의 제1 기판 영역(20)의 a-Si막(2)의 표면에만 대상막으로서 금속막 또는 금속 질화막 등의 금속 화합물막, 예를 들어 TiN막을 성막한다. 또한, 기판(1)으로서는, 전형적으로는, 반도체로 이루어지는 기체(10) 상에 이들 막이 형성된 반도체 기판(반도체 웨이퍼)이 예시된다. 단, 이것에 한정되는 것은 아니다.
다음에, 제1 기판 영역(10)의 a-Si막(2)의 표면에 선택적으로 제2 SAM(8)을 흡착시킨다(스텝 12, 도 4의 (b)). 제2 SAM(8)은, 제1 기판 영역의 표면(a-Si막(2)의 표면)에 선택적으로 흡착 가능하며, 또한 중간막(6)의 성막을 저해하는 기능을 갖는다. 제2 SAM(8)으로서는, 예를 들어 일반식 R-SH로 표시되는 티올계 화합물을 사용할 수 있다. 여기서, R은, 할로겐 원자 혹은 헤테로 원자를 포함하고 있어도 되는, 치환 또는 비치환의 지방족 탄화수소기 또는 방향족 탄화수소기이다. 티올계 화합물은, Si막이나 금속막의 표면에는 흡착되고, 산화물이나 카본의 표면에는 흡착되지 않는 성질을 갖고, 또한, 티올계 화합물의 표면에 Al2O3막 등의 유전체막이 흡착되지 않는 성질을 갖는다.
다음에, 제1 실시 형태의 스텝 2와 마찬가지로, 제1 SAM이 흡착 가능한 중간막(6)을, 제2 기판 영역(30)의 C막(3), SiN막(4), SiO2막(5) 표면에 선택적으로 성막한다(스텝 13, 도 4의 (c)). 중간막(6)으로서 사용하는 Al2O3막 등의 유전체막은, 제2 SAM(8)에 블로킹되어 제2 기판 영역(30)의 표면에만 선택적으로 성막된다.
다음에, 제2 SAM(8)을 에칭에 의해 제거한다(스텝 14, 도 4의 (d)).
다음에, 제1 실시 형태의 스텝 4와 마찬가지로, 중간막(6) 상에 선택적으로 제1 SAM(7)을 흡착시킨다(스텝 15, 도 4의 (e)).
다음에, 제1 실시 형태의 스텝 5와 마찬가지로, 제1 기판 영역(20)의 표면에만 대상막(40), 예를 들어 TiN막을 성막한다(스텝 16, 도 4의 (f)).
이상에 의해, 제1 기판 영역(20)의 a-Si막(2)의 표면에만 대상막(40)을 소망의 막 두께로 형성한다는 목적이 달성된다. 또한, 대상막(40)을 선택적으로 성막하는 막으로서는, a-Si막에 한하지 않고, 금속막, 예를 들어 Cu, W, Co, Ru여도 된다.
그 후, 제1 SAM(7) 및 중간막(6)을 에칭으로 제거하고, 얻고자 하는 디바이스에 따라서, C막(3), SiN막(4), SiO2막(5)의 표면에 대하여 적당한 처리를 실시한다.
제2 실시 형태에 있어서도, 대상막을 성막하지 않는 2종류 이상의 상이한 표면을 갖는 제2 기판 영역(30)에 대하여 대상막의 성막을 저해(블로킹)하는 제1 SAM(7)이 흡착 가능한 중간막(6)을 성막한다. 이에 의해, 기판 표면이, 제1 SAM(7)이 흡착 가능한 중간막(6)과, 제1 SAM(7)이 흡착되지 않는 제1 기판 영역의 표면(즉 a-Si막(2)의 표면)의 2종류가 된다. 이 때문에, 중간막(6)에 선택적으로 대상막(40)의 성막을 블로킹하는 제1 SAM(7)을 흡착하여, 제1 기판 영역(20)에만 대상막(40)을 성막할 수 있다.
또한, 제2 실시 형태에서는, 스텝 13의 중간막(6)의 성막에 앞서서, a-Si막에 선택적으로 흡착 가능하고, 또한 Al2O3 등의 유전체막으로 이루어지는 중간막(6)의 성막을 저해하는 제2 SAM(8)을 흡착하는 스텝 12를 실시한다. 이에 의해, 제1 기판 영역(20)의 표면(a-Si막(2)의 표면)에 대한 중간막(6)의 흡착을 블로킹할 수 있으므로, 용이하게 제2 기판 영역(30)에만 선택적으로 중간막(6)을 성막할 수 있다.
<성막 시스템>
다음에, 본 개시의 일 실시 형태에 관한 선택적 성막 방법을 실시하기 위한 시스템에 대하여 설명한다.
본 개시의 일 실시 형태에 관한 선택적으로 막을 형성하는 방법은, 뱃치 장치, 매엽 장치, 세미 뱃치 장치 중 어느 형태여도 된다. 단, 상기 각각의 스텝에 있어서 최적의 온도가 상이한 경우가 있고, 또한, 기판의 표면이 산화되어 표면 상태가 변화되었을 때 각 스텝의 실시에 지장을 초래하는 경우가 있다. 그와 같은 점을 고려하면, 각 스텝을 최적의 온도로 설정하기 쉽고, 또한 모든 스텝을 진공 중에서 행할 수 있는 멀티챔버 타입의 매엽식 성막 시스템이 사용될 수 있다.
이하, 이와 같은 멀티챔버 타입의 매엽식 성막 시스템에 대하여 설명한다.
도 5는 일 실시 형태에 관한 선택적 성막 방법을 실시하기 위한 성막 시스템의 일례를 도시하는 모식도이다.
도 5에 도시한 바와 같이, 성막 시스템(100)은, 중간막 성막 장치(200), SAM 형성 장치(300), 대상막 성막 장치(400), 플라스마 처리 장치(500)를 갖고 있다. 이들 장치는, 평면 형상이 칠각형을 이루는 진공 반송실(101)의 4개의 벽부에 각각 게이트 밸브 G를 통해 접속되어 있다. 진공 반송실(101) 내는, 진공 펌프에 의해 배기되어 소정의 진공도로 유지된다. 즉, 성막 시스템(100)은, 멀티챔버 타입의 진공 처리 시스템이며, 상술한 선택적 성막 방법을, 진공을 깨뜨리지 않고 연속하여 행할 수 있는 것이다.
중간막 성막 장치(200)는, 기판(1)의 제2 기판 영역(30)에 대해, ALD에 의해 중간막(6), 예를 들어 Al2O3막을 성막하는 것이다.
SAM 형성 장치(300)는, 기판(1)의 막에, SAM을 형성하기 위한 유기 화합물 가스를 공급하여, 제1 SAM(7) 또는 제2 SAM(8)을 선택적으로 형성하기 위한 것이다.
대상막 성막 장치(400)는, 기판(1)의 제1 기판 영역(20)의 막, 예를 들어 a-Si막(2)에 대해, ALD에 의해 대상막(40), 예를 들어 TiN막을 성막하는 것이다.
플라스마 처리 장치(500)는, H2 플라스마에 의해 a-Si막(2)의 표면을 수소 종단하는 처리나, 제1 SAM(7) 또는 제2 SAM(8)을 에칭 제거하는 처리를 행하기 위한 것이다.
진공 반송실(101)의 다른 3개의 벽부에는 3개의 로드 로크실(102)이 게이트 밸브 G1을 통해 접속되어 있다. 로드 로크실(102)을 사이에 두고 진공 반송실(101)의 반대측에는 대기 반송실(103)이 마련되어 있다. 3개의 로드 로크실(102)은, 게이트 밸브 G2를 통해 대기 반송실(103)에 접속되어 있다. 로드 로크실(102)은, 대기 반송실(103)과 진공 반송실(101) 사이에서 기판(1)을 반송할 때, 대기압과 진공 사이에서 압력 제어하는 것이다.
대기 반송실(103)의 로드 로크실(102) 설치 벽부와는 반대측의 벽부에는 기판(1)을 수용하는 캐리어(FOUP 등) C를 설치하는 3개의 캐리어 설치 포트(105)를 갖고 있다. 또한, 대기 반송실(103)의 측벽에는, 기판(1)의 얼라인먼트를 행하는 얼라인먼트 챔버(104)가 마련되어 있다. 대기 반송실(103) 내에는 청정 공기의 다운 플로우가 형성되도록 되어 있다.
진공 반송실(101) 내에는, 제1 반송 기구(106)가 마련되어 있다. 제1 반송 기구(106)는, 중간막 성막 장치(200), SAM 형성 장치(300), 대상막 성막 장치(400), 플라스마 처리 장치(500), 로드 로크실(102)에 대하여 기판(1)을 반송한다. 제1 반송 기구(106)는, 독립적으로 이동 가능한 2개의 반송 암(107a, 107b)을 갖고 있다.
대기 반송실(103) 내에는, 제2 반송 기구(108)가 마련되어 있다. 제2 반송 기구(108)는, 캐리어 C, 로드 로크실(102), 얼라인먼트 챔버(104)에 대하여 기판(1)을 반송하도록 되어 있다.
성막 시스템(100)은, 전체 제어부(110)를 갖고 있다. 전체 제어부(110)는, CPU(컴퓨터)를 갖는 주제어부와, 입력 장치(키보드, 마우스 등)와, 출력 장치(프린터 등)와, 표시 장치(디스플레이 등)와, 기억 장치(기억 매체)를 갖고 있다. 주제어부는, 중간막 성막 장치(200), SAM 형성 장치(300), 대상막 성막 장치(400), 플라스마 처리 장치(500), 진공 반송실(101), 및 로드 로크실(102)의 각 구성부 등을 제어한다. 전체 제어부(110)의 주제어부는, 예를 들어 기억 장치에 내장된 기억 매체, 또는 기억 장치에 세트된 기억 매체에 기억된 처리 레시피에 기초하여, 성막 시스템(100)에, 상기 제1 실시 형태 및 제2 실시 형태의 방법을 행하기 위한 동작을 실행시킨다. 또한, 각 장치에 하위의 제어부를 마련하고, 전체 제어부(110)를 상위의 제어부로서 구성해도 된다.
이상과 같이 구성되는 성막 시스템에 있어서는, 제2 반송 기구(108)에 의해 대기 반송실(103)에 접속된 캐리어 C로부터 기판(1)을 취출하고, 얼라인먼트 챔버(104)를 경유한 후에, 어느 로드 로크실(102) 내에 반입한다. 그리고, 로드 로크실(102) 내를 진공 배기한 후, 제1 반송 기구(106)에 의해, 기판(1)을 중간막 성막 장치(200), SAM 형성 장치(300), 대상막 성막 장치(400), 및 플라스마 처리 장치(500)로 반송하여, 제1 또는 제2 실시 형태의 처리를 행한다. 그 후, 필요에 따라, 플라스마 처리 장치(500)에 의해 제1 SAM(7) 및 중간막(6)의 에칭 제거를 행한다.
이상의 처리가 종료된 후, 제1 반송 기구(106)에 의해 기판(1)을 어느 로드 로크실(102)로 반송하고, 제2 반송 기구(108)에 의해 로드 로크실(102) 내의 기판(1)을 캐리어 C로 복귀시킨다.
이상과 같은 처리를, 복수의 기판(1)에 대하여 동시 병행적으로 행하여, 소정 매수의 기판(1)의 선택적 성막 처리가 완료된다.
이들 각 처리를 독립된 매엽 장치에서 행하므로, 각 처리에 최적의 온도로 설정하기 쉽고, 또한, 일련의 처리를 진공을 깨뜨리지 않고 행할 수 있으므로, 처리의 과정에서의 산화를 억제할 수 있다.
<성막 처리 및 SAM 형성 장치의 예>
다음에, 중간막 성막 장치(200), 대상막 성막 장치(400)와 같은 성막 장치, 및 SAM 형성 장치(300)의 일례에 대하여 설명한다.
도 6은 성막 장치 및 SAM 형성 장치로서 사용할 수 있는 처리 장치의 일례를 도시하는 단면도이다.
중간막 성막 장치(200), 대상막 성막 장치(400)와 같은 성막 장치, 및 SAM 형성 장치(300)는, 마찬가지의 구성을 갖는 장치로 할 수 있고, 예를 들어 도 6에 도시한 바와 같은 처리 장치(600)로서 구성할 수 있다.
처리 장치(600)는, 기밀하게 구성된 대략 원통형 처리 용기(601)를 갖고 있고, 처리 장치(600)의 안에는 기판(1)을 수평으로 지지하기 위한 서셉터(602)가, 처리 용기(601)의 저벽 중앙에 마련된 원통형 지지 부재(603)에 의해 지지되어 배치되어 있다. 서셉터(602)에는 히터(605)가 매립되어 있고, 이 히터(605)는 히터 전원(606)으로부터 급전됨으로써 기판(1)을 소정의 온도로 가열한다. 또한, 서셉터(602)에는, 기판(1)을 지지하여 승강시키기 위한 복수의 웨이퍼 승강 핀(도시하지 않음)이 서셉터(602)의 표면에 대하여 돌출 함몰 가능하게 마련되어 있다.
처리 용기(601)의 천장벽에는, 성막 또는 SAM 형성을 위한 처리 가스를 처리 용기(601) 내에 샤워 형상으로 도입하기 위한 샤워 헤드(610)가 서셉터(602)와 대향하도록 마련되어 있다. 샤워 헤드(610)는, 후술하는 가스 공급 기구(630)로부터 공급된 가스를 처리 용기(601) 내로 토출하기 위한 것이며, 그 상부에는 가스를 도입하기 위한 가스 도입구(611)가 형성되어 있다. 또한, 샤워 헤드(610)의 내부에는 가스 확산 공간(612)이 형성되어 있고, 샤워 헤드(610)의 저면에는 가스 확산 공간(612)에 연통한 다수의 가스 토출 구멍(613)이 형성되어 있다.
처리 용기(601)의 저벽에는, 하방을 향하여 돌출되는 배기실(621)이 마련되어 있다. 배기실(621)의 측면에는 배기 배관(622)이 접속되어 있고, 이 배기 배관(622)에는 진공 펌프나 압력 제어 밸브 등을 갖는 배기 장치(623)가 접속되어 있다. 그리고, 이 배기 장치(623)를 작동시킴으로써 처리 용기(601) 내를 소정의 감압(진공) 상태로 하는 것이 가능해지고 있다.
처리 용기(601)의 측벽에는, 진공 반송실(101)과의 사이에서 기판(1)을 반입출하기 위한 반입출구(627)가 마련되어 있고, 반입출구(627)는 게이트 밸브 G에 의해 개폐되도록 되어 있다.
가스 공급 기구(630)는, 중간막의 성막, 대상막의 성막, 또는 SAM의 형성에 필요한 가스의 공급원과, 각 공급원으로부터 가스를 공급하는 개별 배관, 개별 배관에 마련된 개폐 밸브 및 가스의 유량 제어를 행하는 매스 플로우 컨트롤러와 같은 유량 제어기 등을 갖고, 또한 개별 배관으로부터의 가스를 가스 도입구(611)를 통해 샤워 헤드(610)로 유도하는 가스 공급 배관(635)을 갖고 있다.
가스 공급 기구(630)는, 처리 장치(600)가 중간막(6)으로서 Al2O3막의 ALD 성막을 행하는 경우, 예를 들어 원료 가스로서 TMA 가스, 반응 가스로서 H2O 가스를 샤워 헤드(610)에 공급한다. 또한, 가스 공급 기구(630)는, 처리 장치(600)가 대상막(40)으로서 TiN막의 ALD 성막을 행하는 경우, 예를 들어 원료 가스로서 TDMAT 등의 유기 화합물 원료 가스 또는 TiCl4 가스, 반응 가스로서 NH3 가스를 샤워 헤드(610)에 공급한다. 또한, 가스 공급 기구(630)는, 처리 장치(600)가 SAM의 형성을 행하는 경우, SAM을 형성하기 위한 화합물의 증기를 처리 용기(601) 내에 공급한다. 또한, 가스 공급 기구(630)는, 퍼지 가스나 전열 가스로서 N2 가스나 Ar 가스 등의 불활성 가스도 공급할 수 있도록 구성되어 있다.
이와 같이 구성되는 처리 장치(600)에 있어서는, 게이트 밸브 G를 개방으로 하여 반입출구(627)로부터 기판(1)을 처리 용기(601) 내에 반입하여, 서셉터(602) 상에 적재한다. 서셉터(602)는 히터(605)에 의해 소정 온도로 가열되어 있고, 처리 용기(601) 내에 불활성 가스가 도입됨으로써 기판(1)이 가열된다. 그리고, 배기 장치(623)의 진공 펌프에 의해 챔버(601) 내를 배기하여, 챔버(601) 내의 압력을 소정 압력으로 조정한다.
계속해서, 처리 장치(600)가 중간막(6)으로서 Al2O3막의 ALD 성막을 행하는 경우, 가스 공급 기구(630)로부터, 예를 들어 원료 가스로서 TMA 가스, 반응 가스로서 H2O 가스를 사용하고, 이들을, 처리 용기(601) 내의 퍼지를 중간에 행하여 교대로 처리 용기(601) 내에 공급한다. 또한, 처리 장치(600)가 대상막(40)으로서 TiN막의 ALD 성막을 행하는 경우, 가스 공급 기구(630)로부터, 예를 들어 원료 가스로서 TDMAT 등의 유기 화합물 원료 가스 또는 TiCl4 가스, 반응 가스로서 NH3 가스를 사용하고, 이들을, 처리 용기(601) 내의 퍼지를 중간에 행하여 교대로 처리 용기(601) 내에 공급한다. 또한, 처리 장치(600)가 SAM의 형성을 행하는 경우, 가스 공급 기구(630)로부터, SAM을 형성하기 위한 유기 화합물 증기를 처리 용기(601) 내에 공급한다.
<다른 적용>
이상, 실시 형태에 대하여 설명하였지만, 위에서 개시된 실시 형태는, 모든 점에서 예시이며 제한적인 것은 아니라고 생각되어야 한다. 상기 실시 형태는, 첨부의 특허 청구 범위 및 그 주지를 일탈하지 않고, 다양한 형태로 생략, 치환, 변경되어도 된다.
예를 들어, 상기 실시 형태에서는, 대상막을 성막하지 않는 제2 기판 영역의 표면을 구성하는 복수의 막으로서, SiO2막, SiN막, C막을 사용하고, 제1 기판 영역의 표면을 구성하는 막으로서 a-Si막(또는 금속막)의 경우를 나타냈지만, 이것에 한정되는 것은 아니다. 또한, 상기 실시 형태에서는, 대상막으로서 TiN막의 예를 나타냈지만, 이것에 한정되는 것도 아니다. 또한, 중간막의 재료도 유전체막에 한정되는 것은 아니고, 제1 기판 영역을 구성하는 재료 및 대상막에 따라서 적절히 선택될 수 있다. 예를 들어, 제1 기판 영역이 산화물계 막으로, 대상막이 산화물계 막이어도 되고, 그 경우에는, 제2 기판 영역의 표면을 구성하는 복수의 막으로서, Si막이나 금속계 막 등을 사용할 수 있고, 중간막으로서도 Si막이나 금속계 막 등을 들 수 있다. 또한, SAM을 구성하는 화합물로서도 상기 실시 형태의 화합물에 한정되지 않고, 선택된 중간막 및 대상막에 따라서, 중간막에 흡착되고, 또한 대상막이 흡착되지 않는 화합물을 선택하면 된다.
또한, 상기 실시 형태에서는, 편의상, 제1 기판 영역의 표면, 제2 기판 영역의 표면이 평면형인 경우를 나타냈지만, 이것에 한정되지 않고, 오목부 등의 어느 형상을 갖는 것이어도 된다.
또한, 상기 실시 형태에서는, 기판으로서 반도체 기판(반도체 웨이퍼)을 사용한 경우를 나타냈지만, 이것에 한정되는 것은 아니고, 유리 기판이나 세라믹 기판 등의 다른 기판이어도 된다.

Claims (12)

  1. 기판 상에 대상막을 형성하는 방법이며,
    제1 기판 영역과, 상기 제1 기판 영역과는 상이한 재료로 형성된 적어도 2종류의 표면을 갖는 제2 기판 영역을 갖는 상기 기판을 준비하는 것과,
    상기 제2 기판 영역에 대한 상기 대상막의 성막을 저해하는 제1 자기 조직화 단분자막이 흡착 가능한 중간막을, 상기 제2 기판 영역의 표면에 선택적으로 형성하는 것과,
    상기 중간막의 표면에 선택적으로 상기 제1 자기 조직화 단분자막을 흡착시키는 것과,
    상기 제1 기판 영역의 표면에 선택적으로 상기 대상막을 형성하는 것
    을 포함하는 방법.
  2. 제1항에 있어서,
    상기 제1 기판 영역은, a-Si막 또는 금속막을 포함하는 방법.
  3. 제2항에 있어서,
    상기 대상막은, 금속막 또는 금속 화합물막인 방법.
  4. 제3항에 있어서,
    상기 대상막은, TiN막인 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 제2 기판 영역은, SiO2막, SiN막, 카본막으로 이루어지는 군에서 선택된 적어도 2종의 재료가 상기 적어도 2종류 이상의 표면을 형성하고 있는 방법.
  6. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 중간막은, 유전체막인 방법.
  7. 제6항에 있어서,
    상기 중간막은, Al2O3막인 방법.
  8. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 중간막을 선택적으로 형성하는 것에 앞서서 실시되는, 상기 기판에 대해, 상기 제2 기판 영역의 표면에만 상기 중간막을 형성하는 것이 가능해지는 처리를 행하는 것을 더 포함하는 방법.
  9. 제8항에 있어서,
    상기 제2 기판 영역의 표면에만 상기 중간막을 형성하는 것이 가능해지는 처리는, 상기 제1 기판 영역의 표면에 선택적으로 흡착 가능하며, 또한 상기 중간막의 성막을 저해하는 제2 자기 조직화 단분자막을, 상기 제1 기판 영역에 흡착시키는 처리인 방법.
  10. 제8항에 있어서,
    상기 제2 기판 영역의 표면에만 상기 중간막을 형성하는 것이 가능해지는 처리는, 상기 기판 표면에 플라스마 처리를 실시하여, 상기 제1 기판 영역의 표면을 상기 중간막이 형성되지 않는 표면으로 개질하는 처리인 방법.
  11. 기판에 대상막을 형성하는 시스템이며,
    상기 기판을 반송하기 위한 반송 장치를 갖는 반송실과,
    상기 반송실에 연결된, 중간막을 성막하는 장치와,
    상기 반송실에 연결된, 상기 대상막을 성막하는 장치와,
    상기 반송실에 연결된, 자기 조직화 단분자막을 흡착시키는 장치와,
    상기 반송 장치, 상기 중간막을 성막하는 장치, 상기 대상막을 성막하는 장치, 및 상기 자기 조직화 단분자막을 흡착시키는 장치를 제어하는 제어부
    를 포함하고,
    상기 제어부는, 제1항 내지 제4항 중 어느 한 항에 기재된 방법이 실시되도록, 상기 반송 장치, 상기 중간막을 성막하는 장치, 상기 대상막을 성막하는 장치, 및 상기 자기 조직화 단분자막을 흡착시키는 장치를 제어하는 시스템.
  12. 기판에 대상막을 형성하는 시스템이며,
    상기 기판을 반송하기 위한 반송 장치를 갖는 반송실과,
    상기 반송실에 연결된, 중간막을 성막하는 장치와,
    상기 반송실에 연결된, 상기 대상막을 성막하는 장치와,
    상기 반송실에 연결된, 자기 조직화 단분자막을 흡착시키는 장치와,
    상기 반송실에 연결된, 플라스마 처리하는 장치와,
    상기 반송 장치, 상기 중간막을 성막하는 장치, 상기 대상막을 성막하는 장치, 상기 자기 조직화 단분자막을 흡착시키는 장치, 및 상기 플라스마 처리하는 장치를 제어하는 제어부
    를 포함하고,
    상기 제어부는, 제10항에 기재된 방법이 실시되도록, 상기 반송 장치, 상기 중간막을 성막하는 장치, 상기 대상막을 성막하는 장치, 상기 자기 조직화 단분자막을 흡착시키는 장치, 및 상기 플라스마 처리하는 장치를 제어하는 시스템.
KR1020190073307A 2018-07-02 2019-06-20 선택적으로 막을 형성하는 방법 및 시스템 KR102293637B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018125837A JP7101551B2 (ja) 2018-07-02 2018-07-02 選択的に対象膜を形成する方法およびシステム
JPJP-P-2018-125837 2018-07-02

Publications (2)

Publication Number Publication Date
KR20200004245A KR20200004245A (ko) 2020-01-13
KR102293637B1 true KR102293637B1 (ko) 2021-08-25

Family

ID=69054762

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190073307A KR102293637B1 (ko) 2018-07-02 2019-06-20 선택적으로 막을 형성하는 방법 및 시스템

Country Status (4)

Country Link
US (1) US10790138B2 (ko)
JP (1) JP7101551B2 (ko)
KR (1) KR102293637B1 (ko)
TW (1) TWI808199B (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11738366B2 (en) * 2019-01-25 2023-08-29 The Regents Of The University Of California Method of coating an object
KR20200108242A (ko) * 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7353200B2 (ja) * 2020-02-06 2023-09-29 東京エレクトロン株式会社 成膜方法
KR102513358B1 (ko) * 2020-12-17 2023-03-24 주식회사 포스코 이종코팅 강판 및 디스플레이 장치
US20240014153A1 (en) * 2020-12-25 2024-01-11 Tokyo Electron Limited Substrate bonding system and method for substrate bonding
JP7339975B2 (ja) * 2021-03-18 2023-09-06 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
CN117121172A (zh) * 2021-06-18 2023-11-24 株式会社国际电气 半导体器件的制造方法、衬底处理方法、衬底处理装置、及程序
JP2023023459A (ja) 2021-08-05 2023-02-16 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2024062634A1 (ja) * 2022-09-23 2024-03-28 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置およびプログラム
JP2024047208A (ja) * 2022-09-26 2024-04-05 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理システム、およびプログラム

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US7030001B2 (en) 2004-04-19 2006-04-18 Freescale Semiconductor, Inc. Method for forming a gate electrode having a metal
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
KR101078309B1 (ko) * 2009-03-25 2011-10-31 포항공과대학교 산학협력단 선택적 증착법을 이용한 반도체 소자의 콘택트 형성방법
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US8664126B2 (en) * 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
WO2017192980A1 (en) * 2016-05-06 2017-11-09 Applied Materials, Inc. Selective deposition through formation of self-assembled monolayers
JP2017222928A (ja) 2016-05-31 2017-12-21 東京エレクトロン株式会社 表面処理による選択的堆積
US11430656B2 (en) * 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US20190316256A1 (en) * 2018-04-13 2019-10-17 Applied Materials, Inc. Methods Of Selective Atomic Layer Deposition

Also Published As

Publication number Publication date
JP2020002452A (ja) 2020-01-09
US10790138B2 (en) 2020-09-29
TW202014547A (zh) 2020-04-16
JP7101551B2 (ja) 2022-07-15
US20200006057A1 (en) 2020-01-02
TWI808199B (zh) 2023-07-11
KR20200004245A (ko) 2020-01-13

Similar Documents

Publication Publication Date Title
KR102293637B1 (ko) 선택적으로 막을 형성하는 방법 및 시스템
CN108493152B (zh) 创建气隙的方法
TWI648791B (zh) Etching method
CN107851594B (zh) 基板处理装置以及半导体装置的制造方法
WO2013065771A1 (ja) 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
CN110581067A (zh) 蚀刻方法及蚀刻装置
TW201624564A (zh) 蝕刻方法
TWI648790B (zh) Etching method
KR102180823B1 (ko) 선택 성막 방법 및 반도체 장치의 제조 방법
KR102571409B1 (ko) 성막 방법
US20210087691A1 (en) Film forming method
CN110783188A (zh) 蚀刻方法和蚀刻装置
JP2021127508A (ja) 成膜方法
KR102650949B1 (ko) 성막 방법 및 성막 장치
KR20210134737A (ko) 성막 방법 및 성막 장치
KR102589043B1 (ko) 성막 방법
KR102497066B1 (ko) 성막 방법
US11830741B2 (en) Method for forming film
KR20210135293A (ko) 성막 방법 및 성막 장치
TW202113966A (zh) 蝕刻方法及蝕刻裝置
JP7489786B2 (ja) 半導体装置の製造方法
US20240150895A1 (en) Film formation method and film formation system
KR20030087351A (ko) 반도체 장치의 박막 적층 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant