TW202334477A - 將薄膜沉積於基板上之反應器 - Google Patents

將薄膜沉積於基板上之反應器 Download PDF

Info

Publication number
TW202334477A
TW202334477A TW112116080A TW112116080A TW202334477A TW 202334477 A TW202334477 A TW 202334477A TW 112116080 A TW112116080 A TW 112116080A TW 112116080 A TW112116080 A TW 112116080A TW 202334477 A TW202334477 A TW 202334477A
Authority
TW
Taiwan
Prior art keywords
precursor
substrate
reactor
deposition
oxide
Prior art date
Application number
TW112116080A
Other languages
English (en)
Inventor
蘇維P 賀加
琳娜 凡艾
雷傑H 梅特羅
艾娃E 多益斯
秀美 末盛
安提 具哈尼 尼斯卡嫩
鄭昇勳
佩托 拉薩內尼
Original Assignee
荷蘭商Asm Ip私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip私人控股有限公司 filed Critical 荷蘭商Asm Ip私人控股有限公司
Publication of TW202334477A publication Critical patent/TW202334477A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02469Group 12/16 materials
    • H01L21/02472Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Abstract

本文提供將薄膜沉積於基板上之反應器。反應器包括沉積 室、連接至沉積室的金屬前驅體源、連接至沉積室的氧源以及控制系統。控制系統經構造以控制反應器進行製程,以在基板位於沉積室內時,將薄膜相對於基板之第二表面選擇性地沉積於基板之第一表面上。

Description

將薄膜沉積於基板上之反應器
本揭示內容大致係關於氣相沉積之領域,尤其係氧化物材料之循環氣相沉積。
積體電路當前係藉由複雜的製程製造,其中各種材料層以預定配置依序建構於半導體基板上。
材料於半導體基板上之預定配置通常係藉由將材料沉積於整個基板表面上,隨後自基板之預定區域移除材料來完成,諸如藉由沉積遮罩層及後續之選擇性蝕刻製程。
在某些情況中,可藉由利用選擇性蝕刻製程來減少於基板上製造積體表面所涉及的步驟數目,其中將材料相對於第二表面選擇性地沉積於第一表面上,而不需要後續的處理,或減少對後續處理的需求。在各種情況中,選擇性可有用於區分在相同部分之不同表面上的沉積量,或區分在不同部分上的沉積。
無論係經選擇性形成或毯覆式沉積,氧化物材料皆有用於各種情況,包括半導體製造。在許多情況中,其有用於形成氧化 物的均勻薄層。
根據一些態樣,揭示將薄膜選擇性地沉積於基板上之反應器。反應器可包括沉積室、連接至沉積室的金屬前驅體源、連接至沉積室的氧源以及控制系統。在一些具體例中,控制系統可經構造以控制反應器進行製程,以在基板位於沉積室內時,將薄膜相對於基板之第二表面選擇性地沉積於基板之第一表面上。在一些具體例中,薄膜可包含絕緣金屬氧化物。在一些具體例中,製程可包括:使基板與來自金屬前驅體源的第一前驅體接觸;在基板與第一前驅體接觸之後,從基板移除過剩第一前驅體及/或反應物副產物;以及在移除過剩第一前驅體及/或反應物副產物之後,從氧源供給第二前驅體,使得第二前驅體接觸基板,其中第二前驅體包括分子氧(O2)。
在一些具體例中,第二前驅體可不包括其他的含氧化合物。在一些具體例中,第二表面可包括選自由自組裝單層(SAM)及聚合物所組成之群的有機物種。在一些具體例中,控制系統可經構造以控制反應器,使得薄膜在小於約400℃的沉積溫度下選擇性地沉積。在一些具體例中,控制系統可經構造以將沉積溫度保持在約225℃至約400℃的範圍內。
在一些具體例中,控制系統、金屬前驅體源和氧源可經構造以沉積氧化鎂作為絕緣金屬氧化物。在一些具體例中,控制系 統、金屬前驅體源和氧源可經構造以沉積過渡金屬氧化物作為絕緣金屬氧化物。在一些具體例中,控制系統、金屬前驅體源和氧源可經構造以沉積氧化鋁作為絕緣金屬氧化物。在一些具體例中,控制系統可經構造以控制反應器,使得接觸基板的第二前驅體不會使第二表面降解或氧化,其中第二表面可包括有機物種。在一些具體例中,控制系統可經構造以控制反應器,使得接觸基板的第二前驅體不暴露第二表面下方的下層金屬,其中第二表面可包括有機物種。在一些具體例中,第一前驅體可包括有機金屬化合物。在一些具體例中,第一前驅體可包括鎂、鑭、鉿、鋯、鋁、釔或鈧。
在一些具體例中,製程可包括在供給第二前驅體之後,從基板移除過剩第二前驅體及/或反應物副產物。在一些具體例中,控制系統可經構造以使反應器循環地重複製程以選擇性地沉積薄膜。在一些具體例中,在製程中,第二前驅體可在接觸基板之前未經激發以選擇性地沉積薄膜。
根據一些態樣,揭示將薄膜選擇性地沉積於基板上之反應器。在一些具體例中,反應器可包括沉積室、連接至沉積室的金屬前驅體源、連接至沉積室的氧源以及控制系統。在一些具體例中,控制系統可經構造以控制反應器進行製程,以在基板位於沉積室內時,將薄膜相對於基板之第二表面選擇性地沉積於基板之第一表面上。在一些具體例中,薄膜可包含氧化鎂、氧化鑭、或氧化鉿薄膜。在一些具體例中,製程可包括:使基板與來自金屬前驅體源的第一前驅體接觸,其中第一前驅體包括鎂、鑭、或鉿;在基板 與第一前驅體接觸之後,使基板暴露至沖洗氣體及/或真空;以及在暴露基板至沖洗氣體及/或真空之後,從氧源供給第二前驅體,使得第二前驅體接觸基板,其中第二前驅體包括分子氧(O2)。
在一些具體例中,第二表面可包括選自由自組裝單層(SAM)及聚合物所組成之群的有機物種。在一些具體例中,控制系統可經構造以控制反應器,使得薄膜在小於約400℃的沉積溫度下選擇性地沉積。在一些具體例中,控制系統可經構造以控制反應器,使得接觸基板的第二前驅體不會使第二表面降解或氧化,其中第二表面包括有機物種。在一些具體例中,第二前驅體可不包括其他的含氧化合物。
100:沉積製程
120、130、140、150、160、170:方塊
200:循環沉積製程
220、230、240、250、260、270:方塊
300:ALD類型沉積製程
320、330、340、350、360、370:方塊
400:ALD類型沉積製程
420、430、440、450、460、470:方塊
500:基板
510:第一表面
520:第二表面
522:有機鈍化層
530:氧化物材料
600:反應器
610:沉積室或反應空間
620:控制系統
622:處理器
624:記憶體
630:第一金屬前驅體源
640:氧源
由實施方式及由附圖將更佳地理解本發明,其等意欲說明而非限制本發明,且其中:
圖1係大致繪示用於沉積氧化物膜之製程的製程流程圖。
圖2係大致繪示用於沉積氧化鎂膜之製程的製程流程圖。
圖3係大致繪示用於沉積氧化鑭膜之製程的製程流程圖。
圖4係大致繪示用於沉積氧化鉿膜之製程的製程流程圖。
圖5A係大致繪示包括第一表面、第二表面、及鈍化層之基板的示意圖。
圖5B係大致繪示在進行選擇性沉積製程後包括第一表面、第二表面、及鈍化層之基板的示意圖。
圖6係大致繪示經構造以執行文中所述製程之反應器的示意圖。
圖7係顯示針對根據一些具體例沉積之氧化鎂膜,氧化鎂膜厚度作為沉積循環數目之函數的曲線圖。
圖8A係比較自組裝單層(self-assembled monolayer;SAM)於進行各種沉積製程之前及之後之水接觸角的長條圖。
圖8B係比較針對包括O2作為第二前驅體之根據一些具體例之沉積製程及包括H2O作為第二前驅體之沉積製程,在第一天然氧化矽表面上相對於包含SAM之第二表面之沉積MgO膜厚度的長條圖。
圖9A係顯示在進行根據一些具體例之HfO2沉積製程後,第一天然氧化矽表面及包含SAM之第二表面之水接觸角測量作為目標HfO2膜厚度之函數的曲線圖。
圖9B係顯示在第一天然氧化矽表面及包含SAM之第二表面上之沉積HfO2膜厚度作為目標HfO2膜厚度之函數的曲線圖。
圖10係顯示針對根據一些具體例包括O2作為第二前驅體之沉積製程,經由X射線光電子光譜術(X-ray photoelectron spectroscopy;XPS)檢測得之Hf之量(原子%)作為沉積HfO2目標膜厚度之函數的曲線圖。
圖11繪示包括SAM之基板表面在進行根據一些具體例之包括O2作為第二前驅體之HfO2沉積製程之後及在隨後進行Ar濺鍍之後的組成(原子%)。
在氧化物薄膜之情況中,可使用諸如原子層沉積(atomic layer deposition;ALD)及化學氣相沉積(chemical vapor deposition;CVD)之氣相沉積製程來達成沉積。通常,此等製程包括含氧反應物,諸如臭氧(O3)、含氧電漿、或水(H2O),然而在選擇性沉積製程中,O3或H2O反應物之濃度可能難以控制且O3或H2O反應物可能難以自反應腔室移除。此外,O3或氧電漿,例如,會破壞或氧化其上不期望沉積的第二表面或鈍化層。
根據本揭示內容之一些態樣,可使用選擇性沉積來在基板之第一表面上相對於第二表面沉積氧化物材料。在一些具體例中,選擇性沉積製程可係氣相沉積製程,例如,利用在基板表面與一或多種前驅體或反應物之間之化學反應的沉積製程,例如原子層沉積類型製程。在一些具體例中,氣相沉積製程可係熱沉積製程。在一些具體例中,選擇性沉積製程可係循環沉積製程,例如ALD製程或循環CVD製程。在一些具體例中,選擇性沉積製程可包括包含分子O2之含氧反應物。在一些具體例中,經選擇性沉積之氧化物材料可包括氧化物薄膜。在一些具體例中,經選擇性沉積之氧化物材料可包括金屬氧化物,例如介電或絕緣金屬氧化物諸如氧化鑭、氧化鉿、氧化鋯、氧化鎂、過渡金屬氧化物、或氧化鋁。在一些具體例中,經沉積之金屬氧化物材料不包含實質量(若有的話)的貴金屬,諸如Ru。在一些具體例中,經沉積之金屬氧化物 材料實質上不為傳導性。在一些具體例中,經沉積之金屬氧化物材料具有大於約10,000μΩ.cm,或大於約1,000,000μΩ.cm之電阻率。
在一些具體例中,第一表面可包含金屬或半金屬材料。在一些具體例中,第一表面可包含金屬材料。在一些具體例中,第一表面可包含氧化金屬或金屬材料。例如,第一表面可包含已於其表面上經氧化之金屬或金屬材料,包括,但不限於,諸如金屬氮化物、金屬矽化物、金屬碳化物、或其混合物之材料。在一些具體例中,第一表面包含一或多種過渡金屬。在一些具體例中,第一表面包含Al、Cu、Co、Ni、W、Nb、Fe中之一或多者。在一些具體例中,第一表面可包含一或多種貴金屬,諸如Ru。在一些具體例中,第一表面可包含Zn、Fe、Mn、或Mo。在一些具體例中,第一表面可包含介電材料。在一些具體例中,第一表面可包含半導體或金屬氧化物、氮化物、碳化物、硼化物、矽酸鹽、或其組合。例如,第一表面可包含RuOx、NbCx、NbBx、NiOx、CoOx、NbOx、WNCx、TaN、或TiN中之一或多者。
在一些具體例中,第一表面可包含半導體材料。在一些具體例中,第一表面可包含矽及/或鍺。例如,第一表面可包含氮化矽、氧化矽、碳化矽、或氧化鍺。在一些具體例中,第一表面可包含二氧化矽表面。在一些具體例中,第一表面可包含兩種或更多種以上列舉之材料的混合物。
在一些具體例中,氧化物材料係相對於基板之第二、不同 表面選擇性地沉積於基板之第一表面上。在一些具體例中,第二表面可包含有機表面。在一些具體例中,第二表面可包含聚合物表面。例如,在一些具體例中,第二表面可包含聚醯亞胺、聚醯胺、聚苯乙烯、聚脲、或其他此種聚合物。在一些具體例中,聚合物可包括二聚體、三聚體、聚胺基甲酸酯、聚硫脲、聚酯、或聚亞胺。在一些具體例中,有機表面可包含其他聚合形式或以上材料之混合物。在一些具體例中,第二有機表面包含石墨烯或另一形式的碳。在一些具體例中,有機材料可包含非晶形碳。在一些具體例中,非晶形碳可包含氫。在一些具體例中,第二有機表面包含光阻劑材料。在一些具體例中,表面不存在(例如)來自大氣的烴污染。
在一些具體例中,第二表面可包含定向自組裝層(directed self-assembled layer;DSA),諸如嵌段共聚物層。在一些具體例中,第二表面可包含自組裝單層(SAM)。例如,在一些具體例中,第二表面可包含三氯(十八烷基)矽烷SAM。在一些具體例中,第二表面可於選擇性沉積期間充作鈍化層。在一些具體例中,第二表面可包含有機鈍化材料,例如第二表面可包含苯并三唑(benzotriazole;BTA)。在一些具體例中,第二表面可包含可於選擇性沉積期間用來鈍化第二表面的有機物種。在一些具體例中,第二表面可不為連續或封閉層。在一些具體例中,第二表面可包含抗蝕劑,諸如光阻劑。換言之,在一些具體例中,第二表面可包含可被用於(例如)光微影或光刻製程中之光敏感性材料。例如,在一些具體例中,第二表面可包含可被用於極紫外微影製程中之光阻 劑,或者稱為EUV抗蝕劑。在一些具體例中,第二表面可包含可被用於浸漬微影製程中之光阻劑,例如可被用於使用193nm(奈米)波長光之浸漬微影製程中之光阻劑,或者稱為193i抗蝕劑。
在一些具體例中,可將第二材料(諸如鈍化層、有機物種或材料、及/或SAM)沉積於基板之第一表面之一部分上,以形成第二、不同表面。在一些具體例中,第二表面可包含有機材料或有機物種且第一表面可不包含有機材料或有機物種。
在第二表面包含有機表面(諸如鈍化層)的一些具體例中,選擇性沉積製程可移除或降解低於約40%、低於約30%、低於約20%、低於約10%、低於約5%、或低於約1%的有機表面,其藉由有機表面之厚度所量測。在一些具體例中,選擇性沉積製程可實質上不將任何材料自有機表面移除或降解。在一些具體例中,相較於包括O3、含氧電漿、及/或H2O作為含氧反應物之類似沉積製程,有機第二表面(諸如鈍化層)可實質上不被包括O2作為含氧反應物之選擇性沉積製程移除或降解。
選擇性
選擇性可被給定為藉由[(於第一表面上之沉積)-(於第二表面上之沉積)]/(於第一表面上之沉積)所計算之百分比。沉積可以各種方式的任何方式測量。在一些具體例中,可將沉積給定為沉積材料之測量厚度。在一些具體例中,可將沉積給定為所沉積材料之測量量。
在一些具體例中,當將沉積測量為所沉積材料之厚度且 選擇性為在不同表面上之厚度的比率時,選擇性係大於約10%、大於約50%、大於約75%、大於約85%、大於約90%、大於約93%、大於約95%、大於約98%、大於約99%或甚至大於約99.5%。在文中所述之具體例中,選擇性可隨沉積之持續時間或厚度改變。
在沉積係以所沉積材料之量測量且選擇性為沉積於不同表面上之材料量的比率的一些具體例中,選擇性係大於約10%、大於約50%、大於約75%、大於約85%、大於約90%、大於約93%、大於約95%、大於約98%、大於約99%或甚至大於約99.5%。在文中所述之具體例中,選擇性可隨持續時間或在沉積過程內沉積之材料量改變。
在一些具體例中,沉積僅發生在第一表面上而不發生在第二表面上。在一些具體例中,在基板之第一表面上相對於基板之第二表面的沉積係至少約80%選擇性,其對於一些特定應用可能係足夠的選擇性。在一些具體例中,在基板之第一表面上相對於基板之第二表面的沉積係至少約50%選擇性,其對於一些特定應用可能係足夠的選擇性。在一些具體例中,在基板之第一表面上相對於基板之第二表面的沉積係至少約10%選擇性,其對於一些特定應用可能係足夠的選擇性。熟悉技藝人士當明瞭即使係於沉積中之部分選擇性亦可於所得層中輕易地提供完全選擇性。例如,若將20nm之材料沉積於第一表面上,而僅將1nm沉積於第二表面上,則後續的短暫蝕刻(例如,各向同性濕蝕刻)可自第二表面上移除所有材料,而僅自第一表面上移除些許百分比的材料。另外或替代 地,當第二表面包含鈍化層以最小化其上的沉積時,移除鈍化層可底切及移除任何其上的沉積材料。
在一些具體例中,選擇性地沉積於基板之第一表面上的氧化物膜可具有小於約50nm、小於約20nm、小於約10nm、小於約5nm、小於約3nm、小於約2nm、或小於約1nm之厚度,同時沉積於基板之第一表面上相對於基板之第二表面的材料比率可係大於或等於約2:1、大於或等於約20:1、大於或等於約15:1、大於或等於約10:1、大於或等於約5:1、大於或等於約3:1、或大於或等於約2:1。
在一些具體例中,包括O2作為含氧反應物之循環選擇性沉積製程可具有高於期望值之起始選擇性,例如針對第一沉積循環高於50%選擇性。在一些具體例中,相較於包括O3、含氧電漿、及/或H2O作為含氧反應物之類似沉積製程,對於更多個後續沉積循環,包括O2作為含氧反應物之循環選擇性沉積製程可維持高於期望值的選擇性,例如高於50%選擇性。在一些具體例中,對於較包括O3、含氧電漿、及/或H2O作為含氧反應物之類似沉積製程多25%循環、多50%循環、多75%循環、或多100%循環或更多循環,包括O2作為含氧反應物之循環選擇性沉積製程可維持高於期望值的選擇性。
在一些具體例中,相較於包括O3、含氧電漿、及/或H2O作為含氧反應物之類似選擇性沉積製程,對於一或多個沉積循環,包括O2作為含氧反應物之循環選擇性沉積製程可能能夠達成較高 程度的選擇性。在一些具體例中,包括O2作為含氧反應物之選擇性沉積製程可具有較包括O3、含氧電漿、及/或H2O作為含氧反應物之類似選擇性沉積製程大25%、大50%、75%、大100%、或更大的選擇性。
原子層沉積類型製程
原子層沉積(ALD)類型製程係基於前驅體化學物質、或反應物之受控、自限表面反應。藉由交替地及依序地使基板與前驅體接觸來避免氣相反應。氣相反應物(例如)藉由在反應物脈衝之間自相關基板表面移除過剩反應物及/或反應物副產物而於基板表面上彼此分開。在一些具體例中,使一或多個基板表面交替及依序地與兩種或更多種氣相前驅體、或反應物接觸。使基板表面與氣相反應物接觸意指使反應物蒸氣與基板表面接觸達有限的時段。換言之,可理解基板表面暴露至各氣相反應物持續有限的時段。
簡言之,使包括至少第一表面及第二、不同表面之基板一般於降低壓力下加熱至適宜沉積溫度。沉積溫度一般係保持低於反應物之熱分解溫度,但在足夠高的值下,以避免反應物凝結並提供用於期望表面反應之活化能。當然,用於任何給定ALD反應之適宜溫度窗將視表面終端及所涉及的反應物物種而定。在此,溫度係視所使用之前驅體而改變且一般在約700℃或低於約700℃,在一些具體例中,沉積溫度就熱ALD而言一般在約100℃或高於約100℃,在一些具體例中,沉積溫度係在約150℃與約350℃之間,及在一些具體例中,沉積溫度係在約175℃與約300℃之間。
使基板表面與氣相第一反應物或前驅體接觸。在一些具體例中,將氣相第一反應物之脈衝提供至容納基板之反應空間(例如,時間分割ALD)。在一些具體例中,使基板移動至容納氣相第一反應物之反應空間(例如,空間分割ALD)。可選擇條件,使得不超過約一個單層的第一反應物以自限方式吸附於基板之第一表面上。然而,在一些配置中,混合式CVD/ALD、或循環CVD製程可容許將不同的相互反應性反應物重疊於基板上且因此可於每循環產生多於一單層。適宜的接觸時間可由熟悉技藝人士基於特定情勢輕易地決定。若有的話,過剩的第一反應物及反應副產物係諸如藉由以惰性氣體沖洗或藉由自第一反應物之存在下移除基板而自基板表面移除。
對於最小化或避免反應物間之重疊的ALD製程而言,諸如藉由以真空泵抽空腔室及/或藉由沖洗(例如,以諸如氬或氮之惰性氣體置換反應器內部之氣體)來將氣相前驅體及/或氣相副產物自基板表面移除。反應物之供給至基板表面通常在移除期間內停止,且可於移除期間內轉向至不同腔室或至真空泵。典型的移除時間係自約0.05至20秒、自約1至10秒、或自約1至2秒。然而,若需要,可利用其他移除時間,諸如當需要在極高縱橫比結構或其他具有複雜表面形態之結構上方之高度仿形階梯覆蓋率時。
使基板表面與氣相第二氣態反應物或前驅體接觸。在一些具體例中,將第二氣態反應物之脈衝提供至容納基板之反應空 間。在一些具體例中,將基板移動至容納氣相第二反應物之反應空間。若有的話,將過剩的第二反應物及表面反應之氣態副產物自基板表面移除。重複接觸及移除,直至已將期望厚度之薄膜選擇性地形成於基板之第一表面上,其中各循環留下不多於約一分子單層。可納入包括使基板表面交替及依序地與其他反應物接觸之額外階段來形成更複雜的材料,諸如三元材料。
如前所述,就ALD製程而言,各循環之各階段可係自限性。於各階段中供應過量的反應物前驅體來使敏感的結構表面(例如基板的第一表面)飽和。表面飽和確保反應物佔據所有可用的反應性位點(例如,受到物理尺寸或「立體阻礙」限制)且因此確保優良的階梯覆蓋率。通常,藉由各循環沉積少於一個材料分子層,然而,於一些具體例中,於循環期間沉積多於一個分子層。
移除過剩反應物可包括抽空反應空間之一些內容物及/或以氦、氮、氬或另一惰性氣體沖洗反應空間。於一些具體例中,沖洗可包括關閉反應性氣體之流動同時使惰性載氣繼續流動至反應空間。
基板可包括各種類型的材料。當製造積體電路時,基板通常包括若干具有不同化學及物理性質之薄膜。例如且無限制地,基板可包括第一層及至少第二、不同層。於一些具體例中,第二、不同層可為鈍化或封阻層。於一些具體例中,第二、不同層可為有機層。
於ALD類型製程中使用之前驅體在標準條件(室溫及 大氣壓力)下可為固體、液體或氣態材料,其限制條件為該等前驅體在其與基板表面接觸之前係呈氣相。使基板表面與汽化前驅體接觸意謂使前驅體蒸氣與基板表面接觸達有限時段。通常,接觸時間係自約0.05至10秒。然而,視基板類型、其表面積、及/或腔室的大小而定,接觸時間可甚至高於10秒。接觸時間在一些情況中可在數分鐘左右,尤其對於在多個基板上之分批沉積製程而言。最佳接觸時間可由熟悉技藝人士基於特定情勢決定。
前驅體之質量流率亦可由熟悉技藝人士決定。在一些具體例中,金屬前驅體之流率係介於約1與1000sccm之間(不具限制性),更特定而言,對於單晶圓沉積反應器係介於約100與500sccm之間。
反應腔室中之壓力通常係自約0.01至約20毫巴(mbar),或自約1至約10毫巴。然而,在一些情況中,壓力將高於或低於此範圍,如可由熟悉技藝人士給定特定情勢所決定。
在開始膜沉積之前,通常將基板加熱至適宜的生長溫度。生長溫度係視所形成薄膜之類型、前驅體之物理性質等而改變。生長溫度可低於沉積材料之結晶溫度,以致形成非晶形薄膜,或其可高於結晶溫度,以致形成結晶薄膜。沉積溫度可視若干因素而改變,諸如,但不限於,反應物前驅體、壓力、流率、反應器之配置、沉積薄膜之結晶溫度、及基板之組成(包括待沉積於其上之材料的特性)。可由熟悉技藝人士選擇特定的生長溫度。
在一些具體例中,基板溫度足夠高以支援相關反應物之 熱ALD,但足夠低以避免燒掉有機鈍化層來輔助選擇性。例如,生長溫度一般可高於約100℃。在一些具體例中,生長溫度可係約700℃或低於約700℃,約500℃或低於約500℃,或約400℃或低於約400℃。在一些具體例中,生長溫度係介於約150℃與約500℃之間,在一些具體例中,生長溫度係介於約150℃與約350℃之間,及在一些具體例中,生長溫度係介於約175℃與約300℃之間。
可使用可用於生長薄膜之反應器來進行沉積。該等反應器包括ALD反應器、以及配備有適宜設備及用來提供前驅體之構件的CVD反應器。根據一些具體例,可使用噴淋頭反應器。
可使用之適宜反應器的實例包括市售的單基板(或單晶圓)沉積設備,諸如Pulsar®反應器(諸如Pulsar® 2000及Pulsar® 3000)、及EmerALD®反應器(可購自ASM America,Inc.(Phoenix,Arizona)及ASM Europe B.V.(Almere,Netherlands))。其他市售反應器包括來自ASM Japan K.K(日本東京)商品名為Eagle® XP及XP8之彼等。
在一些具體例中,可使用批式反應器。適宜的批式反應器包括,但不限於,可以商品名ALDA400TM及A412TM購自ASM Europe B.V(Almere,Netherlands)之Advance® 400系列反應器。在一些具體例中,利用直立批式反應器,其中舟皿在加工期間旋轉,諸如A412TM。因此,在一些具體例中,晶圓在加工期間旋轉。在其他具體例中,批式反應器包括經構造以容納10個或更少 晶圓、8個或更少晶圓、6個或更少晶圓、4個或更少晶圓、或2個晶圓的小型批式反應器。在其中使用批式反應器之一些具體例中,晶圓間之均勻度係小於3%(1σ)、小於2%、小於1%或甚至小於0.5%。
文中描述之沉積製程可視情況在連接至群集工具的反應器或反應空間中進行。在群集工具中,由於各反應空間係專用於一種類型的製程,因此各模組中之反應空間的溫度可維持恆定,其相較於在各次運轉前將基板加熱至製程溫度之反應器可改善生產量。另外,在群集工具中,可減少在基板之間將反應空間泵至期望製程壓力值之時間。
獨立式反應器可配備有負載鎖定。在該情況中,在各次運轉之間不需冷卻反應空間。在一些具體例中,用來沉積氧化物材料(例如金屬氧化物薄膜)的選擇性沉積製程可包括複數個沉積循環,例如ALD循環。在一些具體例中,各ALD循環包括至少兩個不同階段。使基板與第一前驅體接觸及其後自基板表面移除過剩的第一前驅體及反應副產物可被視為一階段且可稱為第一階段、第一前驅體階段、金屬階段、金屬前驅體階段、第一金屬階段、第一金屬前驅體階段等。就沉積循環而言,在第一階段中,基板與第一前驅體接觸,其在基板表面上形成不多於約一個單層。第一階段可包括接觸期(亦稱為供應期)、及移除(例如,沖洗)期。在第二階段中,基板與含氧的第二前驅體接觸,且可將經吸附的第一前驅體轉變為氧化物材料,例如絕緣金屬氧化物諸如氧化鑭或氧 化鎂。使基板與第二前驅體接觸及其後自基板表面移除過剩的第二前驅體及反應副產物可被視為一階段且可稱為第二階段、第二前驅體階段、氧化階段、氧階段、氧前驅體階段、第二氧階段、及/或第二氧前驅體階段。可藉助載氣(諸如N2、Ar、或He)來提供一或多種前驅體。可視需要添加額外階段且可移除階段來調整最終膜之組成。
參照圖1及根據一些具體例,藉由包括至少一個循環的循環熱氣相沉積製程100將氧化物材料選擇性地沉積於基板上,其包括:
於方塊120使基板與第一氣相前驅體接觸;
於方塊130自基板移除任何過剩之第一前驅體及反應副產物,若有的話;
於方塊140將分子氧(O2)供應至基板表面,來使基板與包含分子氧(O2)之第二氣相前驅體接觸;
於方塊150自基板移除任何過剩的包含分子氧之第二前驅體及/或任何氣態副產物,及
於方塊160視情況重複接觸及移除方塊,直至已於方塊170於基板上形成期望厚度的氧化物材料為止。
在一些具體例中,上述的循環沉積可係ALD類型製程。在一些具體例中,沉積可於第一表面上相對於第二表面選擇性地形成氧化物材料。在一具體例中,第一及第二表面係在相同基板上。在另一具體例中,第一表面係在基板上及第二表面不在與第一 表面相同的基板上。
在一些具體例中,可在開始沉積製程100之前使基板之一或多個表面進行前處理製程。在一些具體例中,前處理製程可提高選擇性沉積製程100的選擇性。在一些具體例中,前處理製程可在開始沉積製程100之前提高氧化物材料在一表面上相對於一或多個不同表面的沉積。在一些具體例中,前處理製程可在開始沉積製程100之前抑制氧化物材料在一表面上相對於一或多個不同表面的沉積。
在一些具體例中,前處理製程可包括在基板之一部分上形成鈍化或封阻層,從而在基板上形成第二表面。在一些具體例中,前處理製程可包括在基板之一部分上形成DSA層或SAM層。在一些具體例中,前處理可包括在基板之一部分上形成包含有機材料(例如聚合物)之層。
在一些具體例中,可使用前處理製程來提高後續之選擇性沉積製程的選擇性。在一些具體例中,前處理製程可提高氧化物材料在第一表面上相對於第二不同表面的選擇性沉積。在一些具體例中,前處理製程可使後續之選擇性沉積製程的選擇性提高超過約2倍、超過約5倍、或超過約10倍。
在一些具體例中,前處理製程可在與後續之沉積製程100相同的反應腔室或反應器中進行。在一些具體例中,前處理製程可在與後續之沉積製程100不同的反應腔室或反應器中進行。
再次參照圖1,於方塊120使基板與第一前驅體接觸。 在一些具體例中,第一前驅體係以氣相脈衝形式傳送至反應腔室中並與基板表面接觸。可選擇條件,使得不超過約一個單層的前驅體以自限方式吸附於基板之第一表面上。然而,在一些具體例中,可選擇條件,以致可例如歸因於前驅體之分解而形成超過一個單層的材料,但在一些實例中,應避免導致喪失選擇性的CVD氣相反應。
第一前驅體脈衝可以蒸氣形式供應。針對本說明之目的,若物種在製程條件下呈現足夠的蒸氣壓來將該等物種以足以使暴露表面飽和之濃度輸送至工件,則將第一前驅體氣體視為「揮發性」。
在一些具體例中,第一前驅體與基板接觸達約0.01秒至約60秒,約0.02秒至約30秒,約0.025秒至約20秒,約0.05秒至約5.0秒,約0.05秒至約2.0秒或約0.1秒至約1.0秒。
於循環沉積製程中所使用之第一前驅體在標準條件(室溫及大氣壓力)下可係固體、液體或氣態材料,但第一前驅體在其傳送至反應腔室內並與基板表面接觸之前係呈氣相。在一些具體例中,第一前驅體可包含金屬,諸如鎂、鑭、鉿、鋯、鋁、或過渡金屬。
在方塊130,若有的話,將過剩第一前驅體及反應副產物自基板表面移除,例如使基板暴露至沖洗氣體或真空。在一些具體例中,移除過剩第一前驅體及任何反應副產物可藉由以惰性氣體(諸如氮或氬)之脈衝沖洗來完成。在諸如方塊130的移除期間 內,任何氣相前驅體及/或任何氣相副產物可藉由以真空泵抽空反應器腔室及/或藉由以諸如氬或氮之惰性氣體沖洗反應器內部之氣體及/或藉由使基板移動離開前驅體之供給來自基板表面移除。典型的移除時間係自約0.05至20秒,諸如介於約1及10秒之間,及更特定言之介於約1及2秒之間。然而,若需要,可利用其他移除時間,諸如當需要在極高縱橫比結構或其他具有複雜表面形態之結構上方沉積層時。適宜的移除時間可由熟悉技藝人士基於特定情勢輕易地決定。
如前所述,在一些具體例中,若有的話,移除過剩第一前驅體及反應副產物可包括移動基板,使得第一前驅體不再與基板接觸。在一些具體例中,可不自腔室的各個部分移除前驅體。在一些具體例中,將基板自腔室之容納第一前驅體之部分移動至腔室之容納第二前驅體或完全無前驅體之另一部分。在一些具體例中,將基板自第一反應腔室移動至第二、不同反應腔室。在一些具體例中,使基板在相同反應腔室中暴露至第一及第二前驅體。
於方塊140將分子O2供應至基板表面,來使基板與包含分子氧之第二氣相前驅體接觸。在一些具體例中,第二氣相前驅體包含分子氧且在接觸基板之前未經激發。因此,在一些具體例中,方塊140可包括使分子O2流入反應腔室內而不通過任何原地(in situ)或異地(ex situ)激發。在一些具體例中,方塊140可包括將分子O2之流供給至基板表面。
在一些具體例中,將第二前驅體供給至基板,在該處其 與結合至基板表面之第一前驅體反應。於一ALD順序中,反應可在基板表面上形成至多約一單層的氧化物材料。然而,在一些具體例中,於基板上形成超過一分子層的氧化物材料,但在一些實例中,應避免導致喪失選擇性的CVD氣相反應。
在一些具體例中,第二前驅體接觸基板達約0.01秒至約60秒,約0.02秒至約30秒,約0.025秒至約20秒,約0.05秒至約5.0秒,約0.05秒至約2.0秒或約0.1秒至約1.0秒。然而,視反應器類型、基板類型及其表面積而定,第二前驅體接觸時間甚至可高於10秒,特定言之就批式反應器或其他欲塗布極大表面積的製程而言。在一些具體例中,接觸時間可在若干分鐘左右。最佳接觸時間可由熟悉技藝人士基於特定情勢輕易地決定。
反應腔室中第二前驅體之濃度可自約0.01體積%至約99.0體積%。且第二前驅體可以介於約1標準cm3/min與約4000標準cm3/min之間之速率流過反應腔室。
於方塊150,如以上針對方塊130所述,自基板移除過剩第二前驅體及表面反應之氣態副產物,若有的話。在一些具體例中,藉助惰性氣體來移除過剩前驅體及反應副產物。在一些具體例中,藉由使基板暴露至沖洗氣體或真空來移除過剩的第二前驅體及任何副產物。
接觸及移除之動作可視情況於方塊160重複直至已於基板表面上形成期望厚度的氧化物材料為止,其中各循環留下不超過約一分子單層。在一些情況中,可能希望達成各種前驅體中至少 一者之至少部分分解。因此,在一些具體例中,可選擇條件,使得在各沉積循環中將超過一分子層的氧化物材料形成於基板上,但在一些實例中,應避免導致喪失選擇性的CVD氣相反應。在一些實例中,可發生各種前驅體中至少一者之部分分解,其在一些實例中,不受限於任一理論,可提高至少部分分解之前驅體對O2的反應性。
本揭示內容之氧化物材料選擇性沉積製程可包括一或多個循環。一些具體例涉及重複至少約5個循環、至少約10個循環、至少約50個循環、至少約100個循環、至少約200個循環、或至少約300個循環或以上。
根據一些具體例,使用O2沉積之沉積薄膜可呈現大於約50%、大於約80%、大於約90%、或大於約95%之階梯覆蓋率及圖案負載效應。在一些情況中,階梯覆蓋率及圖案負載效應可大於約98%及在一些情況中約100%(在測量工具或方法的準確度內)。此等值可以具有2或更大之縱橫比、在一些具體例中以約3或更大之縱橫比、在一些具體例中以約5或更大之縱橫比及在一些具體例中以約8或更大之縱橫比的特徵達成。
雖然所說明的氧化物材料沉積循環係始於使基板表面與第一氣相前驅體接觸,但在其他具體例中,沉積循環始於使基板表面與包含分子氧之第二氣相前驅體接觸。熟悉技藝人士當明瞭使基板表面與第一氣相前驅體及包含分子氧之第二氣相前驅體接觸係可於沉積循環中互換。另外,一些循環可包括多次依序重複相 同階段。
在一些具體例中,移動基板,使得不同反應物以期望順序交替及依序地接觸基板表面持續期望時間。在一些具體例中,不進行移除方塊130及150。在一些具體例中,可不自腔室的各個部分移除反應物。在一些具體例中,將基板自腔室之容納第一前驅體之部分移動至腔室之容納第二反應物之另一部分。在一些具體例中,將基板自第一反應腔室移動至第二、不同反應腔室。在一些具體例中,使基板在相同反應腔室中暴露至第一及第二前驅體。
熟悉技藝人士可基於選定前驅體之性質來確定最佳反應物蒸發溫度。熟悉技藝人士可基於選定前驅體之性質及所沉積氧化物材料之期望性質透過例行實驗來確定最佳反應物接觸時間。
所沉積氧化物材料之生長速率將視反應條件而改變。如下文所述,在起始實驗中,生長速率在約0.01與約1.5Å/循環之間變化。在一些具體例中,生長速率可係約0.01Å/循環至約10.0Å/循環,約0.1Å/循環至約2.5Å/循環,或0.3Å/循環至約1.5Å/循環。在一些具體例中,若發生前驅體之分解,則生長速率可係高於2.5Å/循環或高於5Å/循環。
在一些具體例中,所沉積氧化物材料包含薄膜。在一些具體例中,所沉積氧化物材料包含金屬氧化物,及在一些具體例中,所沉積氧化物材料包含絕緣金屬氧化物諸如氧化鑭、氧化鉿、氧化鋯、氧化鎂、過渡金屬氧化物、或氧化鋁。在一些具體例中, 所沉積氧化物材料係氧化鑭、氧化鉿、氧化鋯、氧化鎂、過渡金屬氧化物、或氧化鋁。如文中所使用,氧化鎂係指具有化學通式MgO或MgOx之材料,其中x係自約0.8至約1.2,但當明瞭氧化鎂不需為化學計量。如文中所使用,氧化鑭係指具有化學通式La2O3或LaOx之材料,但當明瞭氧化鑭不需為化學計量。如文中所使用,氧化鉿係指具有通式HfO2或HfOx之材料,但當明瞭氧化鉿不需為化學計量。如文中所使用,氧化鋯係指具有通式ZrO2或ZrOx之材料,但當明瞭氧化鋯不需為化學計量。如文中所使用,氧化鋁係指具有通式Al2O3或AlOx之材料,但當明瞭氧化鋁不需為化學計量。
在一些具體例中,金屬氧化物材料包含其他成分(例如,金屬矽酸鹽或金屬氧氮化物)。在一些具體例中,金屬氧化物材料係經形成為基本上由金屬或金屬及氧所組成。在一些具體例中,當不包括氫時,除金屬或金屬及氧外之污染物佔薄膜之不超過約30原子%(at%),不超過約20原子%,不超過約10原子%,不超過約5原子%,不超過約3原子%,不超過約1.5原子%,或不超過約0.5原子%。在一些具體例中,當包括氫時,除金屬或金屬及氧外之污染物佔不超過約45%,不超過約30原子%,不超過約20原子%,不超過約10原子%,不超過約5原子%,不超過約3原子%,不超過約1.5原子%,或不超過約0.5原子%。在一些具體例中,可於最終沉積循環之後,或間歇地於沉積製程中提供額外階段,諸如額外的金屬階段。
在一些具體例中,藉由包括一或多個循環的循環氣相沉積製程將氧化物材料選擇性地沉積於基板上,各循環包括:
使該基板與第一氣相前驅體接觸;
於使該基板與第一氣相前驅體接觸之後使該基板暴露至沖洗氣體或真空;
將分子氧(O2)供應至基板表面,以使基板與包含分子氧(O2)之第二氣相前驅體接觸;
於使基板與分子氧接觸之後使基板暴露至沖洗氣體或真空;
視情況重複接觸及暴露步驟,直至已將期望厚度之氧化物材料形成於基板上為止。
現參照圖2且根據一些具體例,藉由包括至少一個循環的循環沉積製程200將氧化鎂材料(例如氧化鎂薄膜)沉積於基板表面上,其包括:
於方塊220使基板與包含鎂之第一氣相前驅體接觸;
於方塊230自基板的表面移除任何過剩之包含鎂的第一氣相前驅體及/或反應副產物,若有的話;
於方塊240將分子氧(O2)供應至基板表面,來使基板與包含分子氧之第二氣相前驅體接觸;
於方塊250自表面移除任何過剩的包含分子氧之第二前驅體及/或任何氣態副產物,及
於方塊260視情況重複接觸及移除方塊,直至已於方塊270於基板表面上形成期望厚度的氧化鎂薄膜為止。
在一些具體例中,上述的循環沉積可係ALD類型製程。在一些具體例中,沉積可於第一表面上相對於第二表面選擇性地形成氧化鎂材料。在一具體例中,第一及第二表面係在相同基板上。在另一具體例中,第一表面係在基板上及第二表面係在另一部分,諸如反應器表面上。
雖然所說明的氧化鎂沉積循環係始於使基板與包含鎂之第一前驅體接觸,但在其他具體例中,沉積循環始於使基板與包含分子氧之第二前驅體接觸。熟悉技藝人士當明瞭使基板表面與包含鎂之第一前驅體及包含分子氧之第二前驅體接觸係可於沉積循環中互換。
在一些具體例中,上述沉積製程可係選擇性沉積製程。換言之,在一些具體例中,提供包含第一表面及第二、不同表面之基板,及藉由包括至少一個循環的循環沉積製程將氧化鎂相對於第二、不同表面選擇性地沉積於基板之第一表面上,該至少一個循環包括交替及依序使基板與包含鎂之氣相第一前驅體及包含分子氧之氣相第二前驅體接觸。
在一些具體例中,第一前驅體可包括含鎂的有機金屬化合物及第二前驅體可包含O2。在一些具體例中,第一前驅體可包含Mg(Cp)2及第二前驅體可包含O2
在一些具體例中,氧化鎂膜可以約0.01Å/循環至約2.0Å/循環之生長速率沉積。在一些具體例中,氧化鎂薄膜之生長速率可大於約0.1Å/循環,大於約0.5Å/循環,大於約0.75Å/循環, 或大於約1.0Å/循環。
在一些具體例中,所沉積氧化鎂薄膜之標準偏差(standard deviation;STD)均勻度可小於約10%,小於約7.5%,小於約5%,或甚至小於約1%。在一些具體例中,所沉積氧化鎂薄膜可具有大於約50%、大於約80%、大於約90%、大於約95%、大於約98%、大於約99%或更大之階梯覆蓋率。此等值可以具有2或更大之縱橫比、在一些具體例中以約3或更大之縱橫比、在一些具體例中以約5或更大之縱橫比及在一些具體例中以約8或更大之縱橫比的特徵達成。
在一些具體例中,氧化鎂選擇性沉積製程之沉積溫度可係約150℃至約500℃,約200℃至約450℃,或約225℃至約400℃。在一些具體例中,反應腔室中之壓力可係約0.001托(Torr)至約100托,或約0.1托至約20托。
現參照圖3且根據一些具體例,藉由包括至少一個循環的ALD類型沉積製程300將氧化鑭材料(例如氧化鑭薄膜)選擇性地沉積於基板上,其包括:
於方塊320使基板與包含鑭之第一氣相前驅體接觸;
於方塊330自基板移除任何過剩之第一前驅體及反應副產物,若有的話;
於方塊340將分子氧(O2)供應至基板表面,來使基板與包含分子氧之第二氣相前驅體接觸;
於方塊350自基板移除任何過剩的包含分子氧之第二前驅體 及任何氣態副產物,及
於方塊360視情況重複接觸及移除方塊,直至已於方塊370於基板之第一表面上形成期望厚度的氧化物材料為止。
在一些具體例中,上述的循環沉積可係ALD類型製程。在一些具體例中,沉積可於第一表面上相對於第二表面選擇性地形成氧化鑭材料。在一具體例中,第一及第二表面係在相同基板上。在另一具體例中,第一表面係在基板上及第二表面係在另一部分,諸如反應器表面上。
雖然所說明的氧化鑭沉積循環係始於使基板與包含鑭之第一前驅體接觸,但在其他具體例中,沉積循環始於使基板與包含分子氧之第二前驅體接觸。熟悉技藝人士當明瞭使基板表面與包含鑭之第一前驅體及包含分子氧之第二前驅體接觸係可於沉積循環中互換。
在一些具體例中,第一前驅體可包括含鑭的有機金屬化合物及第二前驅體可包含O2。在一些具體例中,第一前驅體可包含甲脒鑭(La(FAMD)3)及第二前驅體可包含O2
現參照圖4且根據一些具體例,藉由包括至少一個循環的ALD類型沉積製程400將氧化鉿材料(例如氧化鉿薄膜)選擇性地沉積於基板上,其包括:
於方塊420使基板與包含鉿之第一氣相前驅體接觸;
於方塊430自基板移除任何過剩之第一前驅體及反應副產物,若有的話;
於方塊440將分子氧(O2)供應至基板表面,來使基板與包含分子氧之第二氣相前驅體接觸;
於方塊450自基板移除任何過剩的包含分子氧之第二前驅體及任何氣態副產物,及
於方塊460視情況重複接觸及移除方塊,直至已於方塊470於基板之第一表面上形成期望厚度的氧化物材料為止。
在一些具體例中,上述的循環沉積可係ALD類型製程。在一些具體例中,沉積可於第一表面上相對於第二表面選擇性地形成氧化鉿材料。在一具體例中,第一及第二表面係在相同基板上。在另一具體例中,第一表面係在基板上及第二表面係在另一部分,諸如反應器表面上。
雖然所說明的氧化鉿沉積循環係始於使基板與包含鉿之第一前驅體接觸,但在其他具體例中,沉積循環始於使基板與包含分子氧之第二前驅體接觸。熟悉技藝人士當明瞭使基板表面與包含鉿之第一前驅體及包含分子氧之第二前驅體接觸係可於沉積循環中互換。
在一些具體例中,第一前驅體可包括含鉿的有機金屬化合物及第二前驅體可包含O2。在一些具體例中,第一前驅體可包含四甲基乙基烷基醯胺鉿(TEMAH)及第二前驅體可包含O2
圖5A係大致繪示在進行選擇性沉積製程之前包括第一表面510及不同於第一表面510的第二表面520之基板500的示意圖。在一些具體例中,可使用如文中所述之沉積製程來在基板 500之第一表面510上相對於第二表面520選擇性地沉積氧化物材料。在一些具體例中,基板之第二表面520可包括如文中所述之有機鈍化層522,例如聚合物鈍化層或SAM。
圖5B係大致繪示在進行如文中所述之選擇性沉積製程後之包括第一表面510及不同於第一表面510的第二表面520之基板500的示意圖。期望厚度的氧化物材料530已相對於包括有機鈍化層522之第二表面520選擇性地沉積於基板之第一表面510上。因此,在一些具體例中,有機鈍化層522可抑制或阻止氧化物材料530沉積於第二表面520上。此外,在一些具體例中,選擇性沉積製程可達成將氧化物材料530沉積於第一表面510上,而不使有機鈍化層522充分地降解或氧化而暴露下方的第二表面520。
應注意圖5A及圖5B係示意圖且其中所描繪之層厚度不一定係對應於實際厚度或在一些具體例中的層尺寸。此外,雖然未將氧化物材料繪示為已沉積於第二表面520或有機鈍化層522上,但在一些具體例中,一些氧化物材料530可歸因於沉積中之選擇性而於第二表面520上沉積至較在第一表面510上小的厚度。在一些具體例中,當第二表面520包括有機鈍化層522以最小化其上的沉積時,移除有機鈍化層522會底切(undercut)及移除其上任何的沉積氧化物材料530。然而,在一些具體例中,氧化物材料530之沉積僅發生於第一表面510上而不發生於第二表面520上。
參照圖6,在一些具體例中,上述沉積製程可於包括沉積室610或反應空間的反應器600中進行。為進行上述製程,在一些具體例中,反應器600包括控制系統620。控制系統620可經構造以控制第一金屬前驅體之經由連接至沉積室610之第一金屬前驅體源630供給至沉積室610。控制系統620亦可經構造以控制第二反應物之經由連接至沉積室610之氧源640供給至沉積室610。因此,控制系統620可如以上針對圖1至圖4所述,例如經由來自第一金屬前驅體源630及/或氧源640之前驅體脈衝提供期望的交替及/或依序接觸步驟。控制系統620可包括處理器622及記憶體624。在一些具體例中,控制系統620可包括儲存於記憶體中且經組態以進行製程的軟體程式。其亦可包括工業中已知的其他組件。可將通用的電腦程式化來用作控制系統620。控制系統620可例如藉由根據儲存於記憶體中之程式打開或關閉第一金屬前驅體源630及/或氧源640之閥來自動地將第一金屬前驅體及/或第二反應物提供至沉積室610。控制系統620可經構造來在其他操作參數中控制反應器600之其他操作,諸如溫度、壓力及自動控制。
現將使用用於固定式基板之時間分割ALD之實例來說明反應器600的操作程序,儘管熟悉技藝人士當可輕易地明瞭如何將文中之教示採用於時間分割ALD或混合式系統。在第一階段中,將第一金屬前驅體供應至沉積室610。明確言之,接通第一金屬前驅體源630,使得第一金屬前驅體可通過(例如)第一供給管道流入至沉積室610中,同時氧源640不流至沉積室610。可例 如,藉由脈衝閥或藉由惰性氣體閥件之配置(諸如描述於2002年1月21日公開之國際公開案編號WO 02/08488第8頁(其全部揭示內容以引用之方式併入本文)中之配置)阻止第二反應物流流至沉積室610。在一些具體例中,沖洗氣體流動通過將第一金屬前驅體源630連接至沉積室610之第一供給管道及將氧源640連接至沉積室610之第二供給管道兩者。在此階段中,第一金屬前驅體可吸附於基板之活性位點上從而形成如文中所述的吸附單層。在第二階段期間,將任何過剩的第一金屬前驅體及任何氣態副產物自沉積室610,或至少自基板表面附近移除。在一些具體例中,此可藉由關閉第一金屬前驅體流動同時繼續使沖洗氣體流動通過供給管道來完成。在一些具體例中,可將沖洗氣體額外地或替代地供應通過獨立地連接至沉積室610之第三供給管道。在第三階段中,將第二反應物供應至沉積室610。明確言之,在第一金屬前驅體源630不流動至沉積室610的同時,接通氧源640以流動至沉積室610。在一些具體例中,仍使沖洗氣體供應通過第一及第二管道兩者。第一金屬前驅體及第二反應物係相互反應性。因此,第一金屬前驅體之經吸附物種(就純粹ALD而言通常係單層或少於單層)與已經引入至沉積室610中之第二反應物反應。此反應於基板上留下期望的金屬氧化物薄膜。反應一般為自限性且一旦第一金屬前驅體之全部量的經吸附物種已被消耗時即終止。應注意反應可於薄層中留下元素或可僅自吸附層除去配位子。在第四階段中,將任何過剩的第二反應物及任何氣態副產物自沉積室610,或至少自 基板表面附近移除。此可藉由關閉氧源同時使至第一及第二供給管道兩者之沖洗氣體流保持打開來完成。可視需要重複上述循環來將金屬氧化物薄膜沉積至期望厚度。當然,在一些具體例中,沖洗階段可經抽空階段或經包括使基板移動至個別沉積室或沉積室之不包括給定反應物之區域的階段置換。
第一前驅體
在文中描述的選擇性沉積製程中可使用許多不同的第一前驅體。在一些具體例中,第一前驅體可包含金屬。在一些具體例中,第一前驅體可包含至少一個烷基配位子,諸如C1-C4烷基配位子。在一些具體例中,第一前驅體可包含有機金屬或金屬有機化合物。在一些具體例中,第一前驅體可包含至少一個環戊二烯基(Cp)配位子。在一些具體例中,第一前驅體可包含甲脒鹽或脒鹽化合物。在一些具體例中,第一前驅體可包含β-二酮鹽化合物。在一些具體例中,第一前驅體可包含烷基胺基化合物,諸如二烷基胺基化合物。在一些具體例中,第一前驅體可包含烷基胺基配位子,諸如-NMe2、-NEt2或-NEtMe。
在一些具體例中,第一前驅體可包含鎂。在一些具體例中,第一前驅體可為包含鎂之有機金屬或金屬有機化合物。例如,在一些具體例中,第一前驅體可包含Mg(Cp)2或其衍生物。
在一些具體例中,第一前驅體可包含鑭。在一些具體例中,第一前驅體可為包含鑭之有機金屬化合物。在一些具體例中,第一前驅體可包含甲脒鑭(La(FAMD)3)。
在一些具體例中,第一前驅體可包含鉿。在一些具體例中,第一前驅體可包括含鉿之有機金屬化合物。例如,在一些具體例中,第一前驅體可包含烷基胺基鉿化合物,諸如四甲基乙基烷基胺基鉿(TEMAH、Hf(NEtMe)4)或其衍生物。
在一些具體例中,第一前驅體可包含鋯。在一些具體例中,第一前驅體可包含鋁。在一些具體例中,第一前驅體包含過渡金屬。在一些具體例中,第一前驅體不包含貴金屬,諸如Ru。
在一些具體例中,第一前驅體具有以下化學式:
MgL2 (I)
其中Mg係鎂,且其中各L可獨立地經選擇為烴基。在一些具體例中,各L可為直鏈、分支鏈、環狀烷基或不飽和烴基,諸如烯基、炔基、芳族、環戊二烯基、苯基、環辛二烯基、或環庚三烯基。在一些具體例中,一或兩個L可為環戊二烯基。在一些具體例中,一或兩個L可為雙牙配位子,諸如β-二酮根、胍根或脒根。在一些具體例中,β-二酮根配位子可為乙醯丙酮根或2,2,6,6-四甲基-3,5-庚烷二酮根(2,2,6,6-tetramethyl-3,5-heptanedionato;thd)。
在一些具體例中,第一前驅體為環戊二烯基化合物或其衍生物,諸如經烷基取代之環戊二烯基化合物且具有以下化學式:
Mg(R1R2R3R4R5Cp)2 (II)
其中各R1基團、各R2基團、各R3基團、各R4基團、及各R5基團可獨立地經選擇為氫或經取代或未經取代烷基。在 一些具體例中,各R1基團、各R2基團、各R3基團、各R4基團、及各R5基團可獨立地經選擇為氫或直鏈或分支鏈C1-C5烷基。在一些具體例中,各R1基團、各R2基團、各R3基團、各R4基團、及各R5基團可獨立地經選擇為氫或C1-C3烷基,諸如甲基、乙基、正丙基或異丙基。在一些具體例中,第一前驅體為Mg(Cp)2
在一些具體例中,第一前驅體包含一或多個配位子,諸如環戊二烯基(「Cp」)配位子。此等第一前驅體化合物可選自由下列化合物所組成之群:
(Cp)xLa (III);
(Cp)xLyLa (IV);
(Cp)xWnLa (V);
(CP)xLyWnLa (VI);
La為鑭,Cp為環戊二烯基或環辛二烯基,使得化學式I-IV中之Cp基團可彼此相同或彼此不同;x表示Cp配位子之數目且其係自1至高達La之氧化態的整數;應注意通常將環辛二烯縮寫為Cod,但此處針對環戊二烯基及環辛二烯基兩者使用單一共同縮寫Cp來簡化表示;
Ly為自其原子中之一或多者結合至金屬之中性加成配位子且其中y表示結合配位子之數目;及
W係具有較Cp小一之價數的一些其他配位子且其中n表示配位子之數目。在一些具體例中,W係脒根或甲脒根。在一些具體例中,W係β-二酮根或其對應的硫或氮化合物、鹵化物、醯胺、 烷氧化物、羧酸根或希夫鹼(Schiff's base)。
在化學式I-IV中,環戊二烯基及/或環辛二烯基可係在相同分子中,使得在兩個Cp-基團之間存在一橋,其由可包含選自Si、N、P、Se、S或B之雜原子的經取代或未經取代C1-C6鏈組成。
在一些具體例中,L係經獨立選擇的:
(i)烴,
(ii)包含氧之烴,
(iii)包含氮之烴,
(iv)包含硫之烴,
(v)包含磷之烴,
(vi)包含砷之烴,
(vii)包含硒之烴及/或
(viii)包含碲之烴。
在一些具體例中,L係經獨立選擇的:
(a)胺或聚胺,
(b)聯吡啶,
(c)根據以下化學圖示的配位子:
Figure 112116080-A0101-12-0037-1
其中G係-O-、-S-、或-NR1,其中R1係經獨立選擇的 氫或經取代或未經取代、環狀、直鏈或分支鏈烷基、烯基、芳基、烷基芳基、芳基烷基、烷氧基、硫基、氰基或矽烷基。R1中之環狀或芳族環可包含雜原子。於化學式V中,氫或R1-型取代基亦可連接至碳原子,或
(d)醚或硫醚。
化學式I-IV中之環戊二烯基或環辛二烯基Cp具有以下形式:
Cp'RmHa-m (VII)
其中當a係8時,m係0-8之整數,且當a係5時,m係0-5之整數,
Cp'係經稠合或分離的環戊二烯基或環辛二烯基,及
R係經獨立選擇之包含1-6個碳原子的烴片段,諸如C1-C6烴。
在一些具體例中,各R配位子可係與各其他的R配位子相同,或各R配位子可彼此不同。換言之,各R配位子可係經獨立選擇的。在一些具體例中,R可係經取代或未經取代、環狀、直鏈或分支鏈烷基烯基、芳基、烷基芳基、芳基烷基、烷氧基、硫基、胺基、氰基或矽烷基。取代基之環狀或芳族環可包含雜原子。取代基之實例為甲基、乙基、丙基及異丙基。
化學式II及IV中所示之中性加成配位子L可係經獨立選擇的醚、胺或溶劑分子諸如與具有一個原子之金屬形成鍵之四氫呋喃。與具有若干原子之金屬形成鍵之適宜中性加成配位子的 實例係聚醚及聚胺。
在一些具體例中,第一前驅體可包含至少一個環戊二烯基配位子且可根據式VIII來書寫:
(R1R2R3R4R5Cp)x-MR0 z-(R6)y (VIII)
其中M係選自由Mg、Sr、Ba、Sc、Y及鑭系元素所組成之群之金屬;
其中各R0基團、各R1基團、各R2基團、各R3基團、各R4基團、及各R5基團可係獨立地選自:
i.氫;
ii.直鏈及分支鏈C1-C6烷基、烯基及炔基,其係獨立地經取代或未經取代;
iii.碳環基,諸如芳基、苯基、環戊二烯基、烷基芳基、及鹵化碳環基;及
iv.雜環基;
其中R6係獨立地選自:
i.氫;
ii.直鏈及分支鏈C1-C6烷基、烯基及炔基,其係獨立地經取代或未經取代;
iii.碳環基,諸如芳基、苯基、環戊二烯基、烷基芳基、及鹵化碳環基;
iv.雜環基;及
v.NR1R2;及
其中x及y兩者係≧1且z≧0。
在一些具體例中,包含環戊二烯基化合物之第一前驅體包含至少一個經由氮結合至金屬之配位子,如由式IX所描繪:
(R1R2R3R4R5Cp)x-MR0 z-(NR1R2)y (IX)
其中M係選自由Mg、Sr、Ba、Sc、Y或鑭系元素所組成之群之金屬;
其中各R0基團、各R1基團、各R2基團、各R3基團、各R4基團、及各R5基團係獨立地選自:
i.氫;
ii.直鏈及分支鏈C1-C6烷基、烯基及炔基,其係獨立地經取代或未經取代;
iii.碳環基,諸如芳基、苯基、環戊二烯基、烷基芳基、及鹵化碳環基;及
iv.雜環基;及其中x及y兩者係≧1且z≧0。
在式IX中,烷基、烯基及炔基可選自任何具有1至6個碳原子之直鏈或分支鏈烷基、烯基及炔基。該等烷基之實例包括甲基;乙基;正及異丙基;正、異及第三-丁基;正及異戊基;正及異戊基;正及異己基;及2,3-二甲基-2-丁基。在一些具體例中,使用烷基。在其他具體例中,C1-C6烯基及炔基包括具有相應不飽和度的相應基團。
在一些具體例中,第一前驅體係具有至少一個環戊二烯 基配位子及至少一個鉗合配位子(例如,雙牙配位子)的化合物。在一些具體例中,此化合物係由式X,(R1R2R3R4R5Cp)x-MR0 z-(NR1NR2R)y描繪如下:
Figure 112116080-A0101-12-0041-2
其中M係選自由Mg、Sr、Ba、Sc、Y或鑭系元素所組成之群之金屬;
其中R可係任何直鏈及分支鏈C1-C6烷基、烯基或炔基,其係獨立地經取代或未經取代且R可於烷基、烯基及炔基之任何點結合至兩個橋接氮原子;
其中各R0基團、各R1基團、各R2基團、各R3基團、各R4基團、及各R5基團可係獨立地選自:
i.氫;
ii.直鏈及分支鏈C1-C6烷基、烯基及炔基,其係獨立地經取代或未經取代;
iii.碳環基,諸如芳基、苯基、環戊二烯基、烷基芳基、及鹵化碳環基;及
iv.雜環基;及
其中x及y兩者係≧1且z≧0。
在一些其他具體例中,第一前驅體可由式XI,(R1R2R3R4R5Cp)x-MR0 z-[(NR1NR2)CNR3]y描繪如下:
Figure 112116080-A0101-12-0042-3
其中M係選自由Mg、Sr、Ba、Sc、Y或鑭系元素所組成之群之金屬;
其中各R0基團、各R1基團、各R2基團、各R3基團、各R4基團、及各R5基團可係獨立地選自
i.氫;
ii.直鏈及分支鏈C1-C6烷基、烯基及炔基,其係獨立地經取代或未經取代;
iii.碳環基,諸如芳基、苯基、環戊二烯基、烷基芳基、及鹵化碳環基;及
iv.雜環基;及
其中x及y兩者係≧1且z≧0。
在其他具體例中,第一前驅體係由式XII,(R1R2R3R4R5Cp)x-MR0 z-[(NR1NR2)CNR3R4]y描繪如下:
Figure 112116080-A0101-12-0043-4
其中M係選自由Mg、Sr、Ba、Sc、Y或鑭系元素所組成之群之金屬;
其中各R0基團、各R1基團、各R2基團、各R3基團、各R4基團、及各R5基團可係獨立地選自:
i.氫;
ii.直鏈及分支鏈C1-C6烷基、烯基及炔基,其係獨立地經取代或未經取代;
iii.碳環基,諸如芳基、苯基、環戊二烯基、烷基芳基、及鹵化碳環基;及
iv.雜環基;及
其中x及y兩者係≧1且z≧0。
在一些具體例中,如式VIII-XII中所述之第一前驅體可包含R0、R1、R2、R3、R4、R5、及R6,其中各R0基團、各R1基團、各R2基團、各R3基團、各R4基團、及各R5基團、及各R6基團可獨立地選自
i.氫;
ii.直鏈及分支鏈C1-C6烷基、烯基及炔基,其係獨立地 經取代或未經取代;
iii.碳環基,諸如芳基、苯基、環戊二烯基、及烷基芳基;及
iv.雜環基。
視情況,如所述之第一前驅體可包含經修飾的環戊二烯基。在一些具體例中,經修飾的環戊二烯基係選自由Me5Cp、MeCp、EtCp、及Me3SiCp所組成之群。在其他具體例中,第一前驅體可包含陰離子性或二陰離子性胍根配位子諸如三異丙基胍根配位子。
第二前驅體
在一些具體例中,第二前驅體包含氧且在文中可稱作氧前驅體、氧反應物、含氧前驅體、或含氧反應物。在一些具體例中,第二前驅體包含分子氧(O2)。在一些具體例中,第二前驅體不包含除O2之外的含氧化合物。在一些具體例中,第二前驅體不包含O3或H2O。在一些具體例中,第二前驅體不包含電漿,例如氧電漿。在一些具體例中,第二前驅體係以諸如N2、He或Ar之惰性氣體供給或與其混合。
在一些具體例中,第二前驅體包含分子氧及少於約50%、25%、15%、10%、5%、1%、或0.1%之除惰性氣體外之雜質。
在其中第二前驅體係分子氧的一些具體例中,此一第二 前驅體可相較於O3或H2O有利地容許改良自基板表面的沖洗。在一些具體例中,改良的沖洗可容許較快速的循環時間且亦可容許改良的滯留或對第二表面(例如包含諸如SAM或聚合物之有機鈍化層的第二表面)的最小損壞。
在一些具體例中,第二前驅體不會使基板之第二表面實質地氧化或降解。例如,在一些具體例中,分子氧不會在沉積條件下使包含諸如SAM或聚合物層之有機鈍化層的第二表面氧化或降解。換言之,在一些具體例中,選擇性沉積製程可具有低於有機鈍化層會發生降解或燃燒之溫度的沉積溫度。在一些具體例中,沉積溫度可係低於約450℃,低於約400℃,低於約350℃,低於約300℃或更低。
實施例1
在各種基板上使用雙(環戊二烯基)鎂(Mg(Cp)2)作為含鎂之第一前驅體及分子氧(O2)作為第二前驅體進行根據一些具體例利用ALD類型製程的氧化鎂(MgO)薄膜沉積。沉積溫度係約250℃及沉積循環數目針對各樣品改變。
現參照圖7,經觀察到沉積氧化鎂膜厚度隨沉積循環數目之增加線性地增加。觀察到氧化鎂沉積製程之生長速率係約0.77nm/循環且觀察到沉積係高度地均勻。
實施例2
在兩個試樣基板、一個具有天然氧化矽表面之參考矽試 樣基板、及兩個SAM矽試樣基板(其各具有包含形成於天然氧化矽表面之一部分上之SAM層的表面)上進行氧化鎂(MgO)之沉積。
於沉積MgO層前後測量試樣基板之表面的水接觸角。表面之水接觸角指示該表面之疏水或親水性質。使用此水接觸角測量來研究SAM試樣基板上之SAM層的鈍化能力。
如圖8A中所描繪,在MgO沉積製程之前,SAM層的接觸角相當高,大於105°,其指示包含-CHx終端的SAM表面係高度疏水性。天然氧化物表面經測量具有相當低的接觸角,指示包含Si-OH終端之表面的親水性質。
然後使SAM試樣基板進行MgO沉積製程。使第一SAM試樣基板進行根據一些具體例且描述於本文中之MgO沉積製程,其包括MgCp2作為第一前驅體及O2作為第二前驅體。使第二SAM試樣基板進行如技藝中所知曉之典型MgO沉積製程,其包括MgCp2作為第一前驅體及H2O作為第二前驅體。
如圖8A中所描繪,第一SAM試樣基板之SAM層的水接觸角於根據一些具體例之包括O2作為第二前驅體的MgO沉積製程之後仍維持相當地高。此指示SAM層針對包括O2作為第二前驅體之MgO沉積製程的期望鈍化性質,藉此能使得沉積製程為高度選擇性。第二SAM試樣之SAM層的水接觸角於進行包括H2O作為第二前驅體的MgO沉積製程之後顯著地降低,指示SAM作為鈍化層的不良效能。
圖8B比較沉積於參考試樣基板上之MgO之厚度與沉積於兩個SAM試樣基板上之MgO之厚度。就參考試樣基板及進行包括H2O作為第二前驅體之MgO沉積製程之第二SAM基板而言,沉積MgO層之厚度幾乎相同,然而,在進行根據一些具體例之包括O2作為第二前驅體之MgO沉積製程的第一SAM試樣基板上未測得MgO層。
第一SAM試樣基板亦以XPS進行分析,其檢測第一SAM試樣上之小量的Mg;然而,所檢測到之Mg的量並未成包括O2作為第二前驅體之MgO沉積循環的函數顯著地增加直至25nm之標稱厚度。
實施例3
在具有天然氧化矽表面之第一矽試樣基板、及具有包含形成於天然氧化矽表面之一部分上之SAM層之表面的第二矽試樣基板上進行氧化鉿(HfO2)之沉積。使試樣基板進行根據一些具體例且如文中所述之包括TEMAH作為第一前驅體及O2作為第二前驅體的ALD氧化鉿沉積製程。沉積溫度為285℃。
於HfO2沉積製程前後測量試樣基板之表面的水接觸角。如圖9A中所描繪,在HfO2沉積製程之前,SAM層的接觸角相當高,約110°,其指示包含-CHx終端的SAM表面係高度疏水性。天然氧化物表面經測量具有相當低的接觸角,指示包含Si-OH終端之表面的親水性質。
如可進一步於圖9A中所見,於進行目標厚度10nm之HfO2沉積製程後,第二試樣基板之SAM表面的水接觸角保持高於100°。此結果指示SAM層針對根據一些具體例之包括TEMAH作為第一前驅體及O2作為第二前驅體之HfO2沉積製程具有期望的鈍化性質,藉此容許選擇性HfO2沉積。
圖9B顯示藉由包括O2作為第二前驅體之HfO2沉積製程沉積於第一及第二試樣基板上之HfO2材料的厚度。經發現即使當目標HfO2厚度,及因此沉積循環數目增加時,沉積於SAM層上之材料厚度亦維持恆定。如預期地,於天然氧化矽表面上之HfO2的厚度隨目標厚度線性增加,指示HfO2的規則沉積。
於第二SAM試樣基板上進行類似的實驗;然而,使該基板進行如技藝中已知包括TEMAH作為第一前驅體及H2O作為第二前驅體的典型HfO2沉積製程。於進行目標厚度6nm之HfO2沉積製程後,SAM層的水接觸角降低至94°。此HfO2沉積製程具有285℃之沉積溫度且包括85個沉積循環。在沉積製程前,於試樣基板之天然氧化矽表面上自液相形成之SAM層具有107°之水接觸角,指示其係高品質。
如圖10所示,經由XPS測定使用包括O2作為第二前驅體之沉積製程沉積於第一及第二試樣基板上之HfO2材料的厚度。於第一試樣基板之天然氧化物表面上藉由XPS所檢測之Hf的原子百分比隨目標HfO2厚度之增加而增加,然而儘管HfO2厚度增加,於第二試樣之SAM表面上之Hf的原子百分比仍維持大約為 零。此結果指示SAM層針對根據一些具體例之包括TEMAH作為第一前驅體及O2作為第二前驅體之HfO2沉積製程之期望的鈍化性質,藉此實現選擇性沉積。
圖11顯示於進行包括O2作為第二前驅體且目標膜厚度為5nm及7.5nm之HfO2沉積製程後,第二試樣之SAM表面之組成的原子百分比。在沉積製程之後於表面上僅檢測到介於0.1原子%與0.5原子%之間之極小量的Hf。隨後亦使SAM表面進行15秒的軟Ar濺鍍以移除一些SAM表面。於Ar濺鍍後,在基板表面上檢測到介於0.18原子%與0.22原子%之間的Hf。
如文中所使用,術語「約」可係指在給定值之15%內、10%內、5%內、或1%內的值。
術語「膜」及「薄膜」在文中係為簡單起見使用。「膜」及「薄膜」意欲意指藉由文中揭示方法所沉積之任何連續或不連續結構及材料。例如,「膜」及「薄膜」可包括2D材料、奈米棒、奈米管或奈米粒子或甚至單一部分或完全分子層或部分或完全原子層或原子及/或分子之團簇。「膜」及「薄膜」可包括具有針孔的材料或層,但仍為至少部分連續。
熟悉技藝人士當明瞭可進行許多及各種修改而不脫離本發明之精神。所描述的特徵、結構、特性及前驅體可以任何適當方式組合。因此,應清楚明瞭本發明之形式僅為說明性而非意欲限制本發明之範疇。所有修改及變化意欲歸屬於如由隨附申請專利範圍所界定之發明範疇內。
雖然已論述某些具體例及實施例,但熟悉技藝人士當明瞭申請專利範圍之範疇延伸超過經明確揭示的具體例至其他替代具體例及/或用途及其明顯修改及等效物。
100:沉積製程
120、130、140、150、160、170:方塊

Claims (20)

  1. 一種將薄膜選擇性地沉積於基板上之反應器,所述反應器包括:
    沉積室;
    金屬前驅體源,連接至所述沉積室;
    氧源,連接至所述沉積室;以及
    控制系統,經構造以控制所述反應器進行製程,以在所述基板位於所述沉積室內時,將所述薄膜相對於所述基板之第二表面選擇性地沉積於所述基板之第一表面上,其中所述薄膜包含絕緣金屬氧化物,且其中所述製程包括:
    使所述基板與來自所述金屬前驅體源的第一前驅體接觸;
    在所述基板與所述第一前驅體接觸之後,從所述基板移除過剩第一前驅體及/或反應物副產物;以及
    在移除所述過剩第一前驅體及/或反應物副產物之後,從所述氧源供給第二前驅體,使得所述第二前驅體接觸所述基板,其中所述第二前驅體包括分子氧(O2)。
  2. 如請求項1所述的反應器,其中所述第二前驅體不包括其他的含氧化合物。
  3. 如請求項1所述的反應器,其中所述第二表面包括選自由自組裝單層(SAM)及聚合物所組成之群的有機物種。
  4. 如請求項1所述的反應器,其中所述控制系統經構造以控制所述反應器,使得所述薄膜在小於約400℃的沉積溫度下選擇性地沉積。
  5. 如請求項4所述的反應器,其中所述控制系統經構造以將所述沉積溫度保持在約225℃至約400℃的範圍內。
  6. 如請求項1所述的反應器,其中所述控制系統、所述金屬前驅體源和所述氧源經構造以沉積氧化鎂作為所述絕緣金屬氧化物。
  7. 如請求項1所述的反應器,其中所述控制系統、所述金屬前驅體源和所述氧源經構造以沉積過渡金屬氧化物作為所述絕緣金屬氧化物。
  8. 如請求項1所述的反應器,其中所述控制系統、所述金屬前驅體源和所述氧源經構造以沉積氧化鋁作為所述絕緣金屬氧化物。
  9. 如請求項1所述的反應器,其中所述控制系統經構造以控制所述反應器,使得接觸所述基板的所述第二前驅體不會使所述第二表面降解或氧化,其中所述第二表面包括有機物種。
  10. 如請求項1所述的反應器,其中所述控制系統經構造以控制所述反應器,使得接觸所述基板的所述第二前驅體不暴露所述第二表面下方的下層金屬,其中所述第二表面包括有機物種。
  11. 如請求項1所述的反應器,其中所述第一前驅體包括有機金屬化合物。
  12. 如請求項11所述的反應器,其中所述第一前驅體包括鎂、鑭、鉿、鋯、鋁、釔或鈧。
  13. 如請求項1所述的反應器,其中所述製程包括在供給所述第二前驅體之後,從所述基板移除過剩第二前驅體及/或反應物副產物。
  14. 如請求項1所述的反應器,其中所述控制系統經構造以使所述反應器循環地重複所述製程以選擇性地沉積所述薄膜。
  15. 如請求項1所述的反應器,其中在所述製程中,所述第二前驅體在接觸所述基板之前未經激發以選擇性地沉積所述薄膜。
  16. 一種將薄膜選擇性地沉積於基板上之反應器,所述反應器包括:
    沉積室;
    金屬前驅體源,連接至所述沉積室;
    氧源,連接至所述沉積室;以及
    控制系統,經構造以控制所述反應器進行製程,以在所述基板位於所述沉積室內時,將所述薄膜相對於所述基板之第二表面選擇性地沉積於所述基板之第一表面上,其中所述薄膜包含氧化鎂、氧化鑭、或氧化鉿薄膜,且其中所述製程包括:
    使所述基板與來自所述金屬前驅體源的第一前驅體接觸,其中所述第一前驅體包括鎂、鑭、或鉿;
    在所述基板與所述第一前驅體接觸之後,使所述基板暴露至沖洗氣體及/或真空;以及
    在暴露所述基板至所述沖洗氣體及/或真空之後,從所述氧源供給第二前驅體,使得所述第二前驅體接觸基板,其中所述第二前驅體包括分子氧(O2)。
  17. 如請求項16所述的反應器,其中所述第二表面包括選自由自組裝單層(SAM)及聚合物所組成之群的有機物種。
  18. 如請求項16所述的反應器,其中所述控制系統經構造以控制所述反應器,使得所述薄膜在小於約400℃的沉積溫度下選擇性地沉積。
  19. 如請求項16所述的反應器,其中所述控制系統經構造以控制所述反應器,使得接觸所述基板的所述第二前驅體不會使所述第二表面降解或氧化,其中所述第二表面包括有機物種。
  20. 如請求項16所述的反應器,其中所述第二前驅體不包括其他的含氧化合物。
TW112116080A 2016-11-29 2017-11-27 將薄膜沉積於基板上之反應器 TW202334477A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/364,024 2016-11-29
US15/364,024 US11430656B2 (en) 2016-11-29 2016-11-29 Deposition of oxide thin films

Publications (1)

Publication Number Publication Date
TW202334477A true TW202334477A (zh) 2023-09-01

Family

ID=62190963

Family Applications (3)

Application Number Title Priority Date Filing Date
TW106141192A TWI759365B (zh) 2016-11-29 2017-11-27 將薄膜及氧化金屬薄膜沉積於基板表面上之方法
TW111107105A TWI804213B (zh) 2016-11-29 2017-11-27 將薄膜沉積於基板上之反應器
TW112116080A TW202334477A (zh) 2016-11-29 2017-11-27 將薄膜沉積於基板上之反應器

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW106141192A TWI759365B (zh) 2016-11-29 2017-11-27 將薄膜及氧化金屬薄膜沉積於基板表面上之方法
TW111107105A TWI804213B (zh) 2016-11-29 2017-11-27 將薄膜沉積於基板上之反應器

Country Status (4)

Country Link
US (2) US11430656B2 (zh)
JP (2) JP7050468B2 (zh)
KR (2) KR102513600B1 (zh)
TW (3) TWI759365B (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) * 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
JP6568127B2 (ja) * 2017-03-02 2019-08-28 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び記録媒体
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) * 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP7101551B2 (ja) * 2018-07-02 2022-07-15 東京エレクトロン株式会社 選択的に対象膜を形成する方法およびシステム
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
JP7109397B2 (ja) * 2019-03-13 2022-07-29 東京エレクトロン株式会社 成膜方法
US11965238B2 (en) * 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
JP7227122B2 (ja) * 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
EP3919979A1 (en) 2020-06-02 2021-12-08 Imec VZW Resistless patterning mask
CN113838744A (zh) 2020-06-24 2021-12-24 Asm Ip私人控股有限公司 区域选择性有机材料去除
US20220093861A1 (en) * 2020-09-22 2022-03-24 Asm Ip Holding B.V. Systems, devices, and methods for depositing a layer comprising a germanium chalcogenide
US11515154B2 (en) * 2020-10-27 2022-11-29 Applied Materials, Inc. Selective deposition of a passivation film
IL302868A (en) 2020-11-19 2023-07-01 Adeka Corp A method for producing a thin layer
US20240035151A1 (en) * 2022-07-27 2024-02-01 Applied Materials, Inc. Methods of selective deposition of molybdenum

Family Cites Families (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR100476374B1 (ko) * 2000-12-26 2005-03-16 주식회사 하이닉스반도체 반도체소자 제조 방법
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR102220703B1 (ko) 2002-11-15 2021-02-26 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
JP2004281479A (ja) 2003-03-13 2004-10-07 Rikogaku Shinkokai 薄膜形成方法
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
KR100891779B1 (ko) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
WO2008136882A2 (en) 2007-02-14 2008-11-13 The Board Of Trustees Of The Leland Stanford Junior University Fabrication method of size-controlled, spatially distributed nanostructures by atomic layer deposition
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
EP2191034B1 (en) 2007-09-14 2013-03-13 Sigma-Aldrich Co. LLC Methods of preparing thin films by atomic layer deposition using monocyclopentadienyl triamino zirconium precursors
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US20100297474A1 (en) 2007-11-06 2010-11-25 Hcf Partners, Lp. Atomic Layer Deposition Process
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
TWI390756B (zh) 2008-07-16 2013-03-21 Applied Materials Inc 使用摻質層遮罩之混合異接面太陽能電池製造
WO2010025262A2 (en) 2008-08-27 2010-03-04 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
JP2012510706A (ja) 2008-12-01 2012-05-10 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 有機電子デバイス用のアノード
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
JP2010232316A (ja) 2009-03-26 2010-10-14 Fujifilm Corp 酸化亜鉛系半導体薄膜の成膜方法、及び成膜装置
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
JP2013501139A (ja) 2009-07-31 2013-01-10 アクゾ ノーベル ケミカルズ インターナショナル ベスローテン フエンノートシャップ コーティングされた基材を調製するためのプロセス、コーティングされた基材、及びその使用
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) * 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) * 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
US8716130B2 (en) 2010-07-01 2014-05-06 Tokyo Electron Limited Method of manufacturing semiconductor device
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8753978B2 (en) 2011-06-03 2014-06-17 Novellus Systems, Inc. Metal and silicon containing capping layers for interconnects
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
US8883637B2 (en) * 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
KR20150036114A (ko) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20150372205A1 (en) 2013-01-31 2015-12-24 Dai Nippon Printing Co., Ltd. Electron beam curable resin composition, reflector resin frame, reflector, semiconductor light-emitting device, and molded article production method
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
RU2643931C2 (ru) 2013-06-28 2018-02-06 Интел Корпорейшн Устройства, основанные на избирательно эпитаксиально выращенных материалах iii-v групп
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
CN105555909B (zh) 2013-09-20 2019-03-12 贝克休斯公司 用于增产和防砂操作的复合物
KR102138719B1 (ko) 2013-09-27 2020-07-28 인텔 코포레이션 인접한 영역들 위로의 층들의 침범을 제한하는 것을 포함한 선택적 화학 반응에 의한 작은 영역들 위에서의 재료 층들의 형성
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US9831306B2 (en) 2013-12-19 2017-11-28 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI686499B (zh) * 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150247238A1 (en) * 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
KR102287787B1 (ko) 2014-03-27 2021-08-10 인텔 코포레이션 광 지원형 금속 원자층 퇴적(ald) 및 화학 기상 퇴적(cvd)을 위한 전구체 및 프로세스 설계
CN106030758B (zh) 2014-03-28 2020-07-17 英特尔公司 选择性外延生长的基于iii-v材料的器件
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
TWI627192B (zh) 2015-03-13 2018-06-21 村田製作所股份有限公司 Atomic layer deposition inhibiting material
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
KR102579784B1 (ko) 2015-05-01 2023-09-15 어플라이드 머티어리얼스, 인코포레이티드 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US10464959B2 (en) 2015-06-18 2019-11-05 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
JP6998945B2 (ja) 2016-10-02 2022-01-18 アプライド マテリアルズ インコーポレイテッド ルテニウムライナーと共に銅のエレクトロマイグレーションを改善するドープされた選択的な金属キャップ
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積

Also Published As

Publication number Publication date
KR20180061052A (ko) 2018-06-07
US20220367173A1 (en) 2022-11-17
US11430656B2 (en) 2022-08-30
KR102513600B1 (ko) 2023-03-23
US20180151345A1 (en) 2018-05-31
TW202227653A (zh) 2022-07-16
TWI759365B (zh) 2022-04-01
JP2022088516A (ja) 2022-06-14
JP7050468B2 (ja) 2022-04-08
KR102626489B1 (ko) 2024-01-18
KR20230043089A (ko) 2023-03-30
TWI804213B (zh) 2023-06-01
JP7300032B2 (ja) 2023-06-28
JP2018100446A (ja) 2018-06-28
TW201833356A (zh) 2018-09-16

Similar Documents

Publication Publication Date Title
TWI759365B (zh) 將薄膜及氧化金屬薄膜沉積於基板表面上之方法
US20210351031A1 (en) Selective deposition using hydrophobic precursors
JP7135187B2 (ja) SiOCN薄膜の形成
US20230132743A1 (en) FORMATION OF SiOC THIN FILMS
US10186420B2 (en) Formation of silicon-containing thin films
TWI708858B (zh) 含鋁與氮之物質的選擇性沈積
KR102185458B1 (ko) 선택적 퇴적
JP5086912B2 (ja) パルスcvdとaldの併用による薄膜の堆積方法
CN110993482A (zh) 选择性钝化和选择性沉积
US20100227476A1 (en) Atomic layer deposition processes
TWI577824B (zh) 使用二氮丁二烯基前驅物沉積含錳膜之方法
TWI727660B (zh) 氮化矽之選擇性沉積
KR20240060762A (ko) 선택적 패시베이션 및 선택적 증착