KR20240060762A - 선택적 패시베이션 및 선택적 증착 - Google Patents

선택적 패시베이션 및 선택적 증착 Download PDF

Info

Publication number
KR20240060762A
KR20240060762A KR1020240053275A KR20240053275A KR20240060762A KR 20240060762 A KR20240060762 A KR 20240060762A KR 1020240053275 A KR1020240053275 A KR 1020240053275A KR 20240053275 A KR20240053275 A KR 20240053275A KR 20240060762 A KR20240060762 A KR 20240060762A
Authority
KR
South Korea
Prior art keywords
layer
passivation layer
selectively
deposition
dielectric
Prior art date
Application number
KR1020240053275A
Other languages
English (en)
Inventor
에바 에. 토이스
수비 프. 하우카
라이야 흐. 마테로
엘리나 파름
델프히네 롱리에
히데미 스에모리
잔 윌렘 매즈
마르코 투오미넨
샤오런 덩
이보 요하네스 라이마커르스
안드레아 일리베리
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20240060762A publication Critical patent/KR20240060762A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

선택적 퇴적을 위한 방법들 및 이들의 구조물들이 제공된다. 물질은 다른 물질 조성의 제2 표면에 대하여, 기판의 제1 표면 상에 물질이 선택적으로 퇴적된다. 패시베이션층은 상기 제1 표면 상에 기상 반응물들로부터 선택적으로 형성되는 한편 패시베이션층이 없는 제2 표면을 남긴다. 관심의 대상인 층이 상기 패시베이션층에 대하여 상기 제2 표면 상에 기상 반응물로부터 선택적으로 퇴적된다. 상기 제1 표면은 금속성인 반면 상기 제2 표면은 유전체이거나, 상기 제1 표면은 유전체인 반면 상기 제2 표면은 금속성일 수 있다. 따라서, 유전체와 같은 물질이 여기 설명된 기술들을 사용하여 다른 타입의 표면에 대하여 금속성 또는 유전체 표면들 상에 선택적으로 퇴적될 수 있다. 하부의 이질적인 물질들 사이의 경계들에 대하여 층 에지들의 위치 및 형상을 조절하기 위한 기술들 및 결과 구조물들이 또한 개시된다.

Description

선택적 패시베이션 및 선택적 증착{SELECTIVE PASSIVATION AND SELECTIVE DEPOSITION}
우선권 출원
본 출원은 2017년 2월 14일 출원된 미국 가출원 번호 제62/458,952호, 2017년 4월 4일 출원된 미국 가출원 번호 제62/481,524호, 및 2017년 11월 28일 출원된 미국 가출원 번호 제62/591,724호에 대한 우선권을 주장한다.
임의의 우선권 출원에 대한 참조에 의한 통합
본 출원과 함께 출원된 출원 자료서에서 외국 또는 국내 우선권 주장이 확인된 모든 출원은 37 CFR 1.57에 의거 본원에 참조로 통합된다.
기술분야
본 개시는 일반적으로 상이한 재료 조성물의 제2 표면에 상대적인 기판의 제1 표면 상에 재료를 선택적으로 증착하는 것에 관한 것이다.
반도체 제조에 있어서 장치의 치수가 축소됨에 따라 새롭고 혁신적인 공정 접근법이 요구된다. 통상적으로, 반도체 공정에서의 패터닝은 블랭킷층이 증착되고, 포토리소그래피 기술에 의해 마스크 처리되고, 마스크의 개구를 통해 식각되는 서브트랙티브 공정을 포함한다. 리프트 오프(lift-off) 기술이나 다마신(damascene) 단계를 사용하는 패터닝과 같이, 마스킹 단계가 관심 재료의 증착에 선행하는 적층 패터닝(additive patterning)이 또한 공지되어 있다. 대부분의 경우에, 고비용의 다단계 리소그래피 기술이 패터닝에 적용된다.
패터닝은 반도체 제조자들 사이에서 관심이 증가되고 있는 선택적 증착에 의해 단순화될 수 있다. 선택적 증착은 다양한 분야에서 매우 유익할 것이다. 중요하게는, 이는 리소그래피 단계를 감소시켜 공정 비용을 줄일 수 있다. 선택적 증착은 또한 예컨대 상향 충전을 가능하게 함으로써 좁은 구조물의 스케일링을 강화할 수 있다. 금속은 전도성 요소 상에 선택적으로 형성될 수 있으므로, 전기화학 증착은 선택적 증착의 일 형태이다. 화학 기상 증착(CVD) 및 원자층 증착(ALD)은 표면민감성 기상 증착 기술이고, 따라서 선택적 증착을 위한 좋은 후보로서 연구되어 왔다. 선택적 ALD는, 예를 들어 U.S. 6,391,785에서 제안되었다.
선택적 증착의 단점 중 하나는 증착 단계에 대한 선택도가 종종 목표 선택도를 달성할 정도로 충분히 높지 않다는 것이다. 표면 전처리는 표면 중 하나 또는 둘 모두에서 증착을 억제하거나 촉진하기 위해 종종 이용 가능하지만, 종종 이러한 처리 자체는 이러한 처리가 처리되어야 할 표면에만 적용되거나 남아 있도록 하기 위해 리소그래피를 필요로 한다.
따라서, 선택적 증착을 달성하기 위한 더 실질적인 공정에 대한 요구가 존재한다.
일 양태에서, 제1 및 제2 표면이 상이한 조성을 갖는 부품의 제1 표면에 대해 상대적인 부품의 제2 표면 상에서 선택적 증착을 위한 방법이 제공된다. 상기 방법은 제2 표면을 패시베이션층 없이 두면서 제1 표면 상에 기상 반응물로부터 패시베이션층을 선택적으로 형성하는 단계를 포함한다. 상기 방법은 패시베이션층에 대해 상대적인 제2 표면 상에 기상 반응물로부터 관심층을 선택적으로 증착하는 단계를 더 포함한다.
일부 구현예에서, 패시베이션층을 선택적으로 형성하는 상기 방법은 제1 표면 상에 중합체를 일부 남기면서 제2 표면으로부터 임의의 폴리머를 식각하는 단계를 더 포함한다. 일부 구현예에서, 상기 방법은 제1 및 제2 표면 사이의 경계와 정렬된 관심층의 에지를 포함한다. 일부 구현예에서, 상기 방법은 제1 표면과 중첩되는 관심층을 포함한다. 일부 구현예에서, 상기 방법은 제2 표면으로부터 돌출된 제1 표면을 포함한다. 일부 구현예에서, 패시베이션층을 제거한 후, 상기 방법은 관심층의 에지와 제1 및 제2 표면 사이의 경계 사이에 존재하면서 제2 표면을 노출시키는 갭을 포함한다. 일부 구현예에서, 상기 방법은 갭 내의 제2 표면을 선택적으로 식각하여 공동을 형성하는 단계를 더 포함한다. 일부 구현예에서, 상기 방법은 공동 내에 공극을 남기는 방식으로 공동 충전 재료를 증착하는 단계를 더 포함한다.
다른 양태에서, 유기층 증착을 위한 장치가 제공된다. 상기 장치는 제1 유기 반응물을 기화시켜 반응물 증기를 형성하도록 구성된 제1 용기 및 제2 유기 반응물을 기화시켜 반응물 증기를 형성하도록 구성된 제2 용기를 포함한다. 상기 장치는 수소 및 불활성 기체 소스와 연통하는 플라즈마 소스 및 기판을 수용하고 제1 및 제2 용기와 유체 연통하도록 구성된 반응 공간을 더 포함한다. 제어 시스템은 제1 및 제2 용기로부터 나온 증기를 전달하여 기판 상에 유기층을 증착하고, 플라즈마 소스를 가동시켜 유기층을 에치 백하도록 구성된다.
다른 양태에서, 집적회로 금속 배선 구조물이 제공된다. 상기 구조물은 저 유전율(low-k) 재료 내에 적어도 부분적으로 매립된 금속성 특징부, 저 유전율 재료, 및 유전체 식각 정지 재료를 포함한다. 상기 구조물은 저 유전율 재료 내에 위치되고 금속성 특징부의 측부에 인접하게 위치된 공극을 더 포함한다.
다른 양태에서, 집적회로 금속 배선 구조물이 제공된다. 상기 구조물은 저 유전율 재료 및 저 유전율 재료 내에 적어도 부분적으로 매립된 금속성 특징부를 포함한다. 상기 구조물은 저 유전율 재료의 위에 놓이는 유전체 식각 정지 재료를 더 포함하되, 상기 유전체 식각 정지 재료는 선택적으로 증착된 재료의 에지 프로파일 특성을 포함한다.
도 1a는 제1 구현예에 따라, 상이한 조성물의 제1 및 제2 표면을 갖는 기판의 일부에 대한 개략적인 단면도이다.
도 1b는 제1 표면의 선택적 패시베이션 후 도 1a의 기판의 개략적인 단면도이다.
도 1c는 제1 표면의 선택적 증착 후 도 1b의 기판의 개략적인 단면도이다.
도 1d는 제1 표면으로부터 패시베이션 재료가 제거된 후 도 1c의 기판의 개략적인 단면도이다.
도 2a는 제2 구현예에 따라, 제2 표면 상에 형성된 패시베이션 차단 재료를 가지며, 상이한 조성물의 제1 및 제2 표면을 갖는 기판의 일부에 대한 개략적인 단면도이다.
도 2b는 제1 표면의 선택적 패시베이션 후 도 2a의 기판의 개략적인 단면도이다.
도 2c는 제2 표면으로부터 패시베이션 차단 재료가 제거된 후 도 2b의 기판의 개략적인 단면도이다.
도 2d는 제1 표면의 선택적 증착 후 도 2c의 기판의 개략적인 단면도이다.
도 2e는 제1 표면으로부터 패시베이션 재료가 제거된 후 도 2d의 기판의 개략적인 단면도이다.
도 3a는 제2 구현예에 따라, 제2 표면 위에 추가적인 재료의 선택적 증착 후 도 2d의 기판의 개략적인 단면도이다.
도 3b는 제1 표면으로부터 패시베이션 재료가 제거된 후 도 3a의 기판의 개략적인 단면도이다.
도 4a는 유기 패시베이션층을 선택적으로 증착하는 방법을 일반적으로 도시한 흐름도이다.
도 4b는 유기 패시베이션층을 선택적으로 증착하는 방법을 일반적으로 도시한 흐름도이다.
도 5는 중합체 표면에 대해 자연 산화물 표면 상의 산화 지르코늄 원자층 증착(ALD) 단계의 선택도를 도시한 그래프이다.
도 6은 산화 티타늄 ALD 단계에 대한 사이클 회수 및 증착 온도의 함수로서 자연 산화물 위의 산화 티타늄의 두께를 도시한 그래프이다.
도 7은 산화 티타늄 ALD 단계에 대한 사이클 회수 및 증착 온도의 함수로서 중합체 위의 산화 티타늄의 두께를 도시한 그래프이다.
도 8은 수(water) 접촉각 대 황 함유 자기조립 단분자막(SAM)을 위한 증기 전구체에 대한 노출 시간을 도시한 막대 그래프이다.
도 9는 염산(HCl) 전처리 후 형성된 황 함유 SAM 상의 수 접촉각을 도시한 현미경 사진이다.
도 10은 포름산(HCOOH) 전처리 후 형성된 황 함유 SAM 상의 수 접촉각을 도시한 현미경 사진이다.
도 11은 황 함유 SAM 및/또는 중합체에 대한 증착 단계에 노출된 후 구리 표면 상의 수 접촉각을 도시한 막대 그래프이다.
도 12는 중합체 증착 단계에 노출된 후의 다양한 재료에 대한 XPS 분석에 의한 재료 조성물을 도시한 막대 그래프이다.
도 13은 중합체 ALD 단계의 100 또는 250 사이클에 노출된 후의 다양한 재료 표면에 대한 재료 조성을 도시한 표이다.
도 14는 황 함유 SAM을 위한 증착 단계에 노출된 후 구리 표면 상의 수 접촉각을 도시한 현미경 사진이다.
도 15는 황 함유 SAM을 위한 증착 단계에 노출된 후 무기 유전체 표면 상의 수 접촉각을 도시한 현미경 사진이다.
도 16은 중합체층의 선택적 증착 및 원하지 않는 표면으로부터의 인 시튜(in situ) 에치 백을 위해 구성된 장치의 개략적인 도시이다.
도 17은 제1 표면을 구현예에 따라 유기 재료로 선택적으로 패시베이션한 후 제2 표면 상에 유전체층을 선택적으로 증착하는 방법을 일반적으로 도시한 흐름도이다.
도 18은 상이한 조성의 제1 및 제2 표면을 갖는 기판의 일부에 대한 개략적인 단면을 이용한 흐름도이며, 형성된 유전체층과 제1 및 제2 표면의 인터페이스의 관계에 대해 패시베이션 재료에 대한 에치 백의 정도가 가지는 효과를 일반적으로 도시한다.
도 19는 상이한 조성물의 제1 및 제2 표면을 갖는 기판의 일부에 대한 개략적인 단면을 이용한 흐름도이며, 형성된 유전체층과 제1 및 제2 표면의 인터페이스의 관계에 대해 패시베이션층 두께가 가지는 효과를 일반적으로 도시한다.
도 20은 상이한 조성물의 제1 및 제2 표면을 갖는 기판의 일부에 대한 개략적인 단면을 이용한 흐름도이며, 형성된 유전체층과 제1 및 제2 표면의 인터페이스의 관계에 대해 유전체 두께가 가지는 효과를 일반적으로 도시한다.
도 21a는 선택적으로 증착된 패시베이션층과 유전체층을 각각 가지며, 상이한 조성의 동일 평면 상의 제1 및 제2 표면을 갖는 기판의 일부에 대한 개략적 단면도이다.
도 21b는 상이한 조성의 제1 및 제2 표면을 갖는 기판의 일부에 대한 개략적 단면도로서, 제1 표면은 제2 표면에 상대적으로 함몰되어 있고, 증착된 패시베이션층과 유전체층이 그 위에 각각 선택적으로 증착되어 있다.
도 21c는 상이한 조성의 제1 및 제2 표면을 갖는 기판의 일부에 대한 개략적 단면도로서, 제1 표면은 제2 표면에 상대적으로 돌출되어 있고, 패시베이션층과 유전체층이 그 위에 각각 선택적으로 증착되어 있다.
도 21d는 상이한 조성의 제1 및 제2 표면을 갖는 기판의 일부에 대한 개략적 단면도로서, 제1 표면은 제2 표면에 상대적으로 함몰되어 있고, 패시베이션층과 유전체층이 그 위에 각각 선택적으로 증착되어 있다.
도 22a는 금속 특징부가 매립된 기판의 일부에 대한 개략적 단면도이다.
도 22b는 제1 표면을 정의하기 위한 금속 캡이 형성된 후의 도 22a의 일부에 대한 개략적 단면도이다.
도 22c는 금속 캡의 에지가 노출된 금속 캡 상에 패시베이션 막을 남기는 선택적 패시베이션 증착 및 에치 백 후의 도 22b의 기판의 개략적 단면도이다.
도 22d는 기판의 저 유전율 표면 상에 유전체 재료를 선택적으로 증착한 후의 도 22c의 기판의 개략적 단면도로서, 증착된 유전체는 저 유전율 재료의 식각에 저항성을 가지며 금속 캡과 중첩된다.
도 22e는 패시베이션층이 제거된 후의 도 22d의 기판의 개략적인 단면도이다.
도 23a는 상이한 조성의 제1 및 제2 표면을 갖는 기판의 일부에 대한 개략적인 단면을 보여주는 흐름도이며, 일반적으로 제1 표면의 선택적 패시베이션, 제2 표면과 중첩되는 패시베이션을 남기는 방식의 에치 백, 및 제2 표면의 잔여부 상에 유전체 식각 마스크의 선택적 증착을 도시한다.
도 23b는 패시베이션층을 제거하고, 제1 표면과 유전체 식각 마스크 사이에 갭을 남기고, 갭에 노출된 저 유전율 재료를 선택적으로 식각하고, 기판 내에 공극을 남기도록 증착한 후의 도 23a의 기판의 개략적인 단면도이다.
도 24는 에천트(etchant)로서 O3을 사용하는 3가지 상이한 식각 온도에 대한 식각 펄스의 함수로서 중합체 두께를 도시하는 그래프이다.
도 25는 중합체의 O3 식각에 대한 역 온도의 함수로서 식각 속도의 아레니우스 도표(Arrhenius plot)를 도시하는 그래프이다.
제1 표면에 상대적인 제 2 표면 상에 재료를 선택적으로 증착하는 방법 및 장치가 개시되며, 여기서 제1 및 제2 표면은 재료 차이를 가진다. 예를 들어, 이들 표면 중 하나는 금속성 재료를 포함할 수 있고 다른 하나의 표면은 무기 유전체를 포함할 수 있다. 본원에 기술된 구현예에서, 유기 패시베이션층은 제2 표면에 상대적인 제1 표면 상에 선택적으로 증착된다. 일부 구현예에서, 제1 표면은 금속성이고 제2 표면은 유전체이며, 다른 구현예에서, 제1 표면은 유전체이고 제2 표면은 금속성이다. 이어서, 관심층은 유기 패시베이션층에 상대적인 제2 표면 상에 선택적으로 증착된다. 유기 패시베이션층에 상대적인 제2 표면 상의 관심층 상에 추가적인 층들이 선택적으로 증착될 수 있다.
일 구현예에서, 제1 표면은 원소 금속 또는 금속 합금과 같은 금속성 표면을 포함하고, 제2 표면은 저 유전율 재료와 같은 무기 유전체 표면을 포함한다. 예시적인 저 유전율 재료는 성장되거나 증착된 이산화규소, 도핑된 산화물 및/또는 다공성 산화물, 실리콘상의 자연 산화물 등을 포함하는 실리콘 산화물계 재료를 포함한다. 중합체 패시베이션층은 무기 유전체 표면에 상대적인 금속 표면 상에 선택적으로 증착된다. 이어서, 관심층이 무기 유전체 표면 상에 선택적으로 증착된다. 관심층은 금속 원소를 포함할 수 있다. 예시적인 관심층은 산화 지르코늄 (예: ZrO2), 산화 하프늄 (예: HfO2) 및 산화 티타늄 (예: TiO2)과 같은 유전체를 포함한다. 중합체층에 대해 산화규소계 표면 상에 이러한 재료를 선택적으로 증착하는 방법이 제공된다.
일 구현예에서, 제1 표면은 저 유전율 재료와 같은 무기 유전체 표면을 포함하고, 제2 표면은 원소 금속 또는 금속 합금과 같은 금속 표면을 포함한다. 예시적인 저 유전율 재료는 성장되거나 증착된 이산화규소, 도핑된 다공성 산화물 또는 다공성 산화물, 실리콘상의 자연 산화물 등을 포함하는 실리콘 산화물계 재료를 포함한다. 중합체 패시베이션층은 금속 표면에 대해 무기 유전체 표면 상에 선택적으로 증착된다. 중합체 패시베이션층의 증착에 앞서, 금속 표면에는 자기조립 단분자막(SAM)과 같은 패시베이션 차단층이 제공될 수 있다. 패시베이션 차단층은 무기 유전체 표면 상의 중합체 증착에 대한 선택을 용이하게 하고, 그 이후 제거되어 중합체 패시베이션층에 대해 관심층이 금속 표면 상에 선택적으로 증착되게 할 수 있다. 관심층은 금속 원소를 포함할 수 있다. 예시적인 관심층은 금속층(예: 2015년 2월 17일 허여된 미국 특허번호 제8,956,971호 및 2015년 8월 18일 허여된 미국 특허번호 제9,112,003호 참조) 및 산화금속층(예: 산화 지르코늄, 산화 하프늄, 산화 티타늄)을 포함한다. 중합체 표면에 대해 금속 표면 상에 이러한 재료를 선택적으로 증착하는 방법이 제공된다.
제3 구현예에서, 제2 구현예의 방법이 수행되어 중합체-부동태화 무기 유전체 표면에 대해 금속 표면 위에 선택적으로 관심층을 제공한다. 그 이후, 중합체가 무기 유전체 표면을 부동태화하는 동안, 관심층 위에 추가적인 관심층이 선택적으로 증착된다. 예를 들어, 추가적인 관심층이 산화 금속층(예: 산화 지르코늄, 산화 하프늄, 산화 티타늄)을 포함하는 반면 관심층은 금속층을 포함할 수 있다. 중합체 표면에 대해 금속 표면 상에 이러한 재료를 선택적으로 증착하는 방법이 제공된다.
중합체 패시베이션층은 관심층(들)이 제2 표면 위에 선택적으로 증착된 후에 제1 표면으로부터 제거될 수 있다. 예를 들어, 산화 단계에서 중합체 재료가 선택적으로 제거될 수 있다. 기판 상의 주변 재료가 손상되지 않도록 조건이 선택된다.
또한, 하부의 금속 표면과 유전체 표면 사이의 경계와 같은 기판상의 다른 특징부들에 대해 선택적으로 증착된 층에 대한 에지 프로파일 및 에지 위치를 제어하기 위한 구현예가 제공된다. 따라서, 비싼 리소그래피 패터닝을 할 필요 없이 선택 층 에지의 상대적인 위치 설정이 제어된다. 선택 층이 증착이 최소화된 재료와 중첩되는 실시예; 증착이 최소화된 재료로부터 선택층을 이격시키는 갭을 갖는 선택층이 형성되는 실시예; 및 선택층의 에지가 두개의 이질적인 하부 재료 사이의 경계와 정렬되는 실시예를 포함하는 실시예들이 이러한 제어를 위한 응용예를 도시한다.
기판 표면
본 개시의 일부 양태에 따르면, 선택적 증착은 바람직하게 제1 표면에 상대적인 기판의 제2 표면 상에 관심막을 증착하기 위해 사용될 수 있다. 상기 두 표면은 유기 물질이 그 위에 선택적으로 형성되도록 하는, 예컨대 제2 표면에 대해 제1 표면에 중합체층이 선택적으로 증착되게 하는 상이한 물성을 가지며, 이는 이어서 유기-부동태화 제1 층에 대해 제2 표면에 관심층의 후속적인 선택적 증착을 허용한다.
예를 들어, 본원에 기술된 구현예에서, 상기 표면들 중 하나는 기판의 전도성 (예: 금속 또는 금속성) 표면일 수 있고, 다른 표면은 기판의 비전도성 (예: 무기 유전체) 표면일 수 있다. 일부 구현예에서, 실리콘 산화물(예: 성장된 실리콘 산화물 재료 및 증착된 실리콘 산화물 재료 및 실리콘 상의 자연 산화물을 포함하는 저 유전율 재료)계 표면과 같은 비전도성 표면은 -OH기를 포함한다. 일부 구현예에서, HF 디핑된 Si 표면 또는 HF 디핑된 Ge 표면과 같은 비전도성 표면은 -H 말단을 더 포함할 수 있다. 이러한 구현예에서, 관심 표면은 -H 말단 및 -H 말단 아래의 재료 모두를 포함하는 것으로 간주될 것이다.
전술한 임의의 실시예에 있어서, 두 표면 사이의 재료 차이는 증기 증착법이 제2 표면에 대해 제1 표면에 유기 패시베이션층을 선택적으로 증착할 수 있도록 한다. 일부 구현예에서, 주기적 증기 증착이 사용되며, 예를 들어 주기적 CVD 단계 또는 원자층 증착(ALD) 단계가 사용된다. 일부 구현예에서, 유기 패시베이션층에 대한 선택도는 더 적은 유기층을 수용하도록 표면 상에 패시베이션제나 차단제없이 달성될 수 있고/있거나; 더 많은 유기층을 수용하도록 표면 상에 촉매제없이 달성될 수 있다. 예를 들어, 제1 표면이 금속성이고 제2 표면이 유전체인 구현예에서, 중합체는 무기 유전체 표면에 대해 직접 금속 표면에 직접 선택적으로 증착될 수 있다. 다른 구현예에서, 제1 표면이 유전체이고 제2 표면이 금속성인 경우, 제2 표면을 먼저 처리하여 그 위에 중합체 증착을 억제한다. 예를 들어, SAM으로 덮인 제2 금속 표면에 대해 무기 유전체 표면과 같은 유전체 표면에 중합체 패시베이션층의 선택적 증착을 용이하게 하는 금속 표면 위에 패시베이션을 차단하는 자기조립 단분자막(SAM)이 먼저 형성될 수 있다. 유기 패시베이션의 선택적 증착이 완료된 후, 부동태화 제1 표면에 상대적인 비 부동태화 제2 표면 상에 금속 산화물 또는 금속층과 같은 관심 재료의 선택적 증착이 더 수행될 수 있다.
달리 명시되지 않는 한, 하나의 표면이 금속을 포함하는 반면 다른 표면은 금속을 포함하지 않는 구현예에 있어서, 본원에서 표면이 금속 표면으로 지칭되는 경우, 이는 금속 표면 또는 금속성 표면일 수 있다. 일부 구현예에서, 금속 표면 또는 금속성 표면은 금속, 금속 산화물, 및/또는 이들의 혼합물을 포함할 수 있다. 일부 구현예에서, 금속 표면 또는 금속성 표면은 표면 산화를 포함할 수 있다. 일부 구현예에서, 금속 표면 또는 금속성 표면의 금속 또는 금속성 재료는 표면 산화와 관계없이 도전성이다. 일부 구현예에서, 금속 표면 또는 금속성 표면은 하나 이상의 전이금속을 포함한다. 일부 구현예에서, 금속 표면 또는 금속성 표면은 Al, Cu,Co, Ni, W, Nb, Fe, 또는 Mo 중 하나 이상을 포함한다. 일부 구현예에서, 금속성 표면은 티타늄 질화물을 포함한다. 일부 구현예에서, 금속 표면 또는 금속성 표면은 Ru와 같은 하나 이상의 귀금속을 포함한다. 일부 구현예에서, 금속 표면 또는 금속성 표면은 전도성 금속 산화물, 질화물, 탄화물, 붕화물, 또는 이들의 조합을 포함한다. 예를 들어, 금속 표면 또는 금속성 표면은 RuOx, NbCx, NbBx, NiOx, CoOx, NbOx, MoOx, WOx, WNCx, TaN, 또는 TiN 중 하나 이상을 포함할 수 있다.
일부 구현예에서, 금속 표면 또는 금속성 표면은 코발트(Co), 구리(Cu), 텅스텐(W) 또는 몰리브데늄(Mo)을 포함한다. 일부 구현예에서, 금속 표면 또는 금속성 표면은 구현예에 따라 본원에 기술된 바와 같이 유기 패시베이션층 또는 관심층 중 하나의 선택적 증착 단계에 사용된 제1 또는 제2 전구체를 수용하거나 이와 배위될 수 있는 임의의 표면일 수 있다.
일부 구현예에서, 유기 패시베이션 재료는 다른 표면들에 대해 금속 산화물 표면에 선택적으로 증착된다. 금속 산화물 표면은, 예를 들어 WOx, TiOx 표면일 수 있다. 일부 구현예에서, 금속 산화물 표면은 금속성 재료의 산화된 표면이다. 일부 구현예에서, 금속 산화물 표면은 O3, H2O, H2O2, O2, 산소 원자, 플라즈마나 라디컬 또는 이들의 혼합물을 포함하는 화합물과 같은 산소 화합물을 사용하는 금속성 재료의 적어도 표면을 산화시킴으로써 생성된다. 일부 구현예에서, 금속 산화물 표면은 금속성 재료에 형성된 자연 산화물이다.
일부 구현예에서, 제2 표면은 그 위에 패시베이션 차단층을 포함하는 금속 표면을 포함할 수 있다. 즉, 일부 구현예에서, 제2 표면은, 패시베이션층이 그 위에 증착되는 것을 억제하는 물질, 예를 들어 자기조립 단분자막(SAM)을 포함하는 금속 표면을 포함할 수 있다.
일부 구현예에서, 유기 패시베이션 재료는 제2 유전체 표면에 대해 금속성 재료의 산화된 표면인 제1 금속 산화물 표면에 선택적으로 증착된다.
일부 구현예에서, 제1 및 제2 표면 중 하나는 기판의 금속 표면 또는 금속성 표면이고, 다른 하나의 표면은 기판의 유전체 표면이다. 유전체(dielectric)라는 용어는 다른 표면, 즉 금속 또는 금속성 표면과의 구분을 간략화하기 위해 본 명세서에 사용된다. 당업자는 모든 비전도성 표면이 유전체 표면은 아니며, 역으로 모든 금속성 표면이 전도성은 아니라는 것을 이해할 것이다. 예를 들어, 금속 표면 또는 금속성 표면은 비도전성이거나 매우 높은 비저항을 갖는 산화된 금속 표면을 포함할 수 있다. 본원에 교시된 선택적 증착 단계는 부동태화 절연체 표면을 최소한으로 증착하면서 이러한 비전도성 금속성 표면을 증착할 수 있고, 유사하게 선택적 증착 단계는 부동태화 비전도성 금속성 표면을 최소한으로 증착하면서 절연체 표면을 증착할 수 있다.
일부 구현예에서, 기판은 선택적 증착 단계가 시작될 때 또는 이에 앞서 전처리되거나 세척될 수 있다. 일부 구현예에서, 기판은 선택적 증착 단계가 시작될 때 또는 이에 앞서 플라즈마 세척 단계를 거칠 수 있다. 일부 구현예에서, 플라즈마 세척 단계는 이온 충격(ion bombardment)을 포함하거나 상대적으로 적은 양의 이온 충격을 포함할 수 있다. 예를 들어, 일부 구현예에서, 패시베이션층의 선택적 증착 단계가 시작될 때 또는 이에 앞서 기판 표면이 플라즈마, 라디컬, 여기종, 및/또는 원자종에 노출될 수 있다. 예를 들어, 일부 구현예에서, 패시베이션층의 선택적 증착 단계가 시작될 때 또는 이에 앞서 기판 표면이 수소 플라즈마, 라디컬, 또는 원자종에 노출될 수 있다. 일부 구현예에서, 전처리 단계 또는 세척 단계는 선택적 증착 단계와 동일한 반응 챔버에서 수행될 수 있지만, 일부 구현예에서 전처리 단계 또는 세척 단계는 별도의 반응 챔버에서 수행될 수 있다.
선택도(Selectivity)
당업자는 선택적 증착이 완전히 선택적이거나 부분적으로 선택적일 수 있다는 것을 이해할 것이다. 부분적으로 선택적인 단계는 표면 A로부터 모든 증착된 재료를 제거하지 않으면서 표면 B로부터 모든 증착된 재료를 제거하는 증착 후 식각에 의해 완전히 선택적인 층을 유도할 수 있다. 간단한 에치 백 단계는 고비용의 마스킹 단계를 필요로 하지 않으면서 완전히 선택적인 구조를 남길 수 있기 때문에, 원하는 이익을 얻기 위해 선택적 증착이 완전히 선택적일 필요는 없다.
표면 B에 대해 표면 A의 증착 선택도는 [(표면 A의 증착)-(표면 B의 증착)]/(표면 A의 증착)에 의해 계산되는 백분율로서 주어질 수 있다. 증착은 다양한 방식들 중 하나로 측정될 수 있다. 예를 들어, 증착은 증착된 재료의 측정된 두께로서 주어지거나, 증착된 재료의 측정된 양으로서 주어질 수 있다. 본원에 기술된 구현예에서, 유기 패시베이션층의 선택적 증착은 제2 표면(B)에 대해 제1 표면(A) 상에 선택적으로 증착될 수 있다. 후속하여, 관심층은 제1 표면 위의 유기 패시베이션층(B)에 대해 제2 표면(A) 상에 선택적으로 증착된다.
일부 구현예에서, (제2 표면에 대해) 제1 표면에 패시베이션층의 선택적 증착을 위한 선택도 및/또는 (제1 표면 상의 패시베이션층에 대해) 제2 표면 상의 관심층의 선택도는 약 10%보다 더 크거나, 약 50%보다 더 크거나, 약 75%보다 더 크거나, 약 85%보다 더 크거나, 약 90%보다 더 크거나, 약 93%보다 더 크거나, 약 95%보다 더 크거나, 약 98%보다 더 크거나, 약 99%보다 더 크거나, 또는 심지어 약 99.5%보다 더 크다. 본원에 기재된 구현예에서, 유기 패시베이션층에 대한 선택도는 증착 지속시간 또는 증착 두께에 따라 변할 수 있다. 놀랍게도, 선택도는 본원에 기술된 기상 중합체층 증착을 위한 증착 지속시간과 함께 증가하는 것으로 밝혀졌다. 대조적으로, 상이한 표면 상의 차동적인 핵형성에 기초한 일반적인 선택적 증착은 증착 지속시간이 더 길거나 증착 두께가 더 커지면 덜 선택적이 되는 경향이 있다.
일부 구현예에서, 증착은 제1 표면에서만 일어나고 제2 표면에서는 일어나지 않는다. 일부 구현예에서, 기판의 표면 B에 대해 기판의 표면 A 상의 증착은 적어도 약 80% 선택적인데, 이는 일부 특정 응용예에서는 충분히 선택적일 수 있다. 일부 구현예에서, 기판의 표면 B에 대해 기판의 표면 A 상의 증착은 적어도 약 50% 선택적인데, 이는 일부 특정 응용예에서 충분히 선택적일 수 있다. 일부 구현예에서, 기판의 표면 B에 대해 기판의 표면 A 상의 증착은 적어도 약 10% 선택적인데, 이는 일부 특정 응용예에서 충분히 선택적일 수 있다. 당업자는 부분적으로 선택적인 단계가 표면 A로부터 모든 증착된 재료를 제거하지 않으면서 표면 B로부터 모든 증착된 재료를 제거하는 증착 후 식각에 의해 완전히 선택적인 구조를 유도할 수 있다는 것을 이해할 것이다. 또한, 아래의 도 17 내지 도 23b의 설명으로부터 더 잘 이해될 수 있듯이, 증착 후 식각은 선택적으로 증착된 층의 위치 및/또는 프로파일을 맞추는데 도움을 줄 수 있다.
일부 구현예에서, 기판의 제1 표면에 증착된 유기층은 약 50 nm 미만, 약 20 nm 미만, 약 10 nm 미만, 약 5 nm 미만, 약 3 nm 미만, 약 2 nm 미만, 또는 약 1 nm 미만의 두께를 가질 수 있는 반면, 기판의 제2 표면에 대해 기판의 제1 표면에 증착된 재료의 비는 약 200:1 이상, 약 100:1 이상, 약 50:1 이상, 약 25:1 이상, 약 20:1 이상, 약 15:1 이상, 약 10:1 이상, 약 5:1 이상, 약 3:1 이상, 또는 약 2:1 이상일 수 있다.
일부 구현예에서, 본원에 기술된 선택적 증착 단계의 선택도는 기판의 제1 표면 및/또는 제2 표면을 정의하는 재료의 재료 조성에 따라 달라질 수 있다. 예를 들어, 제1 표면이 BTA 부동태화 Cu 표면을 포함하고 제2 표면이 자연 산화물 표면 또는 화학적 실리콘 이산화물 표면을 포함하는 일부 구현예에서, 선택도는 약 8:1보다 더 크거나 약 15:1보다 더 클 수 있다. 예를 들어, 제1 표면이 금속 또는 금속 산화물을 포함하고 제2 표면이 자연 산화물 표면 또는 화학적 실리콘 이산화물 표면을 포함하는 일부 구현예에서, 선택도는 약 5:1보다 더 크거나 약 10:1보다 더 클 수 있다.
유전체 상의 선택적 증착
도 1a 내지 도 1d는 제2 표면에 대해 제1 표면의 선택적 패시베이션을 위한 제1 구현예를 개략적으로 도시하며, 부동태화 제1 표면에 상대적인 제2 표면 상에 선택적 증착이 이어진다. 도시된 구현예에서, 제1 표면은 금속성 재료를 포함하고; 제2 표면은 무기 유전체 재료를 포함하며; 제2 표면에 증착된 관심 재료는 유전체 재료를 포함한다.
도 1a는 상이한 재료의 표면이 노출된 기판을 도시한다. 예를 들어, 제1 표면은 코발트(Co), 구리(Cu), 텅스텐(W) 또는 몰리브데늄(Mo)과 같은 금속을 포함하거나 이에 의해 정의될 수 있다. 제2 표면은 (일반적으로 실리콘 산화물계 층인) 저 유전율층 또는 (실리콘 산화물의 형태 및) 자연 산화물이 형성된 실리콘 표면과 같은 무기 유전체를 포함하거나 이에 의해 정의될 수 있다.
도 1b는 제1 표면 사에 패시베이션층이 선택적으로 증착된 후 도 1a의 기판을 도시한다. 예를 들어, 패시베이션층은 제1층의 금속성 표면에 선택적으로 증착된 중합체층일 수 있다. 증기 증착 기술에 의해 중합체 증을 선택적으로 증착하는 방법은 2016년 6월 1일에 출원된 미국 특허 출원번호 제15/170,769호에 개시되어 있으며, 그 개시 전체는 모든 목적을 위해 참조로서 본원에 통합된다. 패시베이션층으로서 작용하기 위한 중합체층의 선택적 증착에 대한 추가적인 정보 및 예는 아래에 제공된다.
일부 구현예에서, 선택적으로 증착된 중합체는 폴리이미드이다. 일부 구현예에서, 증착된 중합체는 폴리이미드이다. 증착된 중합체의 다른 예는 이량체, 삼량체, 폴리우레아층, 폴리티오펜 폴리우레탄, 폴리티오우레아, 폴리에스테르, 폴리이민, 다른 중합체 형태 또는 상기 재료의 혼합물을 포함한다. 증기 증착된 유기 재료는 중합체 형성의 전구체일 수 있는 폴리아믹산을 포함한다. 선택적으로 증착된 층은 중합체 및 폴리아믹산을 포함하는 혼합물일 수 있으며, 이는 본 발명의 목적 상 중합체로 간주될 것이다.
상술한 바와 같이, 제2 표면(본 실시예에서는 무기 유전체 표면) 상에 증착된 임의의 유기 재료는 에치 백 단계에 의해 제거될 수 있다. 일부 구현예에서, 유기층의 선택적 증착에 후속하는 식각 단계는 기판의 제1 표면과 제2 표면 모두로부터 증착된 유기 재료를 제거할 수 있다. 일부 구현예에서, 식각 단계는 등방성일 수 있다.
일부 구현예에서, 식각 단계는 제1 및 제2 표면으로부터 동일한 양 또는 두께의 재료를 제거할 수 있다. 즉, 일부 구현예에서, 제1 표면에 증착된 유기 재료의 식각 속도는 제2 표면에 증착된 유기 재료의 식각 속도와 실질적으로 유사할 수 있다. 본원에 기술된 증착 단계의 선택성으로 인해 기판의 제2 표면에 증착된 유기 재료의 양은 기판의 제1 표면에 증착된 재료의 양보다 실질적으로 더 적다. 따라서, 식각 단계는 증착된 유기 재료를 기판의 제2 표면으로부터 완전히 제거할 수 있는 반면 기판의 제1 표면 상에는 증착된 유기 재료가 남아 있을 수 있다. 중합체를 식각하는 적합한 단계는 도 1d와 관련하여 아래에 기술된다.
도 1c는 (본 실시예에서는 금속성 표면인) 제1 표면 상의 패시베이션층에 대해 (본 실시예에서는 무기 유전체 표면인) 제2 표면 상에 관심층(X)을 선택적으로 증착한 후의 도 1b의 표면을 도시한다. 관심층(X)은 유전체 재료, 구체적으로는 지르코늄 산화물, 하프늄 산화물 또는 티타늄 산화물과 같은 금속 산화물일 수 있다. 유기 패시베이션층에 대해 선택도를 돕기 위해 친수성 전구체를 사용하는 증기 증착 기술에 의해 이러한 금속 산화물층을 선택적으로 증착하는 방법들은 2016년 5월 5일 출원된 미국 가출원 번호 제62/332,396호에 개시되어 있으며, 그 개시 전체는 모든 목적을 위해 참조로서 본원에 통합된다. 금속 산화물 및 기타 관심층의 선택적 증착에 대한 추가적인 정보 및 실시예는 아래에 제공된다.
전술한 바와 같이, 제1 표면 상의 패시베이션층에 증착된 임의의 X 재료는 에치 백 단계에 의해 제거될 수 있다. 관심층이 제2 표면 상에 선택적으로 증착되어 있기 때문에, 패시베이션층에 남아 있는 임의의 X 재료는 금속 표면에 형성된 패시베이션층보다 더 얇을 것이다. 따라서, 에치 백 단계는 유전체 표면으로부터 모든 관심층을 제거하지 않으면서 패시베이션층 위의 모든 X 재료를 제거하도록 제어될 수 있다. 이런 방식으로 선택적 증착과 에치 백을 반복하면 증착 및 식각의 각 사이클마다 X 재료의 두께가 증가할 수 있다. 이런 방식으로 선택적 증착과 에치 백을 반복하면 증착 및 식각의 각 사이클 후 선택적 X 증착의 핵생성이 잘 되지 않는 깨끗한 패시베이션층을 남기므로, 유전체 상의 X 재료의 전반적인 선택도가 증가할 수도 있다. 대안적으로, 임의의 X 재료는 리프트 오프 단계에서 패시베이션층이 후속적으로 제거되는 동안 제거될 수 있으며, 이에 대한 예시적 조건은 도 1d와 관련하여 아래에 기술된다. 당업계에 공지된 바와 같이, 리프트 오프 단계는 하부 재료를 제거하는 언더커팅에 의해 위에 놓인 재료를 제거한다. 짧은 선택적 증착 단계에서 패시베이션층에 형성된 임의의 X 재료는 비연속적인 경향이 있어서 제거될 하부 재료에 에천트가 접근되도록 한다. 리프트 오프 식각은 패시베이션층으로부터 원하지 않는 X 재료를 모두 제거하기 위해서 패시베이션층을 완전히 제거할 필요는 없으므로, 직접 식각이나 리프트 오프 방법 중 하나가 주기적인 선택적 증착 및 제거에서 패시베이션층 표면으로부터 X 재료를 제거하는데 사용될 수 있다.
도 1d는 제1 표면으로부터 패시베이션층이 제거된 후의 도 1c의 기판을 도시한다. 일부 구현예에서, 식각 단계는 기판을 플라즈마에 노출시키는 단계를 포함할 수 있다. 일부 구현예에서, 플라즈마는 산소 원자, 산소 라디컬, 산소 플라즈마 또는 이들의 조합을 포함할 수 있다. 일부 구현예에서, 플라즈마는 수소 원자, 수소 라디컬, 수소 플라즈마, 또는 이들의 조합을 포함할 수 있다(예를 들어, 패시베이션층의 선택적 증착에 대한 아래의 실시예 2 참조). 일부 구현예에서, 플라즈마는 희귀 가스종, 예를 들어 Ar종이나 He종을 포함할 수도 있다. 일부 구현예에서, 플라즈마는 본질적으로 희귀 가스종으로 구성될 수 있다. 일부 경우에, 플라즈마는 다른 종, 예를 들어 질소 원자, 질소 라디컬, 질소 플라즈마, 또는 이들의 조합을 포함할 수 있다. 일부 구현예에서, 식각 단계는 산소, 예를 들어 O3을 포함하는 에천트에 기판을 노출시키는 단계를 포함할 수 있다. 일부 구현예에서, 기판은 약 30℃ 내지 약 500℃, 바람직하게는 약 100℃ 내지 약 400℃의 온도에서 에천트에 노출될 수 있다. 일부 구현예에서, 에천트는 하나의 연속적 펄스로 공급될 수 있거나, 다수의 더 짧은 펄스로 공급될 수 있다. 전술한 바와 같이, 패시베이션층의 제거는 주기적인 선택적 증착 및 제거에 있어서 패시베이션층을 완전히 제거하거나 패시베이션층을 부분적으로 제거할 때 패시베이션층으로부터 임의의 잔여 X 재료를 리프트 오프하는데 사용될 수 있다.
전술한 바와 같이, 일부 구현예에서, O3(예: O3/N2)이 유기 패시베이션층의 제거를 위한 식각 단계에 사용될 수 있다. 일부 구현예에서, 식각 단계는 약 20℃ 내지 약 500℃의 기판 온도에서 수행될 수 있다. 일부 구현예에서, 식각 단계는 약 50℃ 내지 약 300℃의 기판 온도에서 수행될 수 있다. 일부 구현예에서, 식각 단계는 약 100℃ 내지 약 250℃의 기판 온도에서 수행될 수 있다. 일부 구현예에서, 식각 단계는 약 125℃ 내지 약 200℃의 기판 온도에서 수행될 수 있다. 일부 구현예에서, 식각 단계는 약 0.05 nm/min 내지 약 50.0 nm/min의 속도로 수행될 수 있다. 일부 구현예에서, 식각 단계는 약 0.1 nm/min 내지 약 5.0 nm/min의 속도로 수행될 수 있다. 일부 구현예에서, 식각 단계는 약 0.2 nm/min 내지 약 2.5 nm/min의 속도로 수행될 수 있다. 단일 웨이퍼 처리 또는 소 배치(예: 웨이퍼 5개 미만) 처리를 위한 일부 구현예에서, 저 농도 O3 식각 단계가 사용될 수 있으며, 여기서 저 농도 O3 식각 단계는 0.01 토르(Torr) 내지 200 토르, 더 바람직하게는 약 0.1 토르 내지 100 토르(예: 2 토르)에서 수행된다. 에천트 펄싱은 0.01초와 20초 사이, 구체적으로는 0.05초와 10초 사이, 더욱 더 구체적으로는 0.1초와 2초 사이(예: 0.5초 펄스/0.5초 O3 퍼징)일 수 있다. O3 유량은 0.01 slm 내지 1 slm, 더 구체적으로는 0.01 slm 내지 0.250 slm 범위일 수 있다. 불활성 캐리어 가스(예: N2) 유량은 0.1 slm 내지 20 slm, 더 구체적으로는 0.5 slm 내지 5 slm(예: 1.2 slm) 범위일 수 있다. 일부 구현예에서, 고농도 O3 식각 단계가 사용될 수 있으며, 여기서 고농도 O3 식각 단계는 1~100 토르, 더 구체적으로는 5~20 토르(예: 9 토르)에서 수행되며, 사이클당 노출 시간은 더 길다. 예를 들어, O3 노출 시간은 0.1초 내지 20초, 더 구체적으로는 0.5초 내지 5초(예: 1초 펄스/1초 O3 퍼징)일 수 있다. 이러한 고농도 O3 단계를 위한 O3 유량은 불활성 기체(예: N2)의 희석 유량이 0.1 slm 내지 20 slm, 더 구체적으로는 0.5 slm 내지 5 slm(예: 1.2 slm)일 때, 0.1 slm 내지 2.0 slm, 더 구체적으로는 0.5 slm 내지 1.5 slm(예: 750 sccm)일 수 있다. O3 식각 단계에 대한 추가적인 기술은 도 24 및 25를 참조하여 아래에 제공된다.
열처리 또는 화학적 처리와 같은 추가적인 처리가 전술한 단계들의 이전, 이후, 또는 그 사이에 수행될 수 있다. 예를 들어, 처리에 의해 표면이 개질되거나 공정의 다양한 단계에서 노출된 금속, 실리콘 산화물, 중합체 패시베이션 및 금속 산화물 표면의 일부가 제거될 수 있다. 일부 구현예에서, 기판은 선택적 증착 단계가 시작될 때 또는 이에 앞서 전처리되거나 세척될 수 있다. 일부 구현예에서, 기판은 선택적 증착 단계가 시작될 때 또는 이에 앞서 플라즈마 세척 단계를 거칠 수 있다. 일부 구현예에서, 플라즈마 세척 단계는 이온 충격을 포함하거나 상대적으로 적은 양의 이온 충격을 포함할 수 있다. 예를 들어, 일부 구현예에서, 기판 표면은 선택적 증착 단계가 시작될 때 또는 이에 앞서 플라즈마, 라디컬, 여기종, 및/또는 원자종에 노출될 수 있다. 예를 들어, 일부 구현예에서, 기판 표면은 선택적 증착 단계가 시작될 때 또는 이에 앞서 수소 플라즈마, 라디컬, 또는 원자종에 노출될 수 있다. 일부 구현예에서, 전처리 단계 또는 세척 단계는 선택적 증착 단계와 동일한 반응 챔버에서 수행될 수 있지만, 일부 구현예에서 전처리 단계 또는 세척 단계는 별도의 반응 챔버에서 수행될 수 있다.
금속의 선택적 증착
도 2a 내지 도 2e는 제2 표면에 상대적인 제1 표면의 선택적 패시베이션을 위한 제2 구현예를 개략적으로 도시하며, 부동태화 제1 표면에 상대적인 제2 표면 상에 선택적 증착이 이어진다. 도시된 구현예에서, 제1 표면은 무기 유전체 재료를 포함하고; 제2 표면은 금속성 표면을 포함하며; 제2 표면에 증착된 관심 재료는 유전체 재료 또는 금속을 포함한다.
도 2a는 상이한 재료의 표면을 가지며, 도 1a의 기판과 유사한 기판을 도시한다. 본 구현예의 경우, 표면들은 역전된 용어로 기술되어 있다. 특히, 제2 표면은 코발트(Co), 구리(Cu), 텅스텐(W) 또는 몰리브데늄(Mo)과 같은 금속성 재료를 포함하거나 이에 의해 정의될 수 있다. 제1 표면은 (일반적으로 실리콘 산화물계 층인) 저 유전율층 또는 (실리콘 산화물의 형태인) 자연 산화물이 형성된 실리콘 표면과 같은 무기 유전체를 포함할 수 있다. 패시베이션 차단층이 제2 표면 위에 형성된다. 용어 "차단(blocking)"은 패시베이션층의 후속적인 선택적 증착이 패시베이션 차단층에 의해 완전히 차단된다는 것을 의미하는 것은 아님에 유의해야 한다. 오히려, 제2 표면 상의 패시베이션 차단층은 패시베이션층의 증착이 제1 표면에 대한 성장 속도에 비해 상대적으로 낮은 성장 속도를 갖는 것을 억제하기만 하면 된다.
일 구현예에서, 패시베이션 차단층은 자기조립 단분자막(SAM)을 포함한다. 바람직하게는, SAM은 제1 (유전체) 표면 상에 형성하지 않고 제2 (금속성) 표면 위에 선택적으로 형성될 수 있다. 유리하게는, 아래의 도 11 내지 13을 참조하여 논의된 바와 같이, 황 함유 SAM은 패시베이션층이 그 위에 증착되는 것을 최소화하는데 특히 효과적인 것으로 밝혀졌다. 증기 전달된 1-도데칸티올(CH3(CH2)11SH)을 이용하여 황 함유 SAM을 형성하는 자세한 내용은 도 8~10 및 도 14와 15를 참조하여 아래에서 논의된다.
도 2b는 제2 표면 상의 패시베이션 차단층에 대해 상대적인 제1 표면(이 경우, 무기 유전체층임) 상에서 패시베이션층(예: 유기 패시베이션층)의 선택적 형성을 도시한다. 상기 2016년 6월 1일에 출원되고 본원에 통합된 특허 출원번호 제15/170,769호에 기술된 바와 같이, 여기에 기술된 증기 증착 단계는 무기 유전체 상에 중합체를 증착할 수 있고, 다른 유형의 실리콘 산화물 상에 선택적으로 (즉, 차동 증착 속도로) 증착할 수도 있다. 본 구현예에서, 황 함유 SAM은 중합체의 증착을 억제함으로써 중합체가 제1 표면 상에서 선택적으로 형성될 수 있게 하며, 후속 증착에 대한 패시베이션층으로서 작용할 수 있다.
도 2c는 제2 표면으로부터 패시베이션 차단층이 제거된 후의 도 2b의 기판을 도시한다. 예를 들어, 황 함유 SAM 재료는 폴리이미드와 같은 중합체층을 제거하는 온도보다 낮은 온도에서 열처리에 의해 제거될 수 있다. 따라서, 패시베이션층은 제1 표면 상에 선택적으로 남겨지고, 제2 표면은 노출된다. 상기 구조는, 제1 부동태화 표면이 본 구현예에서 무기 유전체이고 제2 표면이 금속성 표면이라는 점을 제외하고는 도 1b의 구조와 유사하다.
도 2d는 제1 표면 상의 패시베이션층에 대해 상대적인 제2 표면 상에 관심 X층이 선택적으로 증착된 후의 도 2c의 기판을 도시한다. 제1 구현예와 관련하여 설명된 바와 같이, 및 2016년 5월 5일 출원되고 본원에 통합된 상기 특허 가출원번호 제62/332,396호에 기술된 바와 같이, 금속 산화물은 증기 증착 기술 및 유기 패시베이션층에 대한 선택을 돕는 소수성 전구체를 사용하여 다수의 상이한 표면 상에 선택적으로 증착될 수 있다. 금속 산화물 및 기타 관심층의 선택적 증착에 대한 추가적인 정보 및 실시예는 아래에 제공된다.
대안적으로, 관심 X층은 금속층이다. 모든 목적을 위해 그 개시 전체가 참조로서 본원에 통합된 2015년 2월 17일에 허여된 미국 특허번호 제8,956,971호 및 2015년 8월 18일에 허여된 미국 특허번호 제9,112,003호는 유기 재료를 포함하는 비-금속성 표면에 대해 상대적인 금속성 표면 상에 금속성 재료를 선택적으로 증착하기 위한 방법을 교시한다.
도 2e는 선택적으로 형성된 유전체를 금속 또는 금속 위의 금속에 남기고 제1 표면으로부터 패시베이션층을 제거한 후의 도 2d의 기판을 도시한다. 패시베이션층은 제1 구현예와 관련하여 전술한 바와 같이, 예컨대 O3 식각에 의해 제거될 수 있다.
도 3a 및 3b는 제2 표면에 상대적인 제1 표면의 선택적 패시베이션을 위한 제3 구현예를 도시하며, 부동태화 제1 표면에 상대적인 제2 표면의 선택적 증착이 이어진다. 도시된 구현예에서, 도 2a 내지 2d의 단계들이 먼저 수행된다.
도 3a는 추가적인 선택적 증착 후의 도 2d의 기판을 도시한다. 관심 X층이 금속성 재료인 경우, 추가적인 선택적 증착은 유기 패시베이션층에 대해 상대적인 제1 관심층 상에 제2 관심 Y층으로서 유전체 재료를 선택적으로 형성할 수 있다. 제1 및 제2 구현예와 관련하여 설명한 바와 같이, 및 2016년 5월 5일 출원되고 본원에 통합된 상기 특허 가출원번호 제62/332,396호에 기술된 바와 같이, 금속 산화물은 증기 증착 기술 및 유기 패시베이션층에 대한 선택을 돕는 소수성 전구체를 사용하여 다수의 상이한 표면 상에 선택적으로 증착될 수 있다. 금속 산화물 및 기타 관심층의 선택적 증착에 대한 추가적인 정보 및 실시예는 아래에 제공된다.
도 3b는 선택적으로 형성된 유전체를 금속에 남기고 제1 표면으로부터 패시베이션층을 제거한 후의 도 3a의 기판을 도시한다. 패시베이션층은 제1 구현예와 관련하여 전술한 바와 같이, 예컨대 O3 식각에 의해 제거될 수 있다.
제1 구현예와 마찬가지로, 제2 및 제3 구현예는 전술한 단계들의 이전, 이후 또는 그 사이에 열처리 또는 화학적 처리와 같은 추가적인 처리를 포함할 수 있다.
패시베이션층의 선택적 증착
2016년 6월 1일 출원되고 본원에 통합된 미국 특허 가출원번호 제15/170,769호에 개시된 바와 같이, 기상 증착 기술은 폴리이미드층, 폴리아미드층, 폴리우리아층, 폴리우레탄층, 폴리티오펜층 등과 같은 중합체 및 유기 패시베이션층에 적용될 수 있다. 중합체층의 CVD는 액체 전구체를 적용하는 것과 비교해서 더 뛰어난 두께 제어, 기계적 유연성, 등각 커버리지, 및 생체적합성을 제공할 수 있다. 순차적인 중합체 증착 단계는 연구용 소규모 반응기에서 높은 성장 속도를 만들어낼 수 있다. CVD와 유사하게, 순차적 증착 단계는 더 뛰어난 두께 제어, 기계적 유연성, 및 등각성을 제공할 수 있다. 용어 "순차적 증착" 및 "주기적 증착"은, 반응 메커니즘이 ALD, CVD, MLD 또는 이들의 조합과 유사한지의 여부와 상관없이, 기판이 상이한 전구체들에 교번적으로 또는 순차적으로 노출되는 단계에 적용하도록 본원에서 사용된다.
도 4a 및 일부 구현예를 참조하면, 제1 표면과 제2 표면을 포함하는 기판이 단계 11에서 제공된다. 본원에서 설명된 바와 같이, 제1 및 제2 표면은 상이한 재료 특성을 가질 수 있다. 일부 구현예에서, 제1 표면은 전도성 표면, 예를 들어 금속 표면 또는 금속성 표면일 수 있고, 제2 표면은 유전체 표면(예를 들어, 도 1a 내지 1d 참조)일 수 있다. 일부 구현예에서, 제1 표면은 유전체 표면일 수 있고, 제2 표면은 상이한 제2 유전체 표면일 수 있다. 일부 구현예에서, 제1 표면은 유전체 표면, 예를 들어 실리콘 산화물계 재료일 수 있고, 제2 표면은 SAM(예를 들어, 도 2a 내지 3b 참조)과 같은 패시베이션 차단 재료일 수 있다.
일부 구현예에서, 제1 전구체는 제1 온도에서 기화되어 제1 기상 전구체를 형성할 수 있다. 일부 구현예에서, 제1 전구체 증기는 제2 온도에서 가스 라인을 통해 기판에 전송된다. 일부 구현예에서, 제2 전송 온도는 제1 기화 온도보다 높다. 일부 구현예에서, 기판은 단계 12에서 제1 노출 시간 동안 제1 기상 전구체 또는 반응물과 접촉된다. 일부 구현예에서, 기판은 제1 온도보다 높은 제3 온도에서 제1 기상 전구체와 접촉될 수 있다.
일부 구현예에서, 제1 전구체 노출 시간은 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.1초 내지 약 10초 또는 약 0.2초 내지 약 5초이다. 최적 노출 시간은 구체적인 환경에 따라 당업자에 의해 쉽게 결정될 수 있다. 회분식 반응기(batch reactor)가 사용될 수 있는 일부 구현예에서, 60초보다 긴 노출 시간이 사용될 수 있다.
일부 구현예에서, 기판은 단계 13에서 제2 노출 시간 동안 제2 기상 전구체 또는 반응물과 접촉된다. 일부 구현예에서, 제2 전구체는 제4 온도에서 기화되어 제2 기상 전구체를 형성할 수 있다. 일부 구현예에서, 제2 반응물 증기는 제2 온도에서 가스 라인을 통해 기판에 전송된다. 일부 구현예에서, 제5 전송 온도는 제1 기화 온도보다 높다. 일부 구현예에서, 기판은 제4 온도보다 높은 제6 온도에서 제2 기상 전구체와 접촉될 수 있다. 일부 구현예에서, 제6 온도는 제1 기상 전구체가 기판과 접촉하는 제3 온도와 실질적으로 동일할 수 있다.
일부 구현예에서, 제2 전구체 노출 시간은 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.1초 내지 약 10초 또는 약 0.2초 내지 약 5초이다. 최적 노출 시간은 구체적인 환경에 따라 당업자에 의해 쉽게 결정될 수 있다. 회분식 반응기(batch reactor)가 사용될 수 있는 일부 구현예에서, 60초보다 긴 노출 시간이 사용될 수 있다.
단계 14에서, 유기층이 제2 표면에 대해 상대적인 제1 표면 상에 선택적으로 증착된다. 당업자는 유기층의 선택적 증착이 별도의 단계가 아니라 전술한 접촉 단계 12~13의 결과라는 것을 이해할 것이다. 일부 구현예에서, 전술한 접촉 단계(단계 12~13)는 증착 사이클로 간주될 수 있다. 이러한 선택적 증착 사이클은 충분한 두께의 층이 기판에 남을때 까지 반복될 수 있고(단계 15), 증착은 종료된다(단계 16). 선택적 증착 사이클은 추가적인 단계를 포함할 수 있고, 동일한 시퀀스이거나 반복해서 동일하게 수행될 필요가 없으며, 보다 복잡한 증기 증착 기술로 쉽게 확장될 수 있다. 예를 들어, 선택적 증착 사이클은 각 사이클 또는 선택된 사이클에서 (기판에 대해) 추가적인 반응물의 공급 및 제거와 같은 추가적인 반응물 공급 단계를 포함할 수 있다. 도시되지 않았지만, 상기 단계는 증착된 층을 처리하여 (예를 들어, UV 처리, 어닐링 등을 하여) 중합체를 형성하는 단계를 더 포함할 수 있다. 전술한 바와 같이, 선택적으로 형성된 유기층은 증착을 억제하는 패시베이션층으로서 작용할 수 있고, 후속적인 관심층의 선택적 증착에서 선택도를 증가시킬 수 있다.
도 4b를 참조하면, 일부 구현예에서 도 4a의 증기 증착 단계는 원자층 증착 단계을 포함한다. 제1 표면과 제2 표면을 포함하는 기판이 단계 21에서 제공된다. 제1 및 제2 표면은 상이한 재료 특성을 가질 수 있다. 일부 구현예에서, 제1 표면은 전도성 표면, 예를 들어 금속 표면 또는 금속성 표면일 수 있고, 제2 표면은 유전체 표면(예를 들어, 도 1a 내지 1d 참조)일 수 있다. 일부 구현예에서, 제1 표면은 유전체 표면일 수 있고, 제2 표면은 상이한 제2 유전체 표면일 수 있다. 일부 구현예에서, 제1 표면은 유전체 표면, 예를 들어 실리콘 산화물계 재료일 수 있고, 제2 표면은 SAM(예를 들어, 도 2a 내지 3b 참조)과 같은 패시베이션 차단 재료일 수 있다.
일부 구현예에서, 유기 패시베이션층의 선택적 증기 증착을 위한 순차적 증착 방법은 제1 온도에서 제1 유기 전구체를 기화시켜 제1 전구체 증기를 형성하는 단계를 단계 22에서 포함한다. 일부 구현예에서, 제1 전구체 증기는 제2 온도에서 가스 라인을 통해 기판에 전송된다. 일부 구현예에서, 제2 전송 온도는 제1 기화 온도보다 높다. 일부 구현예에서, 기판은 단계 23에서 제1 노출 시간 동안 기상 제1 전구체와 접촉된다. 일부 구현예에서, 제1 전구체 또는 제1 전구체종은 자기 포화 방식 또는 자기 제한 방식으로 기판 상에 화학적으로 흡착된다. 가스 라인은 소스로부터 기판에 제1 전구체 증기를 전송하는 임의의 도관일 수 있다. 일부 구현예에서, 기판은 제1 온도보다 높은 제3 온도에서 제1 전구체에 노출될 수 있다.
일부 구현예에서, 제1 전구체 노출 시간은 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.1초 내지 약 10초 또는 약 0.2초 내지 약 5초이다. 최적 노출 시간은 구체적인 환경에 따라 당업자에 의해 쉽게 결정될 수 있다. 회분식 반응기(batch reactor)가 사용될 수 있는 일부 구현예에서, 60초보다 긴 노출 시간이 사용될 수 있다.
이어서, 과량의 제1 전구체 증기(및 임의의 반응 부산물)는 단계 24에서 기판과의 접촉부로부터 제거될 수 있다. 이러한 제거는, 예를 들어 퍼징하거나, 펌프 다운하거나, 기판이 제1 반응물에 노출된 영역 또는 챔버로부터 멀리 기판을 이동시키거나, 이들을 조합함으로써 달성될 수 있다. 일부 구현예에서, 제1 전구체 제거 시간, 예를 들어 퍼징 시간은 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.1초 내지 약 10초 또는 약 0.2초 내지 약 5초이다. 최적 제거 시간은 구체적인 환경에 따라 당업자에 의해 쉽게 결정될 수 있다. 회분식 반응기(batch reactor)가 사용될 수 있는 일부 구현예에서, 60초보다 긴 제거 시간이 사용될 수 있다.
일부 구현예에서, 제2 전구체는 단계 25에서 제4 온도에서 기화되어 제2 기상 전구체를 형성할 수 있다. 일부 구현예에서, 제2 반응물 증기는 제2 온도에서 가스 라인을 통해 기판에 전송된다. 일부 구현예에서, 제5 전송 온도는 제1 기화 온도보다 높다. 일부 구현예에서, 기판은 제4 온도보다 높은 제6 온도에서 제2 기상 전구체와 접촉될 수 있다. 일부 구현예에서, 제6 온도는 제1 기상 전구체가 기판과 접촉하는 제3 온도와 실질적으로 동일할 수 있다. 일부 구현예에서, 기판은 단계 26에서 제2 노출 시간 동안 제2 전구체 증기에 노출될 수 있다. 일부 구현예에서, 제2 반응물은 기판 상에 흡착된 제1 반응물의 종과 반응할 수 있다.
일부 구현예에서, 제1 전구체 노출 시간은 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.1초 내지 약 10초 또는 약 0.2초 내지 약 5초이다. 최적 노출 시간은 구체적인 환경에 따라 당업자에 의해 쉽게 결정될 수 있다. 회분식 반응기(batch reactor)가 사용될 수 있는 일부 구현예에서, 60초보다 긴 노출 시간이 사용될 수 있다.
일부 구현예에서, 과량의 제2 전구체 증기(및 임의의 휘발성 반응 부산물)는 단계 27에서 기판과의 접촉부로부터 제거되어, 제1 반응물 증기와 제2 반응물 증기가 혼합되지 않도록 한다. 일부 구현예에서, 유기층의 증기 증착 단계는 플라즈마 및/또는 라디컬을 사용되지 않으며, 열적 증기 증착 단계로 간주될 수 있다. 일부 구현예에서, 제2 전구체 제거 시간, 예를 들어 퍼징 시간은 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.1초 내지 약 10초 또는 약 0.2초 내지 약 5초이다. 최적 제거 시간은 구체적인 환경에 따라 당업자에 의해 쉽게 결정될 수 있다. 회분식 반응기(batch reactor)가 사용될 수 있는 일부 구현예에서, 60초보다 긴 제거 시간이 사용될 수 있다.
단계 28에서, 유기층이 제2 표면에 대해 상대적인 제1 표면 상에 선택적으로 증착된다. 당업자는 유기층의 선택적 증착이 별도의 단계가 아니라 전술한 접촉 단계의 결과라는 것을 이해할 것이다. 일부 구현예에서, 전술한 접촉 및 제거 (및/또는 공급 중단) 단계(단계 23~27)는 증착 사이클로 간주될 수 있다. 일부 구현예에서, 증착 사이클은 원하는 두께의 유기층이 선택적으로 증착될 때까지 반복될 수 있다. 이러한 선택적 증착 사이클은 충분한 두께의 층이 기판에 남을때 까지 반복될 수 있고(단계 29), 증착은 종료된다(단계 30). 선택적 증착 사이클은 추가적인 단계를 포함할 수 있고, 동일한 시퀀스이거나 반복해서 동일하게 수행될 필요가 없으며, 보다 복잡한 증기 증착 기술로 쉽게 확장될 수 있다. 예를 들어, 선택적 증착 사이클은 각 사이클 또는 선택된 사이클에서 추가적인 반응물의 공급 및 제거와 같은 추가적인 반응물 공급 단계를 포함할 수 있다. 도시되지 않았지만, 상기 단계는 증착된 층을 처리하여 (예를 들어, UV 처리, 어닐링 등을 하여) 중합체를 형성하는 단계를 더 포함할 수 있다.
다양한 반응물이 전술한 단계에 사용될 수 있다. 예를 들어, 일부 구현예에서, 제1 전구체 또는 반응물은 디아민, 예를 들어 1,6-디아미노헥산(DAH)과 같은 유기 반응물이거나, 두개의 반응성 기를 갖는 임의의 다른 모노머이다. 일부 구현예에서, 제2 반응물 또는 전구체는 또한 증착 조건 하에서 흡착된 제1 반응물의 종과 반응할 수 있는 유기 반응물이다. 예를 들어, 제2 반응물은 푸란-2,5-디온 (말레산 무수물)과 같은 무수물일 수 있다. 무수물은 이무수물, 예를 들어, 피로멜리트산 무수물 (PMDA), 또는 제1 반응물과 반응할 두개의 반응성 기를 갖는 임의의 다른 모노머를 포함할 수 있다.
일부 구현예에서, 기판은 제2 전구체와 접촉되기 전에 제1 전구체와 접촉된다. 따라서, 일부 구현예에서, 기판은 다른 전구체와 접촉되기 전에 디아민과 같은 아민, 예를 들어 1,6-디아미노헥산(DAH)과 접촉된다. 그러나, 일부 구현예에서, 기판은 제1 전구체와 접촉되기 전에 제2 전구체와 접촉될 수 있다. 따라서, 일부 구현예에서, 기판은 다른 전구체와 접촉되기 전에 푸란-2,5-디온(말레산 무수물)과 같은 무수물이나, 더 구체적으로는 예를 들어 피로멜리트산 이무수물(PMDA)과 같은 이무수물과 접촉된다.
일부 구현예에서, 층 특성을 조정하는데 상이한 반응물이 사용될 수 있다. 예를 들어, 방향성이 강화되고 건식 식각 저항성이 강화된 보다 단단한 구조를 얻기 위해 1,6-디아미노헥산 대신에 4,4'-옥시디아닐린 또는 1,4-디아미노벤젠을 사용하여 폴리이미드층을 증착할 수 있었다.
일부 구현예에서, 반응물은 금속 원자를 함유하지 않는다. 일부 구현예에서, 반응물은 반금속 원자를 함유하지 않는다. 일부 구현예에서, 반응물 중 하나는 금속 원자 또는 반금속 원자를 포함한다. 일부 구현예에서, 반응물은 탄소, 수소 및 다음 중 하나 이상을 포함한다: N, O, S, P 또는 Cl이나 F와 같은 할라이드. 일부 구현예에서, 제1 반응물은, 예를 들어 아디포일 염화물(AC)을 포함할 수 있다.
증착 조건은 선택된 반응물에 따라 달라질 수 있고, 선택에 따라 최적화될 수 있다. 일부 구현예에서, 반응 온도는 약 80℃ 내지 약 250℃의 범위에서 선택될 수 있다. 일부 구현예에서, 반응 챔버 압력은 약 1 m토르 내지 약 1000 토르일 수 있다. 예를 들어 선택적으로 증착된 유기층이 폴리아미드를 포함는 일부 구현예에서, 반응 온도는 약 80℃ 내지 약 150℃의 범위에서 선택될 수 있다. 선택적으로 증착된 유기층이 폴리아미드를 포함하는 일부 구현예에서, 반응 온도는 약 80℃, 90℃, 100℃, 110℃, 120℃, 130℃, 140℃, 또는 150℃보다 더 높을 수 있다. 선택적으로 증착된 유기층이 폴리이미드를 포함하는 일부 구현예에서, 반응 온도는 약 160℃, 180℃, 190℃, 200℃, 또는 210℃보다 더 높을 수 있다.
예를 들어, 단일 웨이퍼 증착 도구에서 PMDA 및 DAH를 사용하여 폴리이미드를 순차적으로 증착하는 경우, 기판 온도는 약 150℃ 내지 약 250℃, 또는 약 170℃ 내지 약 210℃의 범위에서 선택될 수 있고, 압력은 약 1 m토르 내지 약 760 토르, 더 구체적으로는 약 100 m토르 내지 약 100 토르의 범위에서 선택될 수 있다.
일부 구현예에서, 본원에 기술된 선택적 증착 단계에 사용하기 위한 반응물은 일반 화학식을 가질 수 있다:
(1) R1(NH2)2
(여기서, R1은 1개 내지 5개의 탄소 원자, 2개 내지 5개의 탄소 원자, 2개 내지 4개의 탄소 원자, 5개 이하의 탄소 원자, 4개 이하의 탄소 원자, 3개 이하의 탄소 원자, 또는 2개의 탄소 원자를 포함하는 지방족 탄소 사슬일 수 있음.) 일부 구현예에서, 반응물이나 전구체 중의 탄소 원자들 간의 결합은 단일 결합, 이중 결합, 삼중 결합, 또는 이들의 일부 조합일 수 있다. 따라서, 일부 구현예에서, 반응물은 두개의 아미노기를 포함할 수 있다. 일부 구현예에서, 반응물의 아미노기는 지방족 탄소 사슬 상의 하나의 말단 위치 또는 두 말단 위치 모두를 차지할 수 있다. 그러나, 일부 구현예에서, 반응물의 아미노기는 지방족 탄소 사슬 상의 어느 말단 위치도 차지하지 않을 수 있다. 일부 구현예에서, 반응물은 디아민을 포함할 수 있다. 일부 구현예에서, 반응물은 1,2-디아미노에탄(l), 1,3-디아미노프로판(l), 1,4-디아미노부탄(l), 1,5-디아미노펜탄(l), 1,2-디아미노프로판(l), 2,3-부탄디아민, 2,2-디메틸-1,3-프로판디아민(l)으로 이루어진 군으로부터 선택된 유기 전구체를 포함할 수 있다.
일부 구현예에서, 본원에 기술된 선택적 증착 단계에 사용하기 위한 반응물은 일반 화학식을 가질 수 있다:
(2) R2(COCl)2
(여기서, R2는 1개 내지 3개의 탄소 원자, 2개 내지 3개의 탄소 원자, 또는 3개 이하의 탄소 원자를 포함하는 지방족 탄소 사슬일 수 있음.) 일부 구현예에서, 반응물이나 전구체 중의 탄소 원자들 간의 결합은 단일 결합, 이중 결합, 삼중 결합, 또는 이들의 일부 조합일 수 있다. 일부 구현예에서, 반응물은 염화물을 포함할 수 있다. 일부 구현예에서, 반응물은 다아실 염화물을 포함할 수 있다. 일부 구현예에서, 반응물은 옥살릴 염화물(I), 말로닐 염화물, 및 푸마릴 염화물의 군으로부터 선택된 유기 전구체를 포함할 수 있다.
일부 구현예에서, 반응물은 1,4-디이소시아나토부탄 또는 1,4-디이소시아나토벤젠의 군으로부터 선택된 유기 전구체를 포함할 수 있다. 일부 구현예에서, 반응물은 테레프탈로일 디클로라이드, 헥산디올 디클로라이드와 같은 알킬디올 디클로라이드, 옥탄디올 디클로라이드, 노난디올 디클로라이드, 데칸디올 디클로라이드, 또는 테레프탈로일 디클로라이드의 군으로부터 선택된 유기 전구체를 포함한다. 일부 구현예에서, 반응물은 1,4-디이소티오시아나토벤젠 또는 테레프탈알데히드의 군으로부터 선택된 유기 전구체를 포함할 수 있다. 일부 구현예에서, 기화되는 반응물은 1,4-디아미노벤젠, 데칸-1,10-디아민, 4-니트로벤젠-1,3-디아민, 4,4'-옥시디아닐린, 또는 에틸렌 디아민과 같은 디아민일 수도 있다. 일부 구현예에서, 반응물은 테레프탈산 비스(2-하이드록시에틸) 에스테르일 수 있다. 일부 구현예에서, 반응물은 카르복시산, 예를 들어 알킬-, 알케닐-, 알카디에닐-디카르복시산 또는 트리카르복시산, 예컨대 옥살산(ethanedioc acid), 말론산(propanedioic acid), 석신산(butanedioic acid), 글루타르산(pentanedioic acid) 또는 프로판-1,2,3-트리카르복시산일 수 있다. 일부 구현예에서, 반응물은 벤조산, 벤젠-1,2-디카르복시산, 벤젠-1,4-디카르복시산 또는 벤젠-1,3-디카르복시산과 같은 방향족 카르복시산 또는 디카르복시산일 수 있다. 일부 구현예에서, 반응물은 탄화수소에 결합된 하나 이상의 OH-기를 포함할 수 있다. 일부 구현예에서, 반응물은 디올, 트리올, 4-아미노페놀과 같은 아미노페놀, 벤젠-1,4-디올 또는 벤젠-1,3,5-트리올의 군으로부터 선택될 수 있다. 일부 구현예에서, 반응물은 8-퀴놀리놀일 수 있다. 일부 구현예에서, 반응물은 알케닐트리클로로실란과 같은 알케닐클로로실란, 예컨대 7-옥테닐트리클로로실란을 포함할 수 있다.
일부 구현예에서, 반응물은 약 20℃ 또는 상온에서 약 0.5 토르보다 크거나, 0.1 토르, 0.2 토르, 0.5 토르, 1 토르 이상의 증기압을 가질 수 있다. 일부 구현예에서, 반응물은 약 400℃ 미만, 300℃ 미만, 약 250℃ 미만, 약 200℃ 미만, 약 175℃ 미만, 약 150℃ 미만, 또는 약 100℃ 미만의 비등점을 가질 수 있다.
실시예 1: 패시베이션층의 선택적 증착
본원에 기술된 선택적 증착 방법에 따라 다수의 기판 상에 샘플 폴리이미드 박층을 증착하였다. 실리콘 산화물 표면과 교번하는 텅스텐(W) 특징부를 갖는 200 mm 실리콘 웨이퍼를 기판으로서 사용하였다. 텅스텐 특징부의 폭은 250 nm로 피치는 약 600 nm였다. 폴리이미드 증착 단계를 PRI 클러스터 도구에 연결된 Pulsar 3000® cross-flow ALD 반응기에서 수행하였다.
본원에 기술된 방법에 따라 DAH를 제1 기상 반응물로서 및 PMDA를 제2 기상 반응물로서 사용하여 샘플 폴리이미드층의 제1 배치(batch)를 증착하였다. 제1 반응물인 DAH를 450 sccm의 유속을 갖는 N2 캐리어 가스에 의해 45℃에서 공급하였다. DAH 펄스 시간은 5초였고, DAH 퍼징 시간은 4초였다. 제2 반응물인 PMDA를 450 sccm의 유속을 갖는 N2 캐리어 가스에 의해 180℃에서 반응 챔버에 공급하였다. PMDA 펄스 시간은 11초였고, PMDA 퍼징 시간은 4초였다. 반응 온도 또는 기판 온도는 160℃였다. 25 내지 100회의 증착 사이클을 사용해 폴리이미드층을 증착하였다.
본원에 기술된 방법에 따라 제1 배치와 실질적으로 유사하되 190℃의 반응 온도를 갖는 조건을 사용하여 샘플 폴리이미드층의 제2 배치를 증착하였다. 250 내지 1000회의 증착 사이클을 사용해 폴리이미드층을 증착하였다.
폴리이미드층의 두께는 주사 -투과 전자 현미경을 사용해 측정하였다. 폴리이미드층의 제1 배치는, 성장 속도가 4~6 Å/사이클일 때 25 증착 사이클을 갖는 단계의 경우 5 nm와 100 증착 사이클을 갖는 단계의 경우 40 nm 사이의 두께를 갖는 것으로 밝혀졌다. 기판의 W 표면 상에 증착된 폴리이미드의 양은 실리콘 산화물 표면 상에 증착된 폴리이미드의 양과 실질적으로 동일하였다. 따라서, 이 방법의 경우 160℃의 반응 온도에서의 증착은 선택적이지 않았다.
폴리이미드층의 제2 배치는 W 표면 상에서 250 증착 사이클을 갖는 단계의 경우 7 nm와 1000 증착 사이클을 갖는 단계의 경우 28 nm 범위의 두께를 갖는 것으로 밝혀졌다. 실리콘 산화물 표면 상의 폴리이미드층 두께는 250 증착 사이클을 갖는 단계의 경우 4 nm와 1000 증착 사이클을 갖는 단계의 경우 6 nm의 범위였다. 따라서, 폴리이미드 증착은 190℃의 반응 온도에서 선택적이었다. W 표면에서의 성장 속도는 약 0.2~1 Å/사이클이었다.
실시예 2: 패시베이션층의 선택적 증착
본원에 기술된 방법에 따라, DAH를 제1 기상 전구체로서 및 PMDA를 제2 기상 전구체로서 사용하여 실리콘 산화물 표면과 교번하여 패턴화된 텅스텐(W) 특징부를 갖는 200 mm 실리콘 웨이퍼 상에 샘플 폴리이미드층을 선택적으로 증착하였다. 제1 반응물인 DAH를 450 sccm의 유속을 갖는 N2 캐리어 가스에 의해 45℃에서 공급하였다. DAH 펄스 시간은 5초였고, DAH 퍼징 시간은 4초였다. 제2 반응물인 PMDA를 450 sccm의 유속을 갖는 N2 캐리어 가스에 의해 180℃에서 반응 챔버에 공급하였다. PMDA 펄스 시간은 11초였고, PMDA 퍼징 시간은 4초였다. 반응 온도는 190℃였다. 1000 증착 사이클을 사용해 폴리이미드 샘플층을 증착하였다. 약 30 nm의 층 두께를 갖는 폴리이미드를 W 표면 상에 증착하였다. 실질적으로 약 4 nm 더 적은 양의 폴리이미드가 실리콘 산화물 표면 상에 증착되었다.
이어서 샘플 폴리이미드층을 100W를 사용해 생성된 H2 플라즈마로 300℃의 온도에서 40초 동안 식각하였다. H2 가스의 유속은 100 sccm이었다. 폴리이미드는 실리콘 산화물 표면으로부터 완전히 제거하였지만, 약 9 nm의 두께를 갖는 폴리이미드층이 W 표면 상에 남았다.
유기 표면에 대해 상대적인 관심층의 선택적 증착
2016년 5월 5일 출원되고 본원에 통합된 미국 특허 가출원 번호 제62/332,396호에 개시된 바와 같이, 본원에 개시된 패시베이션층과 같은 유기 재료에 대해 상대적인 금속성 재료, 및 구체적으로는 금속 산화물을 선택적으로 증착하는 것은 소수성 반응물을 사용함으로써 용이해질 수 있다. 일부 구현예에서, 제1 표면 상에 패시베이션층을 선택적으로 형성한 후, 금속 산화물의 금속을 포함하는 제1 소수성 반응물 및 산소를 포함하는 제2 반응물과 기판을 교번적으로 및 순차적으로 접촉시킴으로써 제2 표면 상에 금속 산화물이 선택적으로 증착된다. 일부 구현예에서, 제2 반응물은 물(water)이다. 일부 구현예에서, 비-유기층이 제2 표면 상에 또는 그 위에 선택적으로 증착되는 것(예: 도 1a 내지 3b 참조)을 제외하고, 기판은 도 4a의 시퀀스와 유사하게 제1 및 제2 반응물과 순차적으로 접촉된다.
소수성 반응물은 하나 이상의 소수성 리간드를 포함한다. 일부 구현예에서, 소수성 반응물은 2개 내지 4개의 소수성 리간드를 포함한다. 원자가/산화 상태가 n인 금속을 포함하는 소수성 반응물의 경우, 일부 구현예에서, 소수성 전구체는 n-1 또는 n-2개의 소수성 리간드를 포함한다.
일부 구현예에서, 적어도 하나의 소수성 리간드는 C와 H만을 포함한다. 일부 구현예에서, 적어도 하나의 소수성 리간드는 C, H 및 Si 또는 Ge를 포함하되, 추가적인 원소를 포함하지 않는다.
일부 구현예에서, 탄화수소 리간드는 다음 중 하나 이상을 포함한다:
* (단일, 이중 또는 삼중 결합된) C1~C10 탄화수소
o 알킬
- C1~C5 알킬
* Me, Et, Pr, iPr, Bu,tBu
o 알케닐
- C1~C6 알케닐
o 환형 탄화수소
- C3~C8
* 시클로펜타디에닐
* 시클로헵타디에닐
* 시클로헵타트리에닐
* 시클로헥실
* 이들의 유도체
o 방향족
- C6 방향족 고리 및 이들의 유도체
일부 구현예에서, 소수성 반응물은 친수성 리간드를 포함하지 않는다. 그러나, 일부 구현예에서, 소수성 반응물은 하나 또는 두개의 친수성 리간드를 포함할 수 있다. 일부 구현예에서, 친수성 리간드는 질소, 산소 및/또는 할로겐기를 포함한다.
일부 구현예에서, 친수성 리간드는 알킬아민(-NR2, 여기서 각각의 R은 알킬, 수소일 수 있음) 이다. 일부 구현예에서, 친수성 리간드는 -NMe2, -NEtMe, 또는 -NEt2일 수 있다.
일부 구현예에서, 친수성 리간드는 알콕시드, 예를 들어 -OMe, -OEt, -OiPr, -OtBu이다.
일부 구현예에서, 친수성 리간드는 염화물, 불화물 또는 다른 할라이드와 같은 할라이드를 포함한다.
일부 구현예에서, 소수성 전구체는 다음의 화학식을 포함한다.
o LnMXy, 여기서
- 일부 구현예에서, n은 1~6이고;
* 일부 구현예에서, n은 1~4, 또는 3~4이다.
- 일부 구현예에서, y는 0~2이고;
* 일부 구현예에서, y는 0~1이다.
- L은 소수성 리간드이고;
* 일부 구현예에서, L은 Cp 또는 C1~C4 알킬 리간드이다.
- X는 친수성 리간드이고;
* 일부 구현예에서, X는 알킬아민, 알콕시드 또는 할라이드 리간드이다.
- M은 (13족 원소, B, Ga를 포함하는) 금속이고;
* 일부 구현예에서, M은 +I 내지 +VI의 산화 상태를 갖는다.
o 일부 구현예에서, M은 +IV 내지 +V의 산화 상태를 갖는다.
* 일부 구현예에서, M은 전이금속일 수 있다.
o 일부 구현예에서, M은 Ti, Ta, Nb, W, Mo, Hf, Zr, V, 또는 Cr이다.
- 일부 구현예에서, M은 Hf, Zr, Ta 또는 Nb이다.
- 일부 구현예에서, M은 Zr이다.
o 일부 구현예에서, M은 Co, Fe, Ni, Cu,또는 Zn이다.
o 일부 구현예에서, 금속은 W 또는 Mo이다.
* 일부 구현예에서, M은 희귀 토금속일 수 있다.
o 일부 구현예에서, M은 La, Ce, 또는 Y이다.
* 일부 구현예에서, M은 2~13족 유래의 금속일 수 있다.
o 일부 구현예에서, M은 Ba, Sr, Mg, Ca, 또는 Sc이다.
* 일부 구현예에서, M은 귀금속이 아니다.
더 일반적으로, 일부 구현예에서, 선택적 ALD 단계에는 금속 전구체가 사용된다. 일부 구현예에서, 금속 전구체의 금속은 Al, Ti, Ta, Nb, W, Mo, Hf, Zr, V, Cr, Co, Fe, Ni, Cu,Zn, La, Ce, Y, Ba, Sr, Mg, Ca, 또는 Sc, 또는 이들의 혼합물을 포함하는 군으로부터 선택될 수 있다. 일부 구현예에서, 금속은 Al일 수 있다.
일부 구현예에서, 소수성 반응물은 비스(메틸시클로펜타디에닐) 메톡시메틸 지르코늄(IV) ((CpMe)2-Zr-(OMe)Me)이다.
일부 구현예에서, 소수성 반응물은 비스(메틸시클로펜타디에닐) 메톡시메틸 하프늄(IV) ((CpMe)2-Hf-(OMe)Me)이다.
다른 구현예에서, 선택적 ALD 단계에는 Al 전구체가 사용된다. 예시적인 Al 전구체는 트리메틸 알루미늄(TMA), 알루미늄 3염화물(AlCl3) 및 트리에틸 알루미늄(TEA)을 포함한다.
일부 구현예에서, 제2 반응물은 선택적으로 증착되는 재료에 하나 이상의 원소를 제공한다. 예를 들어, 제2 반응물은 금속 산화물을 증착하는데 사용되는 산소 전구체 또는 금속 질화물을 증착하는데 사용되는 질소 전구체일 수 있다.
일부 구현예에서, 제2 반응물은 산소 전구체를 포함한다.
일부 구현예에서, 제2 반응물은 H2O를 포함한다.
일부 구현예에서, 제2 반응물은 03을 포함한다.
일부 구현예에서, 제2 반응물은 H2O2를 포함한다.
일부 구현예에서, 제2 반응물은 산소 플라즈마, 이온, 라디컬, 원자 산소 또는 산소 여기종을 포함한다.
일부 구현예에서, 제2 반응물은 질소 전구체를 포함한다.
일부 구현예에서, 제2 반응물은 NH3을 포함한다.
일부 구현예에서, 제2 반응물은 N2H4를 포함한다.
일부 구현예에서, 제2 반응물은 질소 함유 플라즈마, 이온, 라디컬, 원자 질소 또는 질소 여기종을 포함한다. 일부 구현예에서, 질소 반응물은 대응하는 수소종과의 혼합물을 포함할 수 있다.
일부 구현예에서, 증착된 재료에 N이나 O 이외의 원소를 제공하는 다른 반응물이 사용될 수 있다. 이들 반응물은 N 또는 O 제2 반응물에 추가로 사용되거나, 그 자체가 제2 반응물로서 작용할 수 있다. 예를 들어, 일부 구현예에서, 황 반응물이 황화물을 증착하는데 사용될 수 있고, 탄소 반응물이 탄소를 증착하는데 사용될 수 있고, 또는 실리콘 반응물이 규화물을 증착하는데 사용될 수 있다.
일부 구현예에서, 원소 금속막과 같은 금속막 또는 금속성 막의 증착을 돕는 제2 (또는 추가) 반응물이 사용될 수 있다. 예를 들어, 일부 구현예에서, 수소 반응물이 사용될 수 있다.
대안적으로, 도 2d와 관련하여 기술된 바와 같이, 관심 금속성 전도막은 유기 패시베이션층에 상대적인 제2 표면, 구체적으로는 금속성 표면 상에 선택적으로 증착될 수 있다. 예를 들어, 모든 목적을 위해 그 개시 전체가 참조로서 본원에 통합된 2015년 2월 17일에 허여된 미국 특허번호 제8,956,971호 및 2015년 8월 18일에 허여된 미국 특허번호 제9,112,003호는 유기 재료를 포함하는 비-금속성 표면에 대해 상대적인 금속성 표면 상에 금속성 재료를 선택적으로 증착하기 위한 방법을 교시한다. 도 3a와 관련하여 전술된 바와 같이, 유기 패시베이션층의 제거에 앞서 선택적으로 형성된 금속성 재료층 위에 추가적인 유기체층, 구체적으로 금속 산화물 재료가 선택적으로 형성될 수 있다.
실시예 선택적 금속 산화물 증착
도 5 내지 7은 유기 패시베이션층에 대해 상대적인 무기 유전체 상에 금속 산화물이 선택적으로 증착될 수 있음을 도시한다. 실험에서, 무기 패시베이션층은 전술한 바와 같이 선택적으로 형성될 수 있는 증착된 중합체, 구체적으로는 폴리이미드를 포함한다.
ALD에 의한 ZrO2의 증착을 Pulsar® 2000 반응기 내에서 다양한 기판 상에 다양한 반응 조건 하에서 수행하였다. 비스(미틸시클로펜타디에닐) 메톡시메틸 지르코늄(IV) ((CpMe)2-Zr-(OMe)Me) 및 H2O를 ZrO2 막을 증착하기 위한 ALD 단계에 사용하였다. SAM층(트리클로로(옥타데실)실란)을 갖는 표면이나 폴리이미드 표면을 포함하는 기판 상에서 ZrO2의 증착이 관찰되지 않았다. 도 4~5 참조.
도 5에서, ZrO2은 자연 산화물(실리콘 산화물) 상에서 성장하지만, 폴리이미드 상에서는 상당히 성장하지 않음을 알 수 있다. 거의 25 nm의 ZrO2가 자연 산화물(SiO2) 표면 상에 증착된 후에도, 폴리이미드의 표면 상에 C-NH2와 같은 친수성 표면기는 존재하지만 폴리이미드 표면 상에서는 상당한 ZrO2가 없었다.
자연 산화물, 폴리이미드 표면뿐만 아니라 H-플라즈마 손상된 폴리이미드 표면 및 O-플라즈마 손상된 폴리이미드 표면 상에서 100 내지 760 ZrO2 사이클 후에 XPS에 의해 폴리이미드 샘플을 분석하였다. 300℃의 ALD 시퀀스에서 비스(메틸시클로펜타디에닐) 메톡시메틸 지르코늄(IV) ((CpMe)2-Zr-(OMe)Me)과 물(H2O)과 교번하였다. 760 사이클 후에도 폴리이미드 표면에서 매우 소량의 Zr 또는 ZrO2만이 검출되었다. H-플라즈마 손상된 표면에서도 ZrO2의 성장이 억제되었지만, 비록 자연 산화막 상에서의 증착에 못미치지만 상당한 증착이 이루어지도록 O-플라즈마가 폴리이미드를 충분히 손상시켰다.
ALD 시퀀스에서 물(H2O)과 교번된 비스(메틸시클로펜타디에닐) 메톡시메틸 하프늄(IV) ((CpMe)2-Hf-(OMe)Me)로부터 증착된 HfO2는 상이한 두 가지 유형의 주기적 증착 시퀀스(PMDA-LAST 및 DAH-LAST)로 증착된 폴리이미드에 비해 자연 산화물에 대해 높은 선택도를 유사하게 나타낸다. 주기적 증착 시퀀스로 750 사이클의 HfO2 증착 후에도 폴리이미드 표면에서 HfO2가 검출되지 않은 반면, 자연 산화물에서는 더 적은 사이클 후에도 측정 가능한 증착이 나타났다.
또한, XPS 데이터에 의하면 비스(메틸시클로펜타디에닐) 메톡시메틸 하프늄(IV) ((CpMe)2-Hf-(OMe)Me)가 물(H2O)과 교번하는 150~750 ALD 사이클 후의 폴리이미드 상에서 무시해도 될 정도의 Hf를 검출하였다.
도 6은 티타늄 산화막(TiO2)이 매우 낮은 온도에서 자연 산화물 상에서 쉽게 성장하며, 실제로는 고온에 비해 저온에서 더 높은 속도로 성장한다는 것을 도시한다. TiCl4를 물과 교번시키는 ALD 시퀀스를 사용하여 상기 막을 증착하였다.
대조적으로, 도 7은 폴리이미드 표면 상에 TiO2를 증착하기 위한 동일한 ALD 시퀀스가 온도가 낮을수록 성장 속도가 높아지는 경향을 비슷하게 나타내면서 임의의 주어진 온도에서 상당히 낮은 증착 속도를 나타냈고, 따라서 상기 단계가 더 낮은 온도에서조차 폴리이미드에 비해 자연 산화물 상에서 더 선택적임을 도시한다. 더욱이, 250℃ 이상의 온도에서는 무시해도 될 정도의 증착이 폴리이미드 상에서 발견되었으므로, 증착이 완전히 선택적인 것으로 보인다.
요약하자면, 실험으로부터 얻는 데이터에 따르면 다음과 같은 다양한 온도 조건 하에서 금속 산화물을 ALD하는 경우 폴리이미드에 비해 자연 산화물 상에서 고도의 선택도가 나타났다:
* 물(H2O)과 교번하는 비스(메틸시클로펜타디에닐) 메톡시메틸 지르코늄(IV)으로부터 증착된 ZrO2의 경우, 275~325℃에서 폴리이미드 대비 선택도는 자연 산화물 상에서 증착이 25 nm보다 더 크게 형성되는 동안 유지되었음
* 물과 교번하는 TiCl4로부터 증착된 TiO2의 경우, 폴리이미드 대비 선택도는 250℃에서 100 사이클 동안 유지되었고, 300℃에서는 100 사이클보다 훨씬 더 많은 사이클 동안 유지되었음
* 물(H2O)과 교번하는 비스(메틸시클로펜타디에닐) 메톡시메틸 지르코늄(IV)으로부터 증착된 HfO2의 경우, 280℃에서 폴리이미드 대비 선택도는 자연 산화물 상에서 증착이 25 nm보다 더 크게 형성되는 동안 유지되었음
당업자는 전술한 것들이 선택도가 나타났던 비 한정적 조건을 나타내고, 선택도는 시험되지 않은 다양한 다른 조건 하에서 유지될 수 있다는 것을 이해할 것이다. 그러나, 50~230℃에서 TMA와 물을 교번함으로써 증착된 알루미늄 산화물, 및 비스(메틸시클로펜타디에닐) 메톡시메틸 지르코늄(IV)을 오존(O3)과 교번함으로써 증착된 ZrO2는 폴리이미드에 비해 자연 산화물 상에서 좋은 선택도를 나타내지 않았다.
패시베이션 차단층
전술한 바와 같이, 자기조립 단분자막(SAM)은 유기 패시베이션층의 증착을 억제하여 다른 표면 상에 유기 패시베이션층의 선택적 증착을 용이하게 하는 작용을 할 수 있다. 따라서, 용어 "차단"은 단지 라벨이며, 굳이 유기 패시베이션층 증착의 100% 비활성화를 의미하는 것은 아니다. 본원의 다른 부분에서 기술된 바와 같이, 불완전한 선택도조차 에치 백 단계 후에 완전히 선택적인 구조를 얻기에 충분할 수 있다.
일 구현예에서, 황을 함유하는 SAM의 증착을 억제하는 패시베이션 차단층이 제2 표면 상에 형성된다. 일 구현예에서, 제2 표면은 금속성 표면이다. 일 구현예에서, 금속성 표면은 SAM 형성에 앞서 산 처리(acid treatments)로 전처리된다.
소형 연구 개발 도구(F-120® 반응기) 내에서 황 함유 SAM의 기상 증착에 대한 실험을 수행하였다. 실험에서 전기 화학적으로 증착된 구리를 포함하는 노출된 금속성 표면을 갖는 기판을, 다양한 실험에서 3.5% 수성 포름산 및 3.5% 수성 HCl을 사용하는 액체 산 전처리에 30초 동안 노출시키거나; 기상 포름산에 10번의 10초 펄스로 노출시켰다. 티올 SAM 전구체 또는 모노머로서 지칭될 수 있는 황 함유 모노머, 이른 바 1-도데칸티올 (CH3(CH2)11SH)을 다양한 노출 시간 동안 75℃ 내지 150℃ 범위의 상이한 온도에서 기판에 제공하였다. 노출은 기상 접촉 단계와 제거 단계를 각 5초씩 교번하는 방식으로 수행하였다. 예를 들어, 5초 펄스와 5초 퍼징을 180번 교번하는 방식으로 15분 동안 노출시켰다.
도 8은 75℃에서 노출 시간의 효과를 도시한다. 측정된 수 접촉각은 기상 황 함유 모노머에 15분 이상의 노출한 후 100도보다 더 커서, 효과적으로 SAM층의 형성되었음을 나타냈다. 매끈한 구리 표면에서, -CH3 표면기를 갖는 SAM 상의 수 접촉각은 약 110도이지만, 거친 구리 표면에서의 수 접촉각은 훨씬 더 높다.
구리 상에 황 함유 SAM을 갖는 샘플의 FTIR 분석에 따르면, 모노머 소스 용기가 55℃로 가열되고 증착 온도가 75~150℃ 범위인 전술한 증기 증착에 의해 SAM이 형성되었음을 알 수 있다. XPS 분석이 구리 표면 상에 5~6 원자%의 황을 나타난다는 사실에도 불구하고, FTIR 분석에서는 -CH2 표면기가 존재하지만 S-C 표면기가 존재하지 않음을 나타냈다. 따라서, 모노머는 높은 수 접촉각으로 나타난 바와 같이 황 함유기를 구리와 배위하고, 소수성 탄화수소 표면기를 나타낸다.
도 9와 10은 HCl 액상 전처리(112도, 도 9) 및 HCOOH 기상 전처리(117도, 도 10) 두 가지 모두로 형성된 SAM 상에서 높은 수 접촉각이 발생함을 나타낸다.
패시베이션 차단층에 상대적인 패시베이션층의 선택적 증착
도 11내지 15는 패시베이션 차단층은 유기 패시베이션층의 선택적 형성을 패시베이션 차단층에 대해 상대적으로 유전체 재료 상에 용이하게 할 수 있음을 도시한다.
도 11은 전술한 방법을 사용하여 구리 표면 및 황 함유 SAM 표면에 중합체층, 구체적으로는 폴리이미드을 증착하는 실험의 결과를 도시한다. 폴리이미드층을 160℃에서 20 사이클 동안 증착하였는데, 이 단계는 자연 산화막 위에 약 4.4 nm의 폴리이미드를 증착한다. 도시된 바와 같이, 수 접촉각은 패시베이션 차단 SAM이 폴리이미드 증착 단계에 노출되었을 때 잘 변하지 않았지만, 노출된 구리 표면이 폴리이미드 증착 단계에 노출되었을 때 수 접촉각이 증가하였다.
도 12는 폴리이미드 증착 단계에 노출된 SAM 표면, 폴리이미드 증착 단계에 노출된 노출된 구리 표면, 및 폴리이미드 단계에 노출된 자연 산화물 표면에 대한 XPS 분석 결과를 도시한다. SAM 표면에서 검출된 황의 양은 폴리이미드 증착 단계에 노출된 후에도 변하지 않았다. SAM 표면에는 검출 가능한 양의 질소가 없었으며, 폴리이미드 증착 단계에 노출된 후에 매우 적은 질소(0.6 원자%)가 검출되었다. 대조적으로, 노출된 구리 표면 및 자연 산화물 표면에서는 상당한 질소 함량(약 10 원자%)이 나타났다. 도 11과 12 모두는 황 함유 SAM이 유기 패시베이션층의 증착을 억제한다는 것을 나타낸다.
도 13은 본원에 기술된 바와 같이 유기 패시베이션층 증착의 다양한 사이클 수에 다양한 표면을 노출한 후 표면에 대한 XPS 분석을 도시한다. 도 13의 실험에서, 폴리이미드의 다양한 사이클을 190℃에서 수행하였다. 패시베이션 차단층은 F-120® 반응기에서 기상 모노머로부터 증착된 황 함유 SAM이었다. XPS 분석에 따르면 SAM은 폴리이미드의 성장을 억제하는 반면, 폴리이미드는 노출된 구리 및 자연 산화물 상에서 성장함을 알 수 있다. 또한, SAM의 수 접촉각은 폴리이미드 증착 단계 이전에 120도, 100 사이클의 폴리이미드 증착 단계에 노출한 후에 100도, 250 사이클의 폴리이미드 증착 단계에 노출한 후에는 95도였다.
도 14 및 15는 황 함유 SAM이 유전체 표면에 비해 금속성 표면 상에 선택적으로 형성될 수 있다는 것을 도시한다. 구체적으로, 황 함유 기상 모노머에 구리를 노출시킨 후, 표면 상의 수 접촉각은 약 117도였다. 대조적으로, 자연 산화물 표면 상에서 수 접촉각은 낮게 유지되었고(약 26도) 변하지 않았다.
증착 장비
본원에 기술된 선택적 증착 단계에 사용될 수 있는 적절한 반응기의 예는 상업적으로 이용가능한 F-120® 반응기와 같은 ALD 장비, Pulsar 3000® 또는 Pulsar 2000®과 같은 Pulsar® 반응기, 및 Advance® 400 시리즈 반응기를 포함하며, 이들은 아리조나주 피닉스의 ASM America, Inc., 및 네덜란드 알메러의 ASM EuropeB.V.,로부터 입수 가능하다. 이들 ALD 반응기 외에도 CVD 반응기, VDP 반응기, 및 MLD 반응기를 포함하여 유기 패시베이션층의 성장을 가능하게 하는 많은 다른 종류의 반응기가 사용될 수 있다.
도 1a 내지 1d와 관련하여 본원에 기술된 선택적 유전체 증착 또는 유전체 증착은 5개 이하의 단계에서 수행될 수 있다. (1) 전처리 단계, (2) 제1 표면 상에 유기 패시베이션층을 선택적 증착하는 단계, (3) "클린-업" 식각으로도 불리며, 제2 표면으로부터 임의의 유기 재료를 부분적으로 에치 백하는 단계, (4) 제2 표면 상에 유전체를 선택적으로 증착하는 단계, 및 (5) 제1 표면으로부터 유기 패시베이션층을 제거하는 단계.
일 구현예에서, 상기 (2) 선택적 유기 패시베이션층 증착 단계와 상기 (3) 부분적으로 에치 백하는 단계를 하나의 챔버 내에 결합하고, 상기 (4) 제2 표면 상에 유전체를 선택적으로 증착하는 단계를 수행하기 위한 클러스터화된 챔버를 사용함으로써 시퀀스를 위한 도구를 최소화할 수 있다. 전처리는 다른 플랫폼(예: 습식 벤치)에서 수행하거나 특정 방법의 조정을 통해 생략할 수 있다. 유기 패시베이션층의 제거는 포토레지스트 및 다른 유기 재료의 제거를 위해 종종 사용되는 것과 같은 별도의 애싱(ashing) 도구에서 수행되거나, 유기 재료의 부분 에치 백을 위해 사용되는 동일하거나 유사한 화학 물질을 사용하여 증착 챔버에서 수행될 수 있다. 따라서, 증착 단계 및 개재된 에치 백은 폴리이미드 증착 및 에치 백을 위한 4개 또는 8개의 프로세싱 스테이션을 포함하는 2개의 반응기, 및 선택적 유전체 증착을 위한 4개 또는 8개의 프로세싱 스테이션을 포함하는 2개의 반응기를 포함하는 플랫폼에서 수행될 수 있다.
도 16을 참조하면, 중합체 증착 및 유기 재료의 인 시튜(in situ) 에치 백을 수행하기 위한 장치(100)가 제공된다. 장치(100)는 적어도 하나의 기판(120)을 수용하도록 구성된 반응 공간(115)을 정의하는 반응 챔버를 포함한다. 또한, 장치(100)는 제1 유기 반응물(110)을 기화시켜 제1 반응물 증기를 형성하도록 구성된 제1 반응물 용기(105)를 포함한다. 가스 라인(130)은 제1 반응 용기(105)를 기판(120)이 수용될 수 있는 반응 공간(115)에 유체 연결한다. 가스 라인(130)은 제1 반응물 증기를 제1 반응 용기(105)로부터 유입 매니폴드(135)와 반응 공간(115)에 선택적으로 운반하도록 구성된다. 또한, 장치(100)는 제2 반응물(145)을 담고 있는 제2 반응물 용기(140)를 포함한다. 일부 구현예에서, 제2 반응물(145)은 자연적으로 기체 상태이며; 다른 구현예에서, 제2 반응물 용기(140)는 제2 반응물(145)을 자연적인 액체 상태 또는 고체 상태로부터 기화시키도록 또한 구성된다. 제2 반응물 용기(140)는 유입 매니폴드(135)와 선택적으로 유체 연통한다. 유입 매니폴드(135)는 챔버 폭을 가로지르는 공유 분배 플레넘을 샤워헤드 형태 또는 교차 흐름 형태로 포함하거나, 별도의 반응물을 위한 반응 공간(120)으로의 별도 경로를 유지할 수 있다. 순차적 증착의 구현예의 경우, 다수의 반응물에 대한 공통 흐름 경로의 표면을 따라, 입자 생성을 초래할 수 있는 반응을 방지하기 위해서, 반응 공간(115)에 도입될 때까지 반응물 유입 경로를 별도로 유지하는 것이 바람직할 수 있다. 일부 구현예에서, 상기 장치는 추가적인 반응물의 공급을 위한 추가적인 용기를 포함할 수 있다.
도시된 장치(100)는 플라즈마 소스(147)도 포함한다. 비록 반응 공간(115)에 부착된 것처럼 개략적으로 도시되었지만, 당업자는 플라즈마 소스가 반응 공간(115) 외부의 원격 플라즈마 소스이거나, 반응 공간(115) 내에서 직접 플라즈마 생성을 위한 (예를 들어, 용량성으로 결합된) 인 시튜 플라즈마 발생기일 수 있다는 것을 이해할 것이다. 대안적으로 또는 추가적으로, 도 24 및 25와 관련하여 아래에 기술된 바와 같이 유기 재료의 제거를 위해 (예를 들어, 유기 재료의 선택적 증착 후 부분적 에치 백을 위해, 유기 패시베이션층의 제거를 위해, 및/또는 챔버 세척을 위해) 오존 발생기가 사용될 수 있다.
하나 이상의 추가적인 가스 소스(150)는 (반응 공간(115)으로부터 분리된 정도까지) 제1 반응물 용기(105), 반응 공간(115) 및 플라즈마 소스(147)와 선택적으로 유체 연통한다. 가스 소스(150)는 퍼징 가스 및 캐리어 가스로서 작용하는 불활성 가스, 및 플라즈마 에치 백을 위한 다른 가스(예: Ar/H2)를 포함할 수 있다. 가스 소스로부터의 공급되는 불활성 가스는 또한 도시된 바와 같이 제2 반응물 용기(140), 및 임의의 다른 원하는 반응물 용기와 선택적으로 유체 연통하여 캐리어 가스로서 작용할 수 있다.
제어 시스템(125)은 유기 패시베이션층 증착 및 에치 백 방법에 따라 본원에 기술된 가스 분배 시스템의 밸브와 연통한다. 제어 시스템(125)는 일반적으로 적어도 하나의 프로세서 및 원하는 연산을 위해 프로그램된 메모리를 포함한다. 순차적 증착 단계의 경우, 밸브는 기판을 반응물에 교번적으로 및 반복적으로 노출시키는 방식으로 작동되는 반면, 통상적인 CVD 단계에서 반응물이 동시에 공급되는 경우, 밸브는 기판을 상호 반응성 반응물에 동시에 노출시키도록 작동될 수 있다.
반응 공간(115)으로부터의 배기 유출구(155)는 배기 라인(160)을 통해 진공 펌프(165)와 연통한다. 제어 시스템(125)은 진공 펌프(165)가 원하는 작동 압력을 유지하고, 과량의 반응물 증기 및 반응 부산물을 배기 유출구(155)를 통해 배기시키도록 작동시키도록 구성된다.
제어 시스템(125)은 장치(100)의 다양한 구성 요소들의 압력과 온도를 제어할 수도 있다. 예를 들어, 제어 시스템은 수행되는 단계에 적합한 온도로 기판(120)을 유지하도록 프로그램될 수 있다. 일 구현예에서, 제어 시스템(125)은 또한 제1 반응물(110)을 온도 A에서 제1 반응물 용기(105)에 유지시키도록 구성되고, 기판(120)을 온도 B에서 반응 공간(115)에 유지시키도록 구성되며, 여기서 온도 B는 온도 A 보다 낮다. 일 구현예에서, 제어 시스템(125) 또는 별도의 온도 제어부는 또한 가스 라인(130)을 온도 C에 유지시키도록 구성되며, 여기서 온도 C는 온도 A 보다 높다.
따라서, 장치(100)는 중합체 증착을 위해 전술한 기화 및 반응물의 공급을 위해 소스 용기(105, 140)를 포함한다(예: 디아민용 용기 하나, 및 이무수물 전구체용 용기 하나임). 플라즈마 소스(147)는 H2 및 불활성 가스(예: 희귀 가스, 구체적으로는 아르곤)를 포함하는 가스 소스(150)와 연통한다. 또한, 장치(100)는, 가스를 공급하고 본원에 기술된 중합체 증착뿐만 아니라 수소 플라즈마 에치 백을 수행하는 방식으로 플라즈마 소스를 작동시키도록 프로그램된 제어 시스템(125)을 포함한다. 제어 시스템(125)은 기판(120)을 반응 공간(115)에서 제거하지 않고도 중합체 증착 및 에치 백이 동일한 온도에서 순차적으로 수행될 수 있도록, 기판(120)을 바람직하게는 180℃ 내지 220℃ 범위, 더 바람직하게는 약 190℃ 내지 210℃ 범위에 유지시킨다. 에치 백은 1 내지 20초, 구체적으로는 5 내지 15초일 수 있다. 실시예로서, 200℃에서 Ar/H2 플라즈마를 사용하는 10초 식각으로 4.5 nm 이하의 폴리이미드층의 식각이 이루어지는 것으로 밝혀졌다. 다른 실시예로서, 도 24 및 25를 참조하여 아래에 기술된 바와 같이 패시베이션층의 제거 및 챔버 세척을 위한 고농도 오존(O3) 식각 단계와 마찬가지로, 펄싱된 O3 식각 단계가 에치 백 단계에 사용될 수 있다. 당업자가 이해할 수 있듯이, 제1 표면 상의 원하는 패시베이션층의 식각 과잉을 최소화하기 위한 부분적 에치 백을 위해서, 보다 느리고 보다 제어된 식각을 위해 공정 조건이 변경될 수 있다. 예를 들어, 펄스 기간이 더 짧아질 수 있거나, 단일 펄스가 충분할 수 있고, O3 농도가 낮아지고/지거나, 도 24를 참조해 아래에 기술된 중합체 제거 단계에 비해 온도가 낮아질 수 있다. 예를 들어, 도 24의 125℃ 단계는 유기 재료가 최소화되어야 하는 표면으로부터 임의의 유기 재료의 부분 에치 백에 알맞도록 충분히 낮은 것일 수 있다. 실제로, 도 25는 식각 속도가 중합체의 O3 식각을 위한 식각 온도에 얼마나 의존하는지를 나타낸다. 패시베이션층의 선택적 식각을 부분적 에치 백과 조합해도, 식각 단계가 일반적으로 매우 짧아서 단일 챔버의 공정 시간이 많이 증가되지 않을 것이다.
동일한 장비와 에천트가 패시베이션층의 제거에도 사용될 수 있다. 예를 들어, 고농도 O3 식각 단계가 사용될 수 있는데, 여기서 고농도 O3 식각 단계는 1초 펄스/1초 O3 퍼징으로 9 토르에서 수행되며, 도 24에 도시된 바와 같이, 125℃에서 750 sccm의 O3 유량 및 1.2 slm의 N2 희석 유량으로 0.3 nm/분 이하의 중합체층 식각이 이루어짐이 밝혀졌다. 실시예로서, 고농도 O3 식각 단계가 사용될 수 있는데, 여기서 고농도 O3 식각 단계는 1초 펄스/1초 O3 퍼징으로 9 토르에서 수행되며, 도 24에 도시된 바와 같이, 150℃에서 750 sccm의 O3 유량 및 1.2 slm의 N2 희석 유량으로 2.4 nm/분 이하의 중합체층 식각이 이루어짐이 밝혀졌다. 도 25의 아레니우스 도표에 도시된 바와 같이, O3/N2를 사용하는 폴리이미드층 식각에 대해 도 24에 도시된 그래프로부터 0.4 eV 이하의 활성화 에너지를 산출하였다.
Ar/H2 플라즈마 식각 또는 O3 식각은 반응 공간(115)을 청결하게 유지하기 위한 챔버 식각으로서 사용될 수도 있다. 실시예로서, 1.2 slm의 O3 유량, 약 9 토르의 챔버 내압, 1 slm(즉, 2.5 V)의 O2 유량, 0.020 slm(0.5V)의 N2 유량 및 250 g/Nm3의 O3 농도 설정점(최대값의 약 24%의 출력)으로 약 48시간 동안 O3/N2에 노출하여 ASM Pulsar 3000 챔버에서 챔버 식각을 수행하였다. 이러한 챔버 식각에 대한 처리 시간은 O3 농도 및 챔버 내로의 O3 주입점을 최적화함으로써 단축시킬 수 있다.
중합체 증착 및 에치 백을 위해 구성된 장치(100)는 (기화 온도가 약 40℃인) DAH 및 (기화 온도가 약 170℃인) PMDA용 고체 소스 용기를 갖는 샤워헤드 반응기일 수 있다. 일 구현예에서, 플라즈마 소스(147)는 인 시튜 에치 백을 위해 아르곤 및 H2를 공급하는 (예를 들어, 용량성으로 결합된) 인 시튜 직접 플라즈마 장치를 포함한다. 다른 구현예에서, 장치(100)는 샤워헤드 반응기가 아닌 크로스 플로 반응기일 수 있지만, 여전히 고체 소스 용기(105, 140) 및 직접 플라즈마 성능을 갖는 전술한 것들을 갖는다. 다른 구현예에서, 플라즈마 소스(147)는 Ar/H2 플라즈마로부터 플라즈마 생성물을 공급하기 위해 반응 공간(115)에 결합된 원격 플라즈마를 포함한다. 다른 구현예에서, 플라즈마 소스(147)는 반응 공간(115)에 결합된 오존 발생기로 대체될 수 있다. 원격 플라즈마 또는 오존 발생기는, 예를 들어 샤워헤드 반응기에 연결될 수 있다.
중합체 증착 장치(100)는 바람직하게는 다수의 증착 후 반응 공간(115) 및 배기 라인(160)을 청결하게 유지하기 위한 자기 세척 능력을 포함한다. 일부 구현예에서, 에치 백용으로 위에 언급된 인 시튜 또는 원격 Ar/H2 플라즈마 소스(147)는 생산 기판의 부재 중에 및 (매 웨이퍼마다가 아닌) 주기적으로만 작동할 수 있으므로, 가능하게는 고전력 또는 고온 하에서 주기적 챔버 세척에 적합할 수 있다. 대안적으로, 도 24 및 25와 관련하여 전술한 바와 같이, 중합체 증착 챔버에는 NF3 식각과 함께 원격 플라즈마가 공급되거나, 주기적인 챔버 세척을 수행하기 위한 오존이 공급될 수 있다. 일부 구현예에서, 챔버 세척 단계는 생산 기판 부재 중에 작동하고 (매 웨이퍼마다가 아닌) 주기적으로만 작동하므로, O3/N2 공급은 중합체의 부분적 에치 백 또는 제거 단계와 비교하여 가능하게는 고전력 또는 고온 하에서 주기적 챔버 세척에 적합할 수 있다.
라인 에지 위치
도 17을 참조하여 및 일부 구현예에서, 전술한 바와 같이, 제2 표면 상에서의 선택적 증착은 제2 표면 상에 ZrO2와 같은 유전체를 선택적으로 증착한 후, 제1 표면를 선택적 패시베이션함으로써 달성될 수 있다. 도시된 흐름도에서, 제1 표면은 금속성(예를 들어, 집적 회로 층간 유전체, 또는 ILD)일 수 있고, 제2 표면은 유전체(예를 들어, ILD)일 수 있다. 패시베이션은 단계 1에서 부품의 제2 표면에 상대적인 제1 표면 상에 선택적으로 증착된 중합체 또는 다른 유기 재료를 포함할 수 있다. 후속적으로, 선택을 완벽하게 하기 위한 "클린 업" 식각으로서 가끔 지칭되는 중합체 에치 백이 수행되어, 제1 표면으로부터 모든 중합체를 제거하지 않고, 단계 2에서 제2 표면 상에 증착되었을 수 있는 중합체를 폴리머를 제거한다. 중합체는 패시베이션층으로서 작용하므로, 유전체 재료는 단계 3에서 제2 표면 상에 선택적으로 증착된다. 임의의 수의 적합한 유전체 재료가 단계 3에서 사용될 수 있다. 일부 구현예에서, 유전체 재료는 ZrO2 및 다른 금속 산화물, 예컨대 전이 금속 산화물이나 알루미늄 산화물, 또는 SiO2-계 재료에 대해 식각 선택도를 갖거나 SiO2-계 재료가 식각되는 조건에서 낮은 식각 속도를 갖는 혼합물을 포함하는 다른 유전체 산화물로부터 선택될 수 있다. 이러한 금속 산화물 중 일부는 높은 k 값, 구체적으로는 5보다 높거나 심지어 10보다 높은 값을 가질 수 있지만, 이들은 얇으며, 금속화 구조에서 상당한 기생 커패시턴스를 피하고 실리콘 산화물 재료의 선택적 식각에 대해 마스킹 표면을 유리하게 허용하는 위치에 위치된다. 다른 구현예에서, 유전체는 실리콘 산화물계 재료일 수 있지만, 본원에 기술된 바와 같은 식각 마스크로서 작용하도록 더 두꺼울 수 있다. 도 17의 단계 4에서, 중합체 패시베이션이 제1 표면으로부터 제거된다.
도 18은 제2 표면으로부터 패시베이션(예를 들어, 중합체 또는 다른 유기층)을 제거하기 위한 에치 백 시간이 형성된 유전체층에 대해 갖는 효과를 도시한다. 보다 구체적으로, 선택적으로 형성된 유전체층의 에지의 위치는 중간 중합체 에치 백 단계의 범위를 선택함으로써 하부 금속성 표면과 유전체 표면 사이의 경계에 대해 상대적으로 제어될 수 있다. 일 구현예에서, 도 17의 단계 1에서 전술하고, 도 18의 도시의 제1 행에 도시된 바와 같이, 중합체는 부품의 제2 표면에 상대적인 제1 표면 상에 증착된다. 증착된 중합체의 도시에서 볼 수 있듯이, 제1 표면 상에 중합체를 우선적으로 증착시키면 제1 표면 상에 보다 두꺼운 중합체층 표면이 생성되고, 제2 표면 상에 비교적 얇은 중합체층이 생성되며, 결과적으로 제1 및 제2 표면 경계에서 제1 표면으로부터 제2 표면까지 하향 경사진 두께가 생긴다. 후속적으로, 도 17의 단계 2에서 전술한 바와 같이, 중합체 에치 백이 가변 시간 동안 (또는 상이한 온도나 에천트 농도 등에 의한 상이한 식각 속도로 동일한 시간 동안, 또는 상이한 식각 속도로 상이한 시간 동안) 수행되어, 도 18의 도시 중 제1 열의 제2 내지 제6 행에 도시된 바와 같이, 중합체층의 두께 및 형상을 제어할 수 있다. 에치 백은 등방성이거나 이방성일 수 있다. 일부 구현예에서, 중합체 식각 시간은 최소한이며, 도 18의 도시 중 제2 행에 도시된 바와 같이 중합체 식각으로 제2 표면을 노출시키기에 충분한 중합체가 제거되지 않는다. 이 경우, 제1 및 제2 표면 모두가 패시베이션층으로 덮여 있기 때문에 후속적인 선택적 유전체 증착이 이루어지지 않으며, 비록 소량의 유전체가 증착되더라도 이는 리프트 오프 단계에 의해 패시베이션층의 제거되면서 함께 제거될 것이다. 일부 구현예에서, 중합체 식각 시간은, 도 18의 도시 중 제3 행에 도시된 바와 같이, 제2 표면으로부터 중합체의 대부분을 제거하되 제1 및 제2 표면 경계 위에서 제2 표면 상으로 연장되는 중합체층 앞쪽 에지를 남기도록 선택된다. 이 경우, 후속적으로 유전체를 선택적으로 증착하고 중합체를 제거하면, 증착된 유전체 에지와 제1 및 제2 표면 경계 사이에 갭이 남는다. 일부 구현예에서, 중합체 식각 시간은 제2 표면으로부터 중합체를 제거하도록 선택되며, 중합체층 에지는 도 18의 도시 중 제4 행에 도시된 바와 같이 제1 및 제2 표면 경계와 정렬된 채로 남는다. 이 경우, 후속적으로 유전체를 선택적으로 증착하고 중합체를 제거하면, 증착된 유전체의 바닥면 에지가 제1 및 제2 표면 경계와 정렬된다. 일부 구현예에서, 중합체 식각 시간은 제2 표면으로부터 중합체를 제거하고 제1 표면으로부터 중합체의 일부를 제거하도록 선택되며, 도 18의 도시 중 제5 행에 도시된 바와 같이 중합체층 앞쪽 에지와 제1 및 제2 표면 경계 사이에는 갭이 존재한다. 이 경우, 후속적으로 유전체를 선택적으로 증착하고 중합체를 제거하면 제1 및 제2 표면 경계 위로 연장되고 제1 표면과 중첩되는 증착된 유전체가 남는다. 중합체 식각 시간이 연장된 시간동안 수행되고, 도 18의 도시 중 제6 행에 도시된 바와 같이, 중합체 식각으로 제1 표면과 제2 표면 모두로부터 중합체가 완전히 제거되는 경우, 후속적인 유전체 증착은 비 선택적이다.
따라서, 도 17의 단계 3 및 4에서 전술한 바와 같이, 유전체의 선택적 증착 및 중합체의 부분적 에치 백이 수행되어, 도 17의 도시 중 제2 내지 제6 행의 가장 오른쪽 이미지들로 도시된 바와 같이, 패시베이션의 선택적 증착에 이어지는 패시베이션 에치 백의 정도에 따라 제2 표면 상에 선택적으로 증착된 유전체층과 제1 표면 및 제2 표면 사이의 인터페이스 사이에서 다양한 관계를 생성할 수 있다. 일부 구현예에서, 도 18의 도시 중 제2 행에 도시된 바와 같이, 중합체층이 제2 표면을 부동태화시켰기 때문에 유전체층이 형성되지 않는다. 일부 구현예에서, 도 18의 도시 중 제3 행에 도시된 바와 같이, 제2 표면 상의 유전체와 제1 표면 사이에 갭이 존재한다. 일부 구현예에서, 도 18의 도시 중 제4 행에 도시된 바와 같이, 유전체층 에지는 제1 및 제2 표면 경계와 정렬된다. 일부 구현예에서, 도 18의 도시 중 제5 행에 도시된 바와 같이, 유전체층은 제1 표면과 중첩된다. 일부 구현예에서, 도 18의 도시 중 제6 행에 도시된 바와 같이, 중합체층이 제1 표면을 부동태화시키지 않았기 때문에 유전체층이 제1 표면 및 제2 표면 모두에 형성된다.
도 19는 형성된 유전체층에 대해 패시베이션층 증착 두께가 가지는 효과를 도시한다. 보다 구체적으로, 선택적으로 형성된 유전체층의 에지의 위치는 중간 중합체 패시베이션층의 두께를 선택함으로써 하부 금속성 표면과 유전체 표면 사이의 경계에 대해 상대적으로 제어될 수 있다. 패시베이션층 증착 두께가 증가함에 따라, 제1 표면과 제2 표면 모두에서 패시베이션층 두께가 증가된다. 그러나, 패시베이션층은 제1 표면 상에 선택적으로 증착되기 때문에, 제2 표면 위에서의 패시베이션 두께는 제1 표면 위에서의 패시베이션층 두께보다 덜 증가한다. 따라서, 패시베이션 에치 백, 유전체 증착 및 패시베이션 제거는 제1 및 제2 표면 경계에 대해 가변적인 위치를 갖는 선택적 유전체층을 생성할 것이다. 일부 구현예에서, 패시베이션층이 증착되는데, 이는 도 19의 도시 중 제1 열에 도시된 바와 같이, 선택적으로 증착된 유전체층과 제1 및 제2 표면 경계 사이에 갭을 생성한다. 일부 구현예에서, 패시베이션층이 증착되는데, 이는 도 19의 도시 중 제2 열에 도시된 바와 같이, 선택적으로 증착된 유전체층과 제1 표면 사이에 더 큰 갭을 생성한다.
도 20은 형성된 유전체층과 제1 및 제2 표면 경계의 상대적인 위치에 대해 선택적으로 증착된 유전체 두께가 갖는 효과를 도시한다. 보다 구체적으로, 선택적으로 형성된 유전체층의 에지의 위치는 선택적 유전체층의 두께를 선택함으로써 하부 금속성 표면과 유전체 표면 사이의 경계에 대해 상대적으로 제어될 수 있다. 제2 표면 상에 선택적으로 증착된 유전체 증착 두께가 증가함에 따라, 유전체 오버행 에지는 제1 및 2 표면 경계를 지나 점진적으로 더 연장된다. 일부 구현예에서, 유전체층이 증착되는데, 이는 도 20의 도시 중 제1 열에 도시된 바와 같이 특정 오버행 구조를 생성한다. 일부 구현예에서, 더 두꺼운 유전체층이 증착되는데, 이는 도 20의 도시 중 제2 열에 도시된 바와 같이 더 큰 오버행을 생성한다. 일부 구현예에서, 더욱 더 두꺼운 유전체층이 증착되는데, 이는 도 20의 도시 중 제3 열에 도시된 바와 같이 더욱 더 큰 오버행을 제1 표면 위에 생성한다. 이방성 처리(예를 들어, 이방성 반응성 이온 식각)와 같은 특정 후속 단계에 대해, 제1 표면의 일부가 오버행의 범위만큼 가려져서 후속적 처리에 대해 보호될 수 있다.
따라서, 일부 구현예에서, 유전체층이 도 1d와 유사하게 유전체 표면 위에 크게 선택적으로 형성되더라도, 유전체층은 오버행을 생성하고/하거나 금속성 특성부와 중첩되도록 선택적으로 증착된다. 일부 구현예에서, 유전체층은 오버행을 포함하지 않거나 중접되지 않으며, 유전체 상에 선택적으로 증착된 유전체의 에지는 금속성 특징부의 에지와 정렬될 수 있거나, 선택적으로 증착된 유전체층의 에지와 금속성 특징부 사이에 갭이 있을 수 있다. 본원에 교시된 선택적 증착 기술 때문에 선택적으로 증착된 유전체층은 유전체층을 패터닝하기 위한 통상적인 마스킹 및 식각의 사용없이 선택적 증착의 특징을 가질 수 있다. 예를 들어, 유전체층의 에지는 포토리소그래피 방식으로 패터닝된 층의 전형인 수직 측벽이나 급하게 경사진 측벽을 가지기 보다는 45도 미만의 경사로 테이퍼질 수 있다. 선택적으로 증착된 층이 클린 업 식각이나 부분적 에치 백을 거쳤는지의 여부와 상관없이 특징적인 식각 프로파일은 남아있을 수 있다.
도 21a 내지 21d는 토포그래피(topography)가 선택적으로 증착된 유전체와 제1 및 제2 표면 사이의 경계에 어떻게 영향을 미칠 수 있는지를 도시한다.
도 21a는 결과적으로 제1 및 제2 표면 경계와 정렬되는 선택적으로 증착된 유전체(2502)의 에지가 되는 평면 구조물을 도시한다. 예를 들어 중합체 재료인 패시베이션층(2504)에 의해 부동태화된 제1 표면은 매립된 금속(2506)과 같은 금속성 재료에 의해 정의될 수 있고, 제2 표면은 층간 유전체(ILD(2508))와 같은 저 유전율 유전체에 의해 정의될 수 있다. 패시베이션층(2504)은 제1 표면 상에 선택적으로 증착되고, 유전체층(2502)은 제2 표면 상에 선택적으로 증착되며, 여기서 유전체층(2502)의 에지는 제1 및 제2 표면 경계와 정렬된다.
도 21b는 제2 표면에 대해 상대적으로 함몰된 제1 표면을 도시한다. 상기와 같이, 제1 표면은 제2 표면을 정의하는 저 유전율 유전체 재료(2508)에 매립되고 함몰된 금속성 재료(2506)를 포함할 수 있다. 패시베이션층(2504)은 상기 함몰부 내에서 제1 표면 상에 선택적으로 형성된다. 유전체층(2502)은 제2 표면과 상기 함몰된 벽 위에 배치되고, 여기서 유전체층(2502)의 에지는 패시베이션층(2504)의 표면과 만난다. 패시베이션층(2504)을 제거하면 제2 표면 상에 선택적으로 형성되되 제1 표면(예를 들어, 금속성 특징부(2506))과 중첩되는 유전체층(2502)이 생길 것이다.
도 21c는 제2 표면에 대해 돌출된 제1 표면을 도시한다. 제1 표면은 저 유전율 재료(2508)일 수 있는 제2 표면에 매립되고 그 위로 돌출되는 금속성 재료(2506)에 의해 정의될 수 있다. 패시베이션층(2504)은 돌출되는 측벽을 포함하는 제1 표면 상에 배치되므로, 적어도 부분적으로는 제2 표면 상에 배치된다. 유전체층(2502)은 제2 표면 상에 배치되지만 측벽 상의 패시베이션 재료(2504)의 두께만큼 제1 표면으로부터 이격된다. 따라서, 패시베이션층(2504)의 제거 후, 유전체층(2502)과 제1 표면(예를 들어, 돌출된 금속성 특징부(2606)) 사이에 갭이 존재한다.
도 21d는 도 21b와 유사하지만 함몰부를 충전하는 더 두꺼운 패시베이션층(2504)을 갖는 일부 구현예의 함몰된 제1 표면을 도시한다. 이 경우, 패시베이션층(2504)의 제거 후, 제2 표면 상에 선택적으로 증착된 유전체층(2502)과 제1 표면 사이에 갭이 남는다. 이 경우, 상기 갭은 제2 표면의 수직 측벽의 형태를 취하고, 이어서 후속 처리에 노출된다.
따라서, 도 18 내지 21d는 제1 표면과 제2 표면 사이(예를 들어, 금속성 특징부(2506)와 저 유전율 유전체(2508) 사이)의 인터페이스에 대해 (예를 들어, 유전체 제2 표면 상에) 선택적으로 증착된 유전체(2502)의 위치를 조정하기 위해 조정될 수 있는 변수들을 도시한다. 특히, 도 18은 패시베이션층 에치 백의 범위 또는 시간이 상대적인 위치에 어떻게 영향을 미치는지를 도시하고; 도 19는 선택적으로 증착된 패시베이션층이 상대적인 위치에 어떻게 영향을 미치는지를 도시하고; 도 20은 선택적으로 증착된 유전체층의 두께가 상대적인 위치에 어떻게 영향을 미치는지를 도시하며; 도 21a 내지 21d는 제1 및 제2 표면의 토포그래피가 상대적인 위치에 어떻게 영향을 미치는지를 도시한다. 따라서, 이들 변수는 제2 표면 상에 선택적으로 증착된 유전체가 제1 표면과 정렬되거나, 제1 표면에 대해 갭을 갖거나, 제1 표면과 중첩하는지 여부에 영향을 미치도록 조정될 수 있다.
예시적 응용예
도 22a 내지 22e는 장치 및 전기적 절연이 강화된 일부 구현예에서의 장치를 생성하는 방법을 도시한다. 도 22a는 도 21a에 도시된 평면 구조와 유사하게 주변의 저 유전율 재료(2608)에 의해 정의되고, 제2 표면과 동일 평면인 제1 평면을 정의하는 매립된 금속성 특징부(2606)를 갖는 부분적으로 제조된 집적 회로를 도시한다. 금속성 특징부는 Cu(2610) 및 TaN 배리어 재료(2612)를 제1 저 유전율 유전체 재료(2608) 내에 더 포함하는 제1 재료를 포함한다.
도 22b는 제1 재료 상에 전도성 배리어층(2614)이 형성된 후의 도 22a의 장치를 도시한다. 일부 구현예에서, 배리어층(2614)은 W일 수 있다. 돌출되는 것으로 도시되지만, 일부 구현예에서, Cu(2610)라인 또는 비아 상의 배리어 재료(2614)는 주변의 저 유전율 재료(2608)에 매립될 수 있고 주변의 저 유전율 재료와 동일 평면에 있을 수 있다.
도 22c는 이제 금속성 배리어층(2614(W))에 의해 정의된 제1 표면 상에 패시베이션층(2604)이 선택적으로 증착된 후의 도 22b의 장치를 도시하며, 여기서 제1 표면의 에지는 노출되어 있다. 일부 구현예에서, 패시베이션층(2604)은 중합체와 같은 유기 재료일 수 있다. 일부 구현예에서, 패시베이션층(2604)이 선택적으로 증착되면 금속성 제1 표면의 일부를 노출시키기에 충분한 패시베이션층 재료의 에치 백이 뒤따른다.
도 22d는 금속성 제1 표면과 중첩되는 제2 표면 상에 유전체층(2602)이 선택적으로 증착된 후의 도 22c의 장치를 도시한다. 일부 구현예에서, 유전체층(2602)은 고 유전율 재료일 수 있다. 일부 구현예에서, 상기 고 유전율 재료는 ZrO2일 수 있다. 일부 구현예에서, 선택적 유전체층(2602)은 SiOC, Al2O3, 및 SiN과 같은 저 유전율 재료일 수 있다. 일부 구현예에서, 선택적으로 증착된 유전체 재료(2602)는 금속성 배리어 재료(2614)를 노출시키는 트렌치 또는 비아를 개방하기 위해 저 유전율 재료(2608)를 통한 후속하는 식각에 대해 식각 정지 재료로서 작용할 수 있다.
도 22e는 중합체 패시베이션층(2604)을 제거함으로써 (이 경우에는 배리어 재료(2614)의) 하부 금속층 표면을 노출시킨 후의 도 22d의 장치를 도시한다. 선택적 유전체(2602)는 배리어층(2614)에 의해 정의된 금속성 제1 표면과 중첩되고, (예를 들어, 금속 라인 또는 비아의 위에 놓이는) 후속적인 금속성 특징부가 그 위에 형성될 때 단락의 위험을 줄인다. 특히, 저 유전율 재료는 도 22e의 구조물 위에 증착되며, 개구가 생성되어 금속으로 충전된다. 상기 개구는 마스킹과 선택적인 저 유전율 식각에 의해 생성하며, 상기 식각은 선택적으로 증착된 유전체(예: ZrO2) 상에서 정지된다. 패시베이션, 에치 백, 유전체 증착 및/또는 토포그래피 동안 조건들의 선택으로 인해 배리어층(2614)에 의해 정의된 금속성 특징부와 선택적으로 증착된 유전체(2602)가 중첩되면 오정렬로부터 보호된다. 따라서, 상기 중첩은 인접한 금속성 특징부와의 접촉이나 저 유전율 재료(2608)의 원하지 않는 식각을 방지한다. ILD 층 사이에서 식각 정지부로서 기능했던 선택적으로 증착된 유전체 재료(2602)는 최종 집적 회로 장치 내에 남아 있을 수 있다는 점에 주목해야 한다. 통상적으로 금속화 단계에서 고 유전율 재료가 사용되지 않더라도, 기생 정전 용량은 최소한이다. 최소한의 기생 정전 용량은 저 유전율 재료에 비해 고 유전율 재료의 우세한 위치, 및 고 유전율 재료의 기능으로 인한 얇은 두께에 의한 것이며, 고 유전율 재료에 대해 본 유전체 캡핑층에 대한 높은 선택도의 이점은 재료 선택에 의해 도입된 약간의 기생 정전 용량보다 더 크다. 물론, 높은 식각 선택도 또한 ILD 상에 선택적으로 증착될 저 유전율 재료로 달성될 수 있다.
도 23a와 23b는 다양한 이유로 바람직할 수 있는, 예컨대 집적 회로 내에서 밀접하게 이격된 금속성 특성부(예: 금속 라인) 사이의 기생 정전 용량을 감소시키는 공극(air-gaps)을 갖는, 일부 구현예에서의 장치 및 장치의 생성 방법을 도시한다. 도 23a는 도 21a에서 앞서 도시된 장치와 유사하며, 일부 구현예의 부분적으로 제조된 집적 회로의 편평한 표면을 도시한다. 초기 구조물은 유전체 재료(2608)(예: 저 유전율 ILD)에 의해 정의된 제2 표면으로 둘러싸인 금속성 특징부(2706)(예: 유전체 라이너 및 배리어 라이너를 갖는 Cu 라인)에 의해 정의된 제1 표면일 수 있다. 패시베이션층(2704)은 제1 표면 상에 선택적으로 증착되고, 에치 백이 수행되어 패시베이션층(2704)을 제1 표면 상에 남기고 제2 표면 상에 부분적으로 남기는 방식으로 제2 표면을 노출시킨다. 유전체(2702)는 제2 표면 상에 선택적으로 증착되며, 여기서 유전체층 에지는 제1 및 제2 표면 경계로부터 제2 표면 상으로 이격된다. 도 23b는 패시베이션층(2704)을 제거하여, 선택적으로 증착된 유전체 재료와 제1 표면(금속성 특징부(2706)) 사이에 갭(2710)을 남기면서 제1 표면을 노출시키고, 이전에 제1 재료로 덮여 있던 제2 표면을 부분적으로 노출시킨 후의 도 23a의 장치를 도시한다. 이어서, 노출된 제2 재료를 선택적으로 식각하여 금속성 특징부에 인접한 갭(2710) 내에 공동(2712)을 형성한다. 일부 구현예에서, 선택적으로 식각되는 제2 재료는 SiO이다. 일부 구현예에서, 선택적 식각은 HBr 건식 식각이다. HBr 건식 식각은 6~8 nm/분의 속도로 실리콘 산화물을 선택적으로 식각할 수 있는 반면, 실리콘 질화물(< 0.3 nm/분) 및 지르코늄 산화물(< 0.3 nm/분)과 같은 특정 다른 재료들은 낮은 속도로 식각되며, 염화물(예: Cl2)이나 황 6불화물(예: SF6)이 없는 텅스텐은 식각하지 않을 가능성이 있다. 등각성(conformality)이 충분히 낮은, 표준 저 유전율 재료와 같은 제3 재료(2714)를 증착하면 금속성 특징부(2706)의 측면에 인접한 저 유전율 재료(2708) 내에 공극(2716)이 남는다. 당업계에 공지된 바와 같이, 공기 공동은 ILD의 전반적인 유전율 값을 낮추고 금속성 특징부 사이의 기생 정전 용량을 감소시킨다.
특정 구현예 및 실시예가 논의되었지만, 당업자는 청구범위의 범주가 구체적으로 개시된 구현예들을 너머 다른 대안적인 구현예 및/또는 본 발명의 사용, 명백한 변형 및 등가물까지 확장된다는 것을 이해할 것이다.

Claims (21)

  1. 제1 및 제2 표면이 상이한 조성을 갖는 부품의 상기 제1 표면에 상대적인 부품의 상기 제2 표면 상에 선택적 증착을 위한 방법으로서,
    상기 제2 표면을 패시베이션층 없이 두면서 촉매제 없이 상기 제1 표면에 기상 반응물로부터 패시베이션층을 선택적으로 형성하는 단계; 및
    상기 패시베이션층에 상대적인 상기 제2 표면에 기상 반응물로부터 관심층을 선택적으로 증착하는 단계를 포함하고,
    상기 패시베이션층은 중합체층을 포함하고,
    상기 패시베이션층을 제거한 후 상기 제2 표면을 노출시키는 갭이 상기 관심층의 에지와 상기 제1 및 제2 표면 사이의 경계 사이에 존재하는, 방법.
  2. 제1항에 있어서, 상기 패시베이션층을 선택적으로 형성하는 상기 단계는 상기 제1 표면 상에 중합체를 일부 남기면서 상기 제2 표면으로부터 임의의 중합체를 식각하는 단계를 더 포함하는, 방법.
  3. 제1항에 있어서, 상기 패시베이션층을 선택적으로 형성하는 상기 단계는 폴리이미드를 증착하는 단계를 포함하는, 방법.
  4. 제1항에 있어서, 상기 제1 표면은 금속성 재료를 포함하고 상기 제2 표면은 무기 유전체 재료를 포함하는, 방법.
  5. 제4항에 있어서, 상기 관심층을 선택적으로 증착하는 상기 단계는 상기 제2 표면 상에 유전체 재료를 증착하는 단계를 포함하는, 방법.
  6. 제1항에 있어서, 상기 제1 표면은 무기 유전체 재료를 포함하고 상기 제2 표면은 금속성 재료를 포함하는, 방법.
  7. 제6항에 있어서, 상기 패시베이션층을 선택적으로 형성하는 상기 단계는 상기 제2 표면 상에 패시베이션 차단층을 형성하는 단계, 및 후속적으로 상기 패시베이션 차단층에 상대적인 상기 제1 표면 상에 중합체층을 선택적으로 증기 증착하는 단계를 포함하는, 방법.
  8. 제6항에 있어서, 상기 제1 표면으로부터 상기 패시베이션층을 제거하지 않고 상기 제2 표면으로부터 상기 패시베이션층을 제거하는 단계, 및 후속적으로 상기 패시베이션층에 상대적인 제2 표면 상에 상기 관심층을 선택적으로 증착하는 단계를 더 포함하는, 방법.
  9. 제8항에 있어서, 상기 관심층을 선택적으로 증착하는 상기 단계는 상기 제2 표면 상에 유전체 재료를 증착하는 단계를 포함하는, 방법.
  10. 제6항에 있어서, 상기 관심층을 선택적으로 증착하는 상기 단계는 금속층을 증착하는 단계를 포함하는, 방법.
  11. 제10항에 있어서, 상기 패시베이션층에 대해 상기 금속층 상에 유전체층을 선택적으로 증착하는 단계를 더 포함하는, 방법.
  12. 제11항에 있어서, 상기 제2 표면 상에 관심층을 선택적으로 증착한 후 상기 관심층을 제거하지 않고 상기 제1 표면으로부터 상기 패시베이션층을 선택적으로 제거하는 단계를 더 포함하는, 방법.
  13. 제1항에 있어서, 상기 관심층의 에지는 상기 제1 및 제2 표면 사이의 경계와 정렬된, 방법.
  14. 제1항에 있어서, 상기 관심층은 상기 제1 표면과 중첩되는, 방법.
  15. 제14항에 있어서, 상기 제1 표면은 상기 제2 표면의 위로 돌출된, 방법.
  16. 제1항에 있어서, 상기 갭 내의 상기 제2 표면을 선택적으로 식각하여 공동을 형성하는 단계를 더 포함하는, 방법.
  17. 제16항에 있어서, 상기 공동 내에 공극을 남기는 방식으로 공동 충전 재료를 증착하는 단계를 더 포함하는, 방법.
  18. 제1항에 있어서, 상기 관심층을 선택적으로 증착하는 단계 이후에 상기 관심층을 제거하지 않고 상기 제1 표면으로부터 상기 패시베이션층을 선택적으로 제거하는 단계를 더 포함하고,
    상기 제1 표면 바로 위에 상기 패시베이션층이 증착된 상기 제1 표면의 재료가 상기 패시베이션층을 선택적으로 제거하는 단계 이후에 잔류하는, 방법.
  19. 제18항에 있어서, 상기 관심층은 유전체 재료를 포함하고,
    상기 방법은, 상기 패시베이션층은 상기 패시베이션층을 에치 백하는 단계를 더 포함하고, 상기 에치 백하는 단계가, 상기 제1 및 제2 표면들 사이의 경계에 대하여 상기 관심층의 에지의 위치를 조절하기 위하여 상기 패시베이션층의 에지 프로파일을 생성하는 것을 조절하도록, 상기 패시베이션층은 테이퍼진 에지 프로파일을 갖는, 방법.
  20. 제19항에 있어서,
    상기 관심층은 상기 제2 표면에 대하여 45도보다 작은 기울기로 테이퍼진, 방법.
  21. 제1항에 있어서, 상기 패시베이션층을 선택적으로 형성하는 단계는 상기 제1 표면과 상기 제2 표면을 제1 기상 반응물과 제2 기상 반응물에 교번적으로 및 순차적으로 노출시키는 단계를 포함하는, 방법.
KR1020240053275A 2017-02-14 2024-04-22 선택적 패시베이션 및 선택적 증착 KR20240060762A (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201762458952P 2017-02-14 2017-02-14
US62/458,952 2017-02-14
US201762481524P 2017-04-04 2017-04-04
US62/481,524 2017-04-04
US201762591724P 2017-11-28 2017-11-28
US62/591,724 2017-11-28
KR1020180017259A KR20180093823A (ko) 2017-02-14 2018-02-12 선택적 패시베이션 및 선택적 증착

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020180017259A Division KR20180093823A (ko) 2017-02-14 2018-02-12 선택적 패시베이션 및 선택적 증착

Publications (1)

Publication Number Publication Date
KR20240060762A true KR20240060762A (ko) 2024-05-08

Family

ID=63105424

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020180017259A KR20180093823A (ko) 2017-02-14 2018-02-12 선택적 패시베이션 및 선택적 증착
KR1020240053275A KR20240060762A (ko) 2017-02-14 2024-04-22 선택적 패시베이션 및 선택적 증착

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020180017259A KR20180093823A (ko) 2017-02-14 2018-02-12 선택적 패시베이션 및 선택적 증착

Country Status (4)

Country Link
US (2) US11094535B2 (ko)
JP (3) JP7169072B2 (ko)
KR (2) KR20180093823A (ko)
TW (3) TW202328474A (ko)

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) * 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP7183187B2 (ja) 2017-05-16 2022-12-05 エーエスエム アイピー ホールディング ビー.ブイ. 誘電体上の酸化物の選択的peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
CN109786316B (zh) * 2017-11-10 2020-12-15 中芯国际集成电路制造(上海)有限公司 半导体器件、制造方法和电子设备
US10741392B2 (en) 2017-11-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure
EP3503164A1 (en) * 2017-12-21 2019-06-26 IMEC vzw Selective deposition of metal-organic frameworks
WO2019139043A1 (ja) * 2018-01-10 2019-07-18 Jsr株式会社 パターン形成方法
TWI799494B (zh) * 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
WO2020009048A1 (ja) 2018-07-02 2020-01-09 セントラル硝子株式会社 基板、基板の金属表面領域への選択的な膜堆積方法、有機物の堆積膜及び有機物
KR102027776B1 (ko) * 2018-09-04 2019-11-04 전북대학교산학협력단 무한 선택비를 갖는 원자층증착법을 이용한 패턴의 제조 방법
US11450525B2 (en) * 2018-09-14 2022-09-20 Applied Materials, Inc. Selective aluminum oxide film deposition
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11769692B2 (en) 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
JP7110090B2 (ja) * 2018-12-28 2022-08-01 東京エレクトロン株式会社 基板処理方法および基板処理システム
US20220081575A1 (en) 2019-01-10 2022-03-17 Central Glass Company, Limited Substrate, selective film deposition method, deposition film of organic matter, and organic matter
WO2020121540A1 (ja) 2019-02-04 2020-06-18 株式会社日立ハイテク プラズマ処理方法及びプラズマ処理装置
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11965238B2 (en) * 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20210131441A (ko) * 2019-04-30 2021-11-02 매슨 테크놀로지 인크 메틸화 처리를 사용한 선택적 증착
US20200347493A1 (en) * 2019-05-05 2020-11-05 Applied Materials, Inc. Reverse Selective Deposition
KR20220034785A (ko) * 2019-07-18 2022-03-18 도쿄엘렉트론가부시키가이샤 영역 선택적 증착에서 측면 필름 성장의 완화 방법
KR20220025925A (ko) * 2019-07-25 2022-03-03 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
JP7262354B2 (ja) * 2019-09-24 2023-04-21 東京エレクトロン株式会社 成膜方法
JP2021052070A (ja) * 2019-09-24 2021-04-01 東京エレクトロン株式会社 成膜方法
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210065848A (ko) * 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
JP7365898B2 (ja) * 2019-12-27 2023-10-20 東京エレクトロン株式会社 成膜方法及び成膜装置
CN115605978A (zh) * 2020-03-26 2023-01-13 应用材料公司(Us) 硼和碳膜的催化形成
TW202204658A (zh) * 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
US11251073B2 (en) 2020-04-01 2022-02-15 Taiwan Semiconductor Manufacturing Co. Selective deposition of barrier layer
US11542597B2 (en) * 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
WO2021257208A1 (en) * 2020-06-17 2021-12-23 Tokyo Electron Limited Method for reducing lateral film formation in area selective deposition
KR20210158811A (ko) 2020-06-24 2021-12-31 에이에스엠 아이피 홀딩 비.브이. 유기 재료의 영역 선택적 제거
JP2022050198A (ja) * 2020-09-17 2022-03-30 東京エレクトロン株式会社 成膜方法及び成膜装置
US11515154B2 (en) * 2020-10-27 2022-11-29 Applied Materials, Inc. Selective deposition of a passivation film
US11955382B2 (en) 2020-12-03 2024-04-09 Applied Materials, Inc. Reverse selective etch stop layer
US20240047239A1 (en) * 2020-12-16 2024-02-08 Hitachi High-Tech Corporation Plasma processing device and plasma processing method
KR20230136177A (ko) 2021-02-01 2023-09-26 샌트랄 글래스 컴퍼니 리미티드 기판, 선택적 막 퇴적 방법, 유기물의 퇴적막 및 유기물
JP2022135709A (ja) * 2021-03-05 2022-09-15 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7339975B2 (ja) * 2021-03-18 2023-09-06 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
WO2022212708A1 (en) * 2021-04-01 2022-10-06 The Trustees Of Princeton University Distributed non-equilibrium chemical and material synthesis using combined plasma activation and programed heating and quenching
JP2023009762A (ja) * 2021-07-08 2023-01-20 東京エレクトロン株式会社 エッチング方法
JP2023023459A (ja) 2021-08-05 2023-02-16 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7315744B1 (ja) 2022-03-14 2023-07-26 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11691175B1 (en) 2022-07-18 2023-07-04 Tokyo Electron Limited Methods for area-selective deposition of polymer films using sequentially pulsed initiated chemical vapor deposition (spiCVD)
JP2024049188A (ja) * 2022-09-28 2024-04-09 東京エレクトロン株式会社 膜形成方法及び基板処理装置
US20240145232A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Benzyl compound passivation for selective deposition and selective etch protection

Family Cites Families (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
JP3373320B2 (ja) 1995-02-10 2003-02-04 株式会社アルバック 銅配線製造方法
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
AU2001260374A1 (en) 2000-05-15 2001-11-26 Asm Microchemistry Oy Process for producing integrated circuits
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
KR100869326B1 (ko) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
CN1726303B (zh) 2002-11-15 2011-08-24 哈佛学院院长等 使用脒基金属的原子层沉积
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) * 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
KR20070089197A (ko) 2004-11-22 2007-08-30 어플라이드 머티어리얼스, 인코포레이티드 배치 처리 챔버를 사용한 기판 처리 기기
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
JP2009539237A (ja) 2006-06-02 2009-11-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 新規なチタン、ジルコニウムおよびハフニウム前駆体をベースとするhigh−k誘電体フィルムを形成する方法および半導体製造におけるそれらの使用
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
JP5412294B2 (ja) 2007-02-14 2014-02-12 本田技研工業株式会社 原子層堆積法によりサイズ制御され空間的に分散されるナノ構造の製造方法
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
US8039062B2 (en) 2007-09-14 2011-10-18 Sigma-Aldrich Co. Llc Methods of atomic layer deposition using hafnium and zirconium-based precursors
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
KR20100098380A (ko) 2007-11-06 2010-09-06 에이치시에프 파트너스, 엘.피. 원자 층 증착 공정
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
WO2010009297A2 (en) 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
TW201027766A (en) 2008-08-27 2010-07-16 Applied Materials Inc Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
US20110221061A1 (en) 2008-12-01 2011-09-15 Shiva Prakash Anode for an organic electronic device
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
WO2011012636A1 (en) 2009-07-31 2011-02-03 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
JP2011222779A (ja) 2010-04-09 2011-11-04 Dainippon Printing Co Ltd 薄膜素子用基板の製造方法、薄膜素子の製造方法および薄膜トランジスタの製造方法
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
JP5400964B2 (ja) 2010-07-01 2014-01-29 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8753978B2 (en) 2011-06-03 2014-06-17 Novellus Systems, Inc. Metal and silicon containing capping layers for interconnects
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
WO2014015237A1 (en) 2012-07-20 2014-01-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20150372205A1 (en) 2013-01-31 2015-12-24 Dai Nippon Printing Co., Ltd. Electron beam curable resin composition, reflector resin frame, reflector, semiconductor light-emitting device, and molded article production method
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP5605464B2 (ja) 2013-06-25 2014-10-15 東京エレクトロン株式会社 成膜装置及びそのクリーニング方法
CN110323268B (zh) 2013-06-28 2023-01-03 英特尔公司 基于选择性外延生长的iii-v族材料的器件
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
MX2016002656A (es) 2013-09-20 2016-06-06 Baker Hughes Inc Materiales compuestos para uso en operaciones de estimulacion y control de arena.
WO2015047345A1 (en) 2013-09-27 2015-04-02 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
JP6135475B2 (ja) 2013-11-20 2017-05-31 東京エレクトロン株式会社 ガス供給装置、成膜装置、ガス供給方法及び記憶媒体
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
EP3084815A4 (en) 2013-12-19 2018-01-03 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
TWI739285B (zh) * 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
EP3122918A4 (en) 2014-03-27 2018-03-14 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
CN106030758B (zh) 2014-03-28 2020-07-17 英特尔公司 选择性外延生长的基于iii-v材料的器件
US10047435B2 (en) * 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) * 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) * 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US9911591B2 (en) 2015-05-01 2018-03-06 Applied Materials, Inc. Selective deposition of thin film dielectrics using surface blocking chemistry
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
KR102475024B1 (ko) * 2015-06-18 2022-12-07 타호 리서치 리미티드 제2 또는 제3 행 전이 금속 박막들의 퇴적을 위한 선천적으로 선택적인 전구체들
US10428421B2 (en) * 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) * 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US10316406B2 (en) * 2015-10-21 2019-06-11 Ultratech, Inc. Methods of forming an ALD-inhibiting layer using a self-assembled monolayer
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US20170298503A1 (en) * 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10453701B2 (en) * 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) * 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
US11430656B2 (en) * 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) * 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
JP7183187B2 (ja) * 2017-05-16 2022-12-05 エーエスエム アイピー ホールディング ビー.ブイ. 誘電体上の酸化物の選択的peald
US10900120B2 (en) * 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
JP7146690B2 (ja) * 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) * 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積

Also Published As

Publication number Publication date
JP7169072B2 (ja) 2022-11-10
US20210358739A1 (en) 2021-11-18
JP2018137435A (ja) 2018-08-30
JP2023182796A (ja) 2023-12-26
TWI798112B (zh) 2023-04-01
JP2023011755A (ja) 2023-01-24
KR20180093823A (ko) 2018-08-22
TW202240002A (zh) 2022-10-16
JP7373636B2 (ja) 2023-11-02
TW201835367A (zh) 2018-10-01
US20180233350A1 (en) 2018-08-16
US11094535B2 (en) 2021-08-17
TW202328474A (zh) 2023-07-16
TWI794209B (zh) 2023-03-01

Similar Documents

Publication Publication Date Title
JP7373636B2 (ja) 選択的パッシベーションおよび選択的堆積
US11145506B2 (en) Selective passivation and selective deposition
US10923361B2 (en) Deposition of organic films
US11387107B2 (en) Deposition of organic films
KR102513600B1 (ko) 산화물 박막의 증착
TWI488990B (zh) 使用鋁烴化合物之金屬碳化物膜的原子層沈積
US20210358745A1 (en) Selective passivation and selective deposition
CN114262878A (zh) 氧化硅沉积方法
TWI842748B (zh) 選擇性沉積的方法以及用於選擇性沉積的組合工具和系統
KR20230019044A (ko) 선택적 패시베이션 및 선택적 증착
JP7495569B2 (ja) 有機膜の堆積
US20230098114A1 (en) Selective deposition of organic material
CN114613722A (zh) 使用抑制剂的拓扑选择性气相沉积

Legal Events

Date Code Title Description
A107 Divisional application of patent