TW202240002A - 選擇性沉積之方法 - Google Patents

選擇性沉積之方法 Download PDF

Info

Publication number
TW202240002A
TW202240002A TW111122694A TW111122694A TW202240002A TW 202240002 A TW202240002 A TW 202240002A TW 111122694 A TW111122694 A TW 111122694A TW 111122694 A TW111122694 A TW 111122694A TW 202240002 A TW202240002 A TW 202240002A
Authority
TW
Taiwan
Prior art keywords
layer
selectively
passivation layer
passivation
depositing
Prior art date
Application number
TW111122694A
Other languages
English (en)
Other versions
TWI798112B (zh
Inventor
艾娃E 多益斯
蘇維P 賀加
雷傑H 梅特羅
艾琳娜 凡
戴芬 隆吉
秀美 末盛
強 威廉 梅斯
馬可 圖敏南
紹仁 鄧
艾翁 約翰尼斯 拉吉馬克斯
安德莉亞 伊利貝里
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW202240002A publication Critical patent/TW202240002A/zh
Application granted granted Critical
Publication of TWI798112B publication Critical patent/TWI798112B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本發明提供用於選擇性沉積之方法。將材料相對於不同材料組成之 第二表面選擇性地沉積於基板的第一表面上。在第一表面上自氣相反應物選擇性地形成鈍化層,同時留下沒有鈍化層的第二表面。在第二表面上相對於鈍化層自氣相反應物選擇性地沉積一相關層。第一表面可為金屬性同時第二表面係介電性,或第二表面係介電性同時第二表面係金屬性。因此,可使用文中描述之技術將諸如介電質之材料相對於另一類型表面選擇性地沉積於金屬性或介電性表面上。亦揭示用來控制層邊緣相對於下方不同材料間之邊界之定位及形狀的技術及所得結構。

Description

選擇性沉積之方法
[優先權申請案]
本申請案主張2017年2月14日提出申請之美國臨時申請案第62/458,952號、2017年4月4日提出申請之第62/481,524號、及2017年11月28日提出申請之第62/591,724號的優先權。
[將任何優先權申請案以引用方式併入]
將於與本申請案共同提出申請之申請資料表中指出國外或國內優先權申請專利範圍的任何及所有申請案以引用的方式併入。
本揭示內容大致係關於將材料相對於不同材料組成之第二表面選擇性沉積於基板之第一表面上。
半導體製造中逐漸減小的裝置尺寸需要新穎創新的加工方法。習知半導體加工中之圖案化涉及減法製程,其中沉積毯覆層,藉由光微影技術遮蔽,及通過遮罩中之開口蝕刻。亦知曉加成圖案化,其中在沉積相關材料之前進行遮蔽步驟,諸如使用剝離(lift-off)技術或鑲嵌加工之圖案化。在大多數情況中,應用昂貴的多步驟微影技術來進行圖案化。
圖案化可藉由選擇性沉積來簡化,其已於半導體製造者間獲得愈來愈多的利益。選擇性沉積將以多種方式高度有利。值得注意地,其可容許減少微影步驟,從而降低加工成本。選擇性沉積亦可實現於狹窄結構 中的增進縮放,諸如藉由實現底部成長型填充(bottom up fill)。電化學沉積係選擇性沉積的一種形式,因可將金屬選擇性地形成於傳導性元件上。化學氣相沉積(chemical vapor deposition,CVD)及原子層沉積(atomic layer deposition,ALD)係表面敏感性技術氣相沉積技術,且因此已經研究作為選擇性沉積的良好候選技術。選擇性ALD係,例如,於U.S.6,391,785中提出。
選擇性沉積的其中一項挑戰係沉積製程的選擇性通常並非高至足以達成選擇性的目標。表面預處理有時可用來抑制或促進在一或兩個表面上的沉積,但該等處理本身通常要求微影術已施行處理或僅保留於待處理之表面上。
因此,需要用來達成選擇性沉積之更實際的製程。
在一態樣中,提供一種相對於一部件之第一表面選擇性地沉積於該部件之第二表面上的方法,其中該等第一表面及第二表面具有不同組成。該方法包括在該第一表面上自氣相反應物選擇性地形成鈍化層,同時留下該第二表面沒有該鈍化層。該方法進一步包括在該第二表面上相對於該鈍化層自氣相反應物選擇性地沉積一相關層。
在一些具體例中,選擇性地形成鈍化層之方法進一步包括自第二表面蝕刻任何聚合物,同時於第一表面上留下一些聚合物。在一些具體例中,該方法包括使相關層之邊緣與第一和第二表面之間的邊界對齊。在一些具體例中,該方法包括使相關層與第一表面重疊。在一些具體例中,該方法包括使第一表面高起於第二表面。在一些具體例中,於移除鈍化層後,該方法包括在相關層之邊緣與第一和第二表面之間的邊界之間存在一 暴露第二表面的間隙。在一些具體例中,該方法進一步包括於該間隙中選擇性地蝕刻第二表面以形成一空腔。在一些具體例中,該方法進一步包括以於該空腔內留下一氣隙的方式沉積空腔填充材料。
在另一態樣中,提供一種用於有機層沉積之設備。該設備包括經構造用來汽化第一有機反應物以形成一反應物蒸氣之第一容器及經構造用來汽化第二有機反應物以形成一反應物蒸氣之第二容器。該設備進一步包括與氫氣及惰性氣體源連通之電漿源及經構造以容納基板並與第一容器及第二容器選擇性流體連通之反應空間。控制系統係經構造以藉由自第一容器及第二容器傳送蒸氣來將有機層沉積於基板上,及藉由操作電漿源來回蝕有機層。
在另一態樣中,提供一種積體電路金屬化結構。該結構包括至少部分嵌入於低k材料內之金屬性特徵、低k材料、及介電性蝕刻止停材料。該結構進一步包括設置於低k材料內且鄰接金屬性特徵之橫向側設置之氣隙。
在另一態樣中,提供一種積體電路金屬化結構。該結構包括低k材料及至少部分嵌入該低k材料內之金屬性特徵。該結構進一步包括上覆該低k材料之介電性蝕刻止停材料,其中該介電性蝕刻止停材料包含選擇性沉積材料之邊緣輪廓特徵。
11、12、13、14、15、16:方塊
21、22、23、24、25、26、27、28、29、30:方塊
100:設備
105:第一反應物容器
110:第一反應物
115:反應空間
120:基板
125:控制系統
130:氣體管線
135:入口歧管
140:第二反應物容器
145:第二反應物
147:電漿源
150:氣體源
155:排氣出口
160:排氣管線
165:真空泵
2502、2602:介電層
2504、2604、2704:鈍化層
2506、2606:金屬性材料
2508、2608:低k介電性材料
2610:Cu
2612:TaN障壁材料
2614:障壁層
2702:介電質
2706:金屬性特徵
2708:低k材料
2710:間隙
2712:空腔
2714:第三材料
2716:氣隙
X、Y:相關層
圖1A係根據第一具體例之具有不同組成之第一表面及第二表面之基板之一部分的示意性橫截面。
圖1B係圖1A之基板於第一表面之選擇性鈍化後的示意性橫截面。
圖1C係圖1B之基板於選擇性沉積於第二表面上之後的示意性橫截面。
圖1D係圖1C之基板於自第一表面移除鈍化材料後的示意性橫截面。
圖2A係根據第二具體例之具有不同組成之第一表面及第二表面之基板之一部分的示意性橫截面,其具有形成於第二表面上之鈍化阻擋材料。
圖2B係圖2A之基板於第一表面之選擇性鈍化後的示意性橫截面。
圖2C係圖2B之基板於自第二表面移除鈍化阻擋材料後的示意性橫截面。
圖2D係圖2C之基板於選擇性沉積於第二表面上之後的示意性橫截面。
圖2E係圖2D之基板於自第一表面移除鈍化材料後的示意性橫截面。
圖3A係根據第三具體例之圖2D之基板於將另一材料選擇性沉積於第二表面上方之後的示意性橫截面。
圖3B係圖3A之基板於自第一表面移除鈍化材料後的示意性橫截面。
圖4A係大致繪示用來選擇性沉積有機鈍化層之製程的流程圖。
圖4B係大致繪示用來選擇性沉積有機層之原子層沉積(ALD)製程的流程圖。
圖5係繪示於天然氧化物表面上相對於聚合物表面之氧化鋯原子層沉積(ALD)製程之選擇性的圖。
圖6係繪示針對氧化鈦ALD製程,於天然氧化物上方之氧化鈦厚度成循環數目及沉積溫度之函數的圖。
圖7係繪示針對氧化鈦ALD製程,於聚合物上方之氧化鈦厚度成循環數目及沉積溫度之函數的圖。
圖8係繪示含硫自組裝單層(self-assembly monolayer,SAM)之水接觸角相對暴露至氣相前驅體之時間的長條圖。
圖9係繪示在於氫氯酸(HCl)預處理後形成之含硫SAM上之水接觸角的顯微照片。
圖10係繪示在於甲酸(HCOOH)預處理後形成之含硫SAM上之水接觸角的顯微照片。
圖11係繪示在暴露至含硫SAM及/或聚合物之沉積製程後於銅表面上之水接觸角的長條圖。
圖12係繪示於暴露至聚合物沉積製程後,各種材料藉由XPS分析之材料組成的長條圖。
圖13係繪示在暴露至含硫SAM之沉積製程後於銅表面上之水接觸角的顯微照片。
圖14係繪示在暴露至含硫SAM之沉積製程後於無機介電性表面上之水接觸角的顯微照片。
圖15係經構造用來選擇性沉積聚合物層及自不期望表面原位(in situ)回蝕之設備的示意性圖式。
圖16係大致繪示根據具體例之於利用有機材料選擇性鈍化第一表面後於第二表面上選擇性沉積介電層之製程的流程圖。
圖17係利用具有不同組成之第一表面及第二表面之基板之一部分之示意性橫截面的流程圖,及大致繪示於鈍化材料上之回蝕程度對所形 成之介電層與第一表面及第二表面之界面之關係的影響。
圖18係利用具有不同組成之第一表面及第二表面之基板之一部分之示意性橫截面的流程圖,及大致繪示鈍化層厚度對所形成之介電層與第一表面及第二表面之界面之關係的影響。
圖19係利用具有不同組成之第一表面及第二表面之基板之一部分之示意性橫截面的流程圖,及大致繪示介電質厚度對所形成之介電層與第一表面及第二表面之界面之關係的影響。
圖20A係具有不同組成之齊平的第一表面及第二表面(其分別具有選擇性地沉積於其上之鈍化及介電層)之基板之一部分的示意性橫截面。
圖20B係具有不同組成之第一表面及第二表面(其中第一表面相對於第二表面內凹)及分別選擇性地沉積於其上之鈍化及介電層之基板之一部分的示意性橫截面。
圖20C係具有不同組成之第一表面及第二表面(其中第一表面相對於第二表面高起)及具有分別選擇性沉積於其上之鈍化及介電層之基板之一部分的示意性橫截面。
圖20D係具有不同組成之第一表面及第二表面(其中第一表面相對於第二表面內凹)及具有分別選擇性沉積於其上之鈍化及介電層之基板之一部分的示意性橫截面。
圖21A係具有嵌入式金屬特徵之基板之一部分的示意性橫截面。
圖21B係圖21A之基板於形成金屬帽以界定第一表面後的示意性橫截面。
圖21C係圖21B之基板於選擇性鈍化沉積及回蝕,從而於金屬 帽上方留下一鈍化膜並暴露出金屬帽之邊緣後的示意性橫截面。
圖21D係圖21C之基板於在基板之低k表面上方選擇性沉積介電性材料後的示意性橫截面,其中經沉積的介電質抵抗低k材料之蝕刻並與金屬帽重疊。
圖21E係圖21D之基板於移除鈍化層後的示意性橫截面。
圖22A係顯示具有不同組成之第一表面及第二表面之基板之一部分之示意性橫截面的流程圖,及大致繪示第一表面之選擇性鈍化、以留下與第二表面重疊之鈍化之方式回蝕、及於剩餘的第二表面上選擇性沉積介電性蝕刻遮罩。
圖22B係圖22A之基板於移除鈍化層,從而於第一表面與介電性蝕刻遮罩之間留下間隙,選擇性蝕刻暴露於間隙中之低k材料,及沉積以於基板內留下氣隙後的示意性橫截面。
圖23係繪示使用O3作為蝕刻劑針對三種不同蝕刻溫度之聚合物厚度成蝕刻脈衝之函數的圖。
圖24係繪示針對O3蝕刻聚合物,蝕刻速率成溫度倒數函數之阿瑞尼斯(Arrhenius)圖的圖。
揭示用於相對於第一表面在第二表面上方選擇性地沉積材料之方法及設備,其中該等第一表面及第二表面具有材料差異。例如,其中一表面可包括金屬性材料且另一表面可包括無機介電性材料。在文中描述的具體例中,將有機鈍化層相對於第二表面選擇性地沉積於第一表面上。在一些具體例中,第一表面係金屬性及第二表面係介電性;在其他具體例中,第一表面係介電性及第二表面係金屬性。隨後,將相關層相對於有機 鈍化層選擇性地沉積於第二表面上。可將其他層相對於有機鈍化層選擇性地沉積於第二表面上方的相關層上。
在一具體例中,第一表面包括金屬性表面,諸如元素金屬或金屬合金,同時第二表面包括無機介電性表面,諸如低k材料。低k材料的實例包括基於氧化矽的材料,包括經成長或沉積的二氧化矽、經摻雜及/或多孔的氧化物、矽上天然氧化物等。將聚合物鈍化層相對於無機介電性表面選擇性地沉積於金屬性表面上。隨後,將相關層選擇性地沉積於無機介電性表面上。該相關層可包括金屬元素。相關層的實例包括介電質,諸如氧化鋯(例如,ZrO2)、氧化給(例如,HfO2)及氧化鈦(例如,TiO2)。提供將該等材料相對於聚合物表面選擇性地沉積於基於氧化矽之表面上的製程。
在第二具體例中,第一表面包括無機介電性表面,諸如低k材料,同時第二表面包括金屬性表面,諸如元素金屬或金屬合金。低k材料的實例包括基於氧化矽的材料,包括經成長或沉積的二氧化矽、經摻雜及/或多孔的氧化物、矽上天然氧化物等。將聚合物鈍化層相對於金屬性表面選擇性地沉積於無機介電性表面上。在沉積聚合物鈍化層之前,金屬性表面可具有鈍化阻擋層,諸如自組裝單層(SAM)。鈍化阻擋層有利於針對在無機介電性表面上之聚合物沉積的選擇性,且可於其後移除,以容許將相關層相對於聚合物鈍化層選擇性沉積於金屬性表面上。相關層可包括金屬元素。相關層的實例包括金屬層(例如參見2015年2月17日發證之美國專利第8,956,971號及2015年8月18日發證之美國專利第9,112,003號)及金屬氧化物層(例如,氧化鋯、氧化鉿、氧化鈦)。提供將該等材料相對於聚合物表面選擇性地沉積於金屬性表面上的製程。
在第三具體例中,進行第二具體例之製程,以相對於經聚合物 鈍化的無機介電性表面選擇性地於金屬性表面上方提供相關層。其後,於該相關層上方選擇性地沉積另一相關層,同時該聚合物仍維持鈍化該無機介電性表面。例如,該相關層可包括金屬層,同時該另一相關層包括金屬氧化物層(例如,氧化鋯、氧化鉿、氧化鈦)。提供將該等材料相對於聚合物表面選擇性地沉積於金屬性表面上的製程。
該聚合物鈍化層可於將該(等)相關層選擇性沉積於第二表面上方之後自第一表面移除。例如,氧化製程可選擇性地移除聚合物材料。選擇條件以避免損壞基板上的周圍材料。
亦提供用來控制相對於基板上之其他特徵(諸如下方金屬性及介電性表面之間的邊界)選擇性地沉積層之邊緣輪廓及邊緣位置的具體例。因此,無需昂貴的微影圖案化來對選擇性層邊緣的相對定位提供控制。實施例說明針對該控制的應用,包括使選擇性層與其上之沉積經最小化之材料重疊的實施例;選擇性層與來自其上之沉積經最小化之材料之層形成有間隙間隔的實施例;及選擇性層之邊緣與兩不同下方材料間之邊界對齊的實施例。
基板表面
根據本揭示內容之一些態樣,可使用選擇性沉積來相對於第一表面優先地於第二表面上沉積相關膜。兩表面可具有不同材料性質以容許於其上選擇性形成有機材料,諸如使聚合物層相對於第二表面選擇性沉積於第一表面上,其繼而容許隨後將相關層相對於經有機鈍化之第一層選擇性沉積於第二表面上。
例如,在文中描述的具體例中,其中一表面可為基板的傳導性(例如,金屬或金屬性)表面,同時另一表面可為基板的非傳導性(例如,無機介電性)表面。在一些具體例中,非傳導性表面包含-OH基,諸如基 於氧化矽的表面(例如,低k材料,包括經成長及沉積的氧化矽材料及矽上天然氧化物)。在一些具體例中,非傳導性表面可另外包含-H終端,諸如經HF浸漬的Si或經HF浸漬的Ge表面。在該等具體例中,相關表面將被視為包括-H終端及在-H終端下方之材料兩者。
針對上文指出的任何實施例,兩表面間的材料差異係使得氣相沉積方法可將有機鈍化層相對於第二表面選擇性地沉積於第一表面上。在一些具體例中,使用循環氣相沉積,例如,使用循環CVD或原子層沉積(ALD)製程。在一些具體例中,可達成針對有機鈍化層的選擇性而不用在表面上的鈍化/阻擋劑以接收較少有機層;及/或不用在表面上的催化劑以接收較多有機層。例如,在第一表面係金屬性及第二表面係介電性的具體例中,聚合物可相對於無機介電性表面直接選擇性地沉積於金屬性表面上。在第一表面係介電性及第二表面係金屬性的其他具體例中,先處理第二表面以抑制聚合物於其上的沉積。例如,可先將鈍化阻擋自組裝單層(SAM)相對形成於金屬性表面上方,從而有利於聚合物鈍化層相對於經SAM覆蓋之第二金屬性表面於介電性表面(諸如無機介電性表面)上的選擇性沉積。於完成有機鈍化的選擇性沉積後,可相對於經鈍化的第一表面於未經鈍化的第二表面上進行相關材料(諸如金屬氧化物或金屬層)的進一步選擇性沉積。
對於一表面包含金屬而另一表面不包含的具體例,除非另外指示,否則若在文中將一表面稱為金屬表面,則其可為金屬表面或金屬性表面。在一些具體例中,金屬或金屬性表面可包含金屬、金屬氧化物、及/或其混合物。在一些具體例中,金屬或金屬性表面可包含表面氧化。在一些具體例中,金屬或金屬性表面的金屬或金屬性材料係具有或不具有表面氧化的導電性。在一些具體例中,金屬或金屬性表面包含一或多種過渡金 屬。在一些具體例中,金屬或金屬性表面包含Al、Cu、Co、Ni、W、Nb、Fe、或Mo中之一或多者。在一些具體例中,金屬性表面包含氮化鈦。在一些具體例中,金屬或金屬性表面包含一或多種貴金屬,諸如Ru。在一些具體例中,金屬或金屬性表面包含傳導性金屬氧化物、氮化物、碳化物、硼化物、或其組合。例如,金屬或金屬性表面可包含RuOx、NbCx、NbBx、NiOx、CoOx、NbOx、MoOx、WOx、WNCx、TaN、或TiN中之一或多者。
在一些具體例中,金屬或金屬性表面包含鈷(Co)、銅(Cu)、鎢(W)或鉬(Mo)。在一些具體例中,金屬或金屬性表面可為任何可視具體例而定接受於如文中所述之有機鈍化層或相關層之選擇性沉積製程中所使用之第一或第二前驅體或與其配位的表面。
在一些具體例中,將有機鈍化材料相對於其他表面選擇性地沉積於金屬氧化物表面上。金屬氧化物表面可為,例如,WOx、TiOx表面。在一些具體例中,金屬氧化物表面係金屬性材料的經氧化表面。在一些具體例中,金屬氧化物表面係藉由使用氧化合物(諸如包含O3、H2O、H2O2、O2之化合物、氧原子、電漿或自由基或其混合物)氧化金屬性材料之至少該表面來產生。在一些具體例中,金屬氧化物表面係形成於金屬性材料上之天然氧化物。
在一些具體例中,第二表面可包含其上包括鈍化阻擋層的金屬表面。換言之,在一些具體例中,第二表面可包含金屬表面,該金屬表面包含抑制鈍化層沉積於其上的材料,例如自組裝單層(SAM)。
在一些具體例中,將有機鈍化材料相對於第二介電性表面選擇性地沉積於第一金屬氧化物表面(其係金屬性材料的經氧化表面)上。
在一些具體例中,第一表面及第二表面中之一者係基板的金屬 或金屬性表面及另一表面係基板的介電性表面。術語介電性在文中說明中係用來簡單地與另一表面(即金屬或金屬性表面)作區分。熟悉技藝人士當明瞭並非所有非傳導性表面皆係介電性表面,反之,並非所有金屬性表面皆係傳導性。例如,金屬或金屬性表面可包含非導電性或具有相當高電阻率的經氧化金屬表面。文中教示的選擇性沉積製程可在於經鈍化的介電性表面上具有最少沉積的情況下沉積於該等非傳導性金屬性表面上,及類似地,選擇性沉積製程可在於經鈍化的非傳導性金屬性表面上具有最少沉積的情況下沉積於介電性表面上。
在一些具體例中,可在選擇性沉積製程之前或在開始時預處理或清潔基板。在一些具體例中,可在選擇性沉積製程之前或在開始時使基板經歷電漿清潔製程。在一些具體例中,電漿清潔製程可不包括離子轟擊,或可包括相當少量的離子轟擊。例如,在一些具體例中,可在選擇性鈍化層沉積製程之前或在開始時使基板表面暴露至電漿、自由基、受激發物種、及/或原子物種。在一些具體例中,可在選擇性鈍化層沉積製程之前或在開始時使基板表面暴露至氫電漿、自由基、或原子物種。在一些具體例中,可在與選擇性沉積製程相同的反應室中進行預處理或清潔製程,然而,在一些具體例中,可在另外的反應室中進行預處理或清潔製程。
選擇性
熟悉技藝人士當明瞭選擇性沉積可為完全選擇性或部分選擇性。部分選擇性製程可藉由自表面B上方移除所有沉積材料而不自表面A上方移除所有沉積材料的沉積後蝕刻來產生完全選擇性層。由於簡單的回蝕製程可無需昂貴的遮蔽製程以留下完全選擇性結構,因此選擇性沉積無需為完全選擇性來獲得期望效益。
相對於表面B沉積於表面A上的選擇性可給定為藉由[(於表面A 上之沉積)-(於表面B上之沉積)]/(於表面A上之沉積)所計算之百分比。沉積可以各種方式的任何方式測量。例如,沉積可給定為沉積材料的測量厚度,或可給定為沉積材料的測量量。在文中描述的具體例中,可相對於第二表面(表面B)於第一表面(表面A)上進行有機鈍化層的選擇性沉積。隨後,相對於第一表面上方的有機鈍化層(表面B)於第二表面(表面A)上選擇性地沉積相關層。
在一些具體例中,於第一表面上(相對於第二表面)選擇性沉積鈍化層之選擇性及/或相關層於第二表面上(相對於第一表面上之鈍化層)之選擇性係大於約10%,大於約50%,大於約75%,大於約85%,大於約90%,大於約93%,大於約95%,大於約98%,大於約99%或甚至大於約99.5%。在文中所述之具體例中,有機鈍化層沉積之選擇性可隨沉積之持續時間或厚度改變。驚人地,已發現選擇性隨文中所述之氣相聚合物層沉積的沉積持續時間而增加。相對地,基於在不同表面上之差別晶核生成的典型選擇性沉積傾向於隨較大的沉積持續時間或厚度而變為較低選擇性。
在一些具體例中,沉積僅發生在第一表面上而不發生在第二表面上。在一些具體例中,在基板之表面A上相對於基板之表面B的沉積係至少約80%選擇性,其對於一些特定應用可能係足夠的選擇性。在一些具體例中,在基板之表面A上相對於基板之表面B的沉積係至少約50%選擇性,其對於一些特定應用可能係足夠的選擇性。在一些具體例中,在基板之表面A上相對於基板之表面B的沉積係至少約10%選擇性,其對於一些特定應用可能係足夠的選擇性。熟悉技藝人士當明瞭部分選擇性製程可藉由自表面B上方移除所有沉積材料而不自表面A上方移除所有沉積材料的沉積後蝕刻來產生完全選擇性結構層。此外,沉積後蝕刻亦可幫助調整選擇性沉積層的位置及/或輪廓,如將自以下圖17至圖23B的說明更加明 瞭。
在一些具體例中,沉積於基板之第一表面上的有機層可具有小於約50nm、小於約20nm、小於約10nm、小於約5nm、小於約3nm、小於約2nm、或小於約1nm之厚度,同時沉積於基板之第一表面上相對於基板之第二表面的材料比率可為大於或等於約200:1、大於或等於約100:1、大於或等於約50:1、大於或等於約25:1、大於或等於約20:1、大於或等於約15:1、大於或等於約10:1、大於或等於約5:1、大於或等於約3:1、或大於或等於約2:1。
在一些具體例中,文中描述之選擇性沉積製程的選擇性可取決於界定基板之第一表面及/或第二表面之材料的材料組成。例如,在一些具體例中,當第一表面包含經BTA鈍化的Cu表面及第二表面包含天然或化學二氧化矽表面時,選擇性可大於約8:1或大於約15:1。在一些具體例中,當第一表面包含金屬或金屬氧化物及第二表面包含天然或化學二氧化矽表面時,選擇性可大於約5:1或大於約10:1。
於介電質上之選擇性沉積
圖1A至圖1D示意性地繪示相對於第二表面選擇性鈍化第一表面,隨後再相對於經鈍化的第一表面選擇性沉積於第二表面上的第一具體例。在所繪示的具體例中,第一表面包括金屬性材料,第二表面包括無機介電性材料;及沉積於第二表面上的相關材料包括介電性材料。
圖1A繪示具有材料上不同之暴露表面的基板。例如,第一表面可包含諸如鈷(Co)、銅(Cu)、鎢(W)或鉬(Mo)的金屬或由其所界定。第二表面可包含無機介電質,諸如低k層(通常係基於氧化矽之層)或其上形成有天然氧化物(亦為一種形式的氧化矽)的矽表面或由其所界定。
圖1B顯示於將鈍化層選擇性沉積於第一表面上方之後的圖1A 基板。例如,鈍化層可為選擇性地沉積於第一層之金屬性表面上的聚合物層。藉由氣相沉積技術選擇性地沉積聚合物層之方法揭示於2016年6月1日提出申請之美國專利申請案第15/170,769號中,將其全部揭示內容以引用的方式併入本文中供所有用途用。以下提供充作鈍化層之聚合物層之選擇性沉積的進一步資訊及實例。
在一些具體例中,經選擇性沉積的聚合物係聚醯亞胺。在一些具體例中,經沉積的聚合物係聚醯胺。經沉積聚合物的其他實例包括二聚物、三聚物、聚脲層、聚噻吩聚胺基甲酸酯、聚硫脲、聚酯、聚亞胺、上述材料的其他聚合形式或混合物。氣相沉積有機材料包括聚醯胺酸,其可為聚合物形成的前驅體。選擇性沉積層可為包括聚合物及聚醯胺酸之混合物,其就本揭示內容之目的而言將被視為聚合物。
如前所述,沉積於第二表面(在此實例中為無機介電性表面)上之任何有機材料可藉由回蝕製程移除。在一些具體例中,在選擇性沉積有機層後的蝕刻製程可自基板之第一表面及第二表面兩者移除經沉積的有機材料。在一些具體例中,蝕刻製程可為各向同性的。
在一些具體例中,蝕刻製程可自第一表面及第二表面移除相同量或厚度的材料。換言之,在一些具體例中,沉積於第一表面上之有機材料的蝕刻速率可實質上與沉積於第二表面上之有機材料的蝕刻速率相似。歸因於文中描述之沉積製程的選擇性特性,沉積於基板之第二表面上之有機材料的量實質上小於沉積於基板之第一表面上之材料量。因此,蝕刻製程可自基板之第二表面完全地移除經沉積的有機材料,同時經沉積的有機材料可保留於基板之第一表面上。用來蝕刻聚合物之適宜製程參照圖1D描述於下文。
圖1C顯示於將相關層X相對於第一表面(在此實例中為金屬性 表面)上之鈍化層選擇性沉積於第二表面(在此實例中為無機介電性表面)上之後之圖1B基板。相關層X可為介電性材料,特定言之金屬氧化物諸如氧化鋯、氧化鉿或氧化鈦。使用疏水性前驅體來促進相對於有機鈍化層之選擇性的藉由氣相沉積技術選擇性地沉積該等金屬氧化物層之方法揭示於2016年5月5日提出申請之美國臨時專利申請案第62/332,396號中,將其全部揭示內容以引用的方式併入本文中供所有用途用。以下提供金屬氧化物及其他相關層之選擇性沉積的進一步資訊及實例。
如前所述,沉積於第一表面上方之鈍化層上的任何用於相關層X的材料可藉由回蝕製程移除。由於相關層係選擇性地沉積於第二表面上,因此留在鈍化表面上之任何用於相關層X的材料將較形成於金屬性表面上之鈍化層薄。因此,可控制回蝕製程以移除鈍化層上方之所有用於相關層X的材料,而不自介電性表面上方移除所有相關層。以此方式重複地選擇性沉積及回蝕可導致於介電質上之用於相關層X的材料的厚度隨各循環的沉積及蝕刻而增加。以此方式重複地選擇性沉積及回蝕亦可導致用於相關層X的材料於介電質上之總體選擇性增加,因各循環的沉積及蝕刻環留下於其上方選擇性相關層X沉積不良地生成晶核的乾淨鈍化層。或者,可於鈍化層的隨後移除期間移除任何用於相關層X的材料,其實例條件參照以下圖1D以剝離製程作說明。如技藝中所知曉,剝離製程藉由利用移除下方材料底切來移除上覆材料。在短暫選擇性沉積製程中形成於鈍化層上之任何用於相關層X的材料傾向於係非連續的,從而容許蝕刻劑到達待移除的下方材料。剝離蝕刻無需完全地移除鈍化層以自鈍化層表面移除所有不期望的用於相關層X的材料,以致可使用直接蝕刻或剝離方法來於循環選擇性沉積及移除中自鈍化層表面移除用於相關層X的材料。
圖1D顯示於自第一表面移除鈍化層後之圖1C基板。在一些具 體例中,蝕刻製程可包括使基板暴露至電漿。在一些具體例中,電漿可包括氧原子、氧自由基、氧電漿、或其組合。在一些具體例中,電漿可包括氫原子、氫自由基、氫電漿、或其組合(參見,例如,實施例2關於以下鈍化層之選擇性沉積)。在一些具體例中,電漿亦可包含鈍氣物質,例如Ar或He物質。在一些具體例中,電漿可基本上由鈍氣物質所組成。在一些實例中,電漿可包含其他物質,例如氮原子、氮自由基、氮電漿、或其組合。在一些具體例中,蝕刻製程可包括使基板暴露至包含氧的蝕刻劑,例如O3。在一些具體例中,基板可在介於約30℃與約500℃之間,較佳介於約100℃與約400℃之間之溫度下暴露至蝕刻劑。在一些具體例中,蝕刻劑可以一個連續脈衝供應或可以多個較短脈衝供應。如前所述,可使用鈍化層移除來於循環選擇性沉積及移除中以完全移除鈍化層或以部分移除鈍化層自鈍化層上方剝離任何剩餘的X材料。
如前所述,在一些具體例中,可於蝕刻製程中使用O3(例如,O3/N2)來移除有機鈍化層。在一些具體例中,蝕刻製程可於約20℃至約500℃之基板溫度下進行。在一些具體例中,蝕刻製程可於約50℃至約300℃之基板溫度下進行。在一些具體例中,蝕刻製程可於約100℃至約250℃之基板溫度下進行。在一些具體例中,蝕刻製程可於約125℃至約200℃之基板溫度下進行。在一些具體例中,蝕刻製程可於約0.05nm/min(奈米/分鐘)至約50.0nm/min之速率下進行。在一些具體例中,蝕刻製程可於約0.1nm/min至約5.0nm/min之速率下進行。在一些具體例中,蝕刻製程可於約0.2nm/min至約2.5nm/min之速率下進行。在一些具體例中,針對單晶圓或小批量(例如,5個晶圓或以下)加工,可使用低O3濃度蝕刻製程,其中該低O3濃度蝕刻製程係在0.01托(Torr)至200托,更特定言之約0.1托至100托(例如,2托)下進行。蝕刻劑脈衝可介於0.01秒與 20秒之間,特定言之介於0.05秒與10秒之間,再更特定言之介於0.1秒與2秒之間(例如,0.5秒脈衝/0.5秒O3沖洗)。O3流量可在0.01slm至1slm之範圍內,更特定言之0.01slm至0.250slm。惰性(例如,N2)載氣流量可在0.1slm至20slm之範圍內,更特定言之0.5slm至5slm(例如,1.2slm)。在一些具體例中,可使用高O3濃度蝕刻製程,其中該高O3濃度蝕刻製程係在1-100托,更特定言之5-20托(例如,9托)下進行,每個循環具有較長的暴露。例如,O3暴露時間可介於0.1秒與20秒之間,更特定言之介於0.5秒與5秒之間(例如,1秒脈衝/1秒O3沖洗)。用於該高O3濃度製程之O3流量可介於0.1slm與2.0slm之間,更特定言之介於0.5slm與1.5slm之間(例如,750sccm),利用0.1slm至20slm,更特定言之0.5slm至5slm(例如,1.2slm)之惰性(例如,N2)稀釋流量。O3蝕刻製程之進一步描述參照圖24及25提供於下文。
可在前述製程之前、之後或之間進行諸如熱或化學處理的額外處理。例如,處理可改變表面或移除於製程之各個階段暴露之金屬、氧化矽、聚合物鈍化及金屬氧化物表面的部分。在一些具體例中,可在選擇性沉積製程之前或在開始時預處理或清潔基板。在一些具體例中,可在選擇性沉積製程之前或在開始時使基板經歷電漿清潔製程。在一些具體例中,電漿清潔製程可不包括離子轟擊,或可包括相當少量的離子轟擊。例如,在一些具體例中,可在選擇性沉積製程之前或在開始時使基板表面暴露至電漿、自由基、受激發物種、及/或原子物種。在一些具體例中,可在選擇性沉積製程之前或在開始時使基板表面暴露至氫電漿、自由基、或原子物種。在一些具體例中,可在與選擇性沉積製程相同的反應室中進行預處理或清潔製程,然而,在一些具體例中,可在另外的反應室中進行預處理或清潔製程。
於金屬上的選擇性沉積
圖2A至圖2E示意性地繪示相對於第二表面選擇性鈍化第一表面,隨後再相對於經鈍化的第一表面選擇性沉積於第二表面上的第二具體例。在所繪示的具體例中,第一表面包括無機介電性材料,第二表面包括金屬性材料;及沉積於第二表面上的相關材料包括介電性材料或金屬。
圖2A繪示與圖1A相似的基板,其具有材料上不同的表面。然而,針對此具體例,表面係以相反的命名來描述。特定而言,第二表面可包含金屬性材料,諸如鈷(Co)、銅(Cu)、鎢(W)或鉬(Mo)或由其所界定。第一表面可包含無機介電質,諸如低k層(通常係基於氧化矽之層)或其上形成有天然氧化物(亦為一種形式的氧化矽)的矽表面。將鈍化阻擋層形成於第二表面上方。注意術語「阻擋」並不欲意指隨後鈍化層的選擇性沉積完全地被鈍化阻擋層所阻擋。反之,第二表面上方的鈍化阻擋層僅需抑制鈍化層之沉積,以相對於在第一表面上方之生長速率具有較低生長速率。
在一具體例中,鈍化阻擋層包含自組裝單層(SAM)。較佳地,SAM可選擇性地形成於第二(金屬性)表面上方,而不形成於第一(介電性)表面上。有利地,已發現含硫SAM對於使鈍化層於其上之沉積最小化尤其有效,如以下參照圖11至圖12及表1所論述。關於使用經蒸氣傳送之1-十二烷硫醇(CH3(CH2)11SH)形成含硫SAM的進一步細節參照圖8至圖10及圖13至圖14論述於下。
圖2B顯示相對於第二表面上方之鈍化阻擋層於第一表面(在此情況為無機介電層)上方選擇性形成鈍化層(例如,有機鈍化層)。如於以上併入之2016年6月1日提出申請之專利申請案第15/170,769號中所述,其中描述之氣相沉積製程能夠於無機介電質上沉積聚合物,且甚至可於不 同類型的氧化矽上方選擇性地(即,以不同的沉積速率)沉積。在本具體例中,含硫SAM抑制聚合物沉積於其上,使得聚合物可選擇性地形成於第一表面上方,且可充作抵抗隨後沉積的鈍化層。
圖2C顯示於自第二表面上方移除鈍化阻擋層之後的圖2B基板。例如,含硫SAM材料可藉由在溫度低於將移除聚合物層(如聚醯亞胺)之溫度下的熱處理來移除。因此,鈍化層選擇性地保留於第一表面上方,同時第二表面被暴露出來。該結構類似於圖1B之結構,僅除了在此具體例中第一鈍化表面係無機介電質,及第二表面係金屬性表面。
圖2D顯示於將相關層X相對於第一表面上方之鈍化層選擇性沉積於第二表面上之後的圖2C基板。如參照第一具體例所指出,及述於以上經併入之於2016年5月5日提出申請之臨時專利申請案第62/332,396號中,金屬氧化物可使用氣相沉積技術及疏水性前驅體選擇性地沉積,以有助於相對於有機鈍化層於許多不同表面上的選擇性。以下提供金屬氧化物及其他相關層之選擇性沉積的進一步資訊及實例。
或者,相關層X為金屬層。2015年2月17日發證之美國專利第8,956,971號及2015年8月18日發證之美國專利第9,112,003號(其全部揭示內容以引用的方式併入本文中供所有用途用)教示將金屬性材料相對於其他材料表面(包括有機表面)選擇性沉積於金屬性表面上之製程。
圖2E顯示於自第一表面移除鈍化層,從而於金屬或金屬/金屬上留下選擇性地形成之介電質之後的圖2D基板。鈍化層可如以上參照第一具體例所述來移除,諸如藉由O3蝕刻。
圖3A至圖3B繪示相對於第二表面選擇性鈍化第一表面,隨後再相對於經鈍化的第一表面選擇性沉積於第二表面上的第三具體例。在所繪示的具體例中,首先進行圖2A至圖2D之製程。
圖3A顯示於另一選擇性沉積之後的圖2D基板。在相關層X係金屬性材料的情況中,該另一選擇性沉積可相對於有機鈍化層於第一相關層上方選擇性地形成作為第二相關層Y的介電性材料。如以上參照第一具體例及第二具體例所指出,及述於以上經併入之於2016年5月5日提出申請之臨時專利申請案第62/332,396號中,金屬氧化物可使用氣相沉積技術及疏水性前驅體選擇性地沉積,以有助於相對於有機鈍化層於許多不同表面上的選擇性。以下提供金屬氧化物及其他相關層之選擇性沉積的進一步資訊及實例。
圖3B顯示於自第一表面移除鈍化層,從而於金屬上留下選擇性地形成之介電質之後的圖3A基板。鈍化層可如以上參照第一具體例所述來移除,諸如藉由O3蝕刻。
第二具體例及第三具體例,如同第一具體例,可涉及在前述製程之前、之後或之間進行的額外處理,諸如熱或化學處理。
鈍化層的選擇性沉積
如於經併入之於2016年6月1日提出申請之美國專利申請案第15/170,769號中所揭示,可對諸如聚醯亞胺層、聚醯胺層、聚脲層、聚胺基甲酸酯層、聚噻吩層等等的有機鈍化層及聚合物應用氣相沉積技術。聚合物層之CVD可相較於施用液體前驅體產生較大的厚度控制、機械靈活度、仿形覆蓋率、及生物相容性。聚合物的依序沉積加工可於小型研究規模的反應器中產生高生長速率。與CVD相似,依序沉積製程可產生較大的厚度控制、機械靈活度、及仿形性。術語「依序沉積」及「循環沉積」在文中係用來應用至使基板交替或依序暴露至不同前驅體的製程,而不管反應機制是否類似於ALD、CVD、MLD或其組合。
參照圖4A且於一些具體例中,於方塊11提供包含第一表面及 第二表面之基板。第一表面及第二表面可如文中所論述具有不同材料性質。在一些具體例中,第一表面可為傳導性表面,例如金屬或金屬性表面,且第二表面可為介電性表面(參見,例如,圖1A至圖1D)。在一些具體例中,第一表面可為介電性表面及第二表面可為第二個不同的介電性表面。在一些具體例中,第一表面可為介電性表面,例如基於氧化矽的材料,及第二表面可為鈍化阻擋材料諸如SAM(參見,例如,圖2A至圖3B)。
在一些具體例中,第一前驅體可在第一溫度下汽化以形成第一氣相前驅體。在一些具體例中,第一前驅體蒸氣係在第二溫度下通過氣體管線輸送至基板。在一些具體例中,第二輸送溫度係高於第一汽化溫度。在一些具體例中,在方塊12,使基板與第一氣相前驅體、或反應物接觸達第一暴露期間。在一些具體例中,基板可在高於第一溫度之第三溫度下與第一氣相前驅體接觸。
在一些具體例中,第一前驅體暴露期間係約0.01秒至約60秒,約0.05秒至約30秒,約0.1秒至約10秒或約0.2秒至約5秒。熟習此項技術者可基於特定情形輕易地決定最佳暴露期間。在一些具體例中,當可使用批式反應器時,可使用大於60秒之暴露期間。
在一些具體例中,在方塊13,使基板與第二氣相前驅體、或反應物接觸達第二暴露期間。在一些具體例中,第二前驅體可在第四溫度下汽化以形成第二氣相前驅體。在一些具體例中,第二反應物蒸氣係在第二溫度下通過氣體管線輸送至基板。在一些具體例中,第五輸送溫度係高於第一汽化溫度。在一些具體例中,基板可在高於第四溫度之第六溫度下與第二氣相前驅體接觸。在一些具體例中,第六溫度可與第一氣相前驅體接觸基板之第三溫度實質上相同。
在一些具體例中,第二前驅體暴露期間係約0.01秒至約60秒,約0.05秒至約30秒,約0.1秒至約10秒或約0.2秒至約5秒。熟習此項技術者可基於特定情形輕易地決定最佳暴露期間。在一些具體例中,當可使用批式反應器時,可使用大於60秒之暴露期間。
在方塊14,將有機層相對於第二表面選擇性地沉積於第一表面上。熟習此項技術者當明瞭有機層之選擇性沉積係上述接觸行動方塊12至方塊13的結果,而非個別的行動。在一些具體例中,可將上述接觸行動(方塊12至方塊13),視為一沉積循環。可重複此一選擇性沉積循環,直至於基板上留下一足夠厚度之層為止(方塊15),並結束沉積(方塊16)。選擇性沉積循環可包括額外的行動,並不需在各次重覆中以相同順序及相同地進行,且可輕易地擴展至更複雜的氣相沉積技術。例如,選擇性沉積循環可包括額外的反應物供應過程,諸如於各循環中或於選定循環中供應及移除(相對於基板)額外反應物。儘管未顯示,然而製程可另外包括處理沉積層以形成聚合物(例如,UV處理、退火等)。經選擇性形成的有機層可充作鈍化層以抑制於其上的沉積,並如上所述,增加於相關層之隨後選擇性沉積中的選擇性。
參照圖4B,在一些具體例中,圖4A之氣相沉積製程可包括原子層沉積製程。於方塊21提供包含第一表面及第二表面之基板。第一表面及第二表面可具有不同材料性質。在一些具體例中,第一表面可為傳導性表面,例如金屬或金屬性表面,且第二表面可為介電性表面(參見,例如,圖1A至圖1D)。在一些具體例中,第一表面可為介電性表面及第二表面可為第二個不同的介電性表面。在一些具體例中,第一表面可為介電性表面,例如基於氧化矽的材料,及第二表面可為鈍化阻擋材料諸如SAM(參見,例如,圖2A至圖3B)。
在一些具體例中,用於有機鈍化層之選擇性氣相沉積的依序沉積方法包括於方塊22,在第一溫度下汽化第一有機前驅體以形成第一前驅體蒸氣。在一些具體例中,第一前驅體蒸氣係在第二溫度下通過氣體管線輸送至基板。在一些具體例中,第二輸送溫度係高於第一汽化溫度。在一些具體例中,在方塊23,使基板與氣相第一前驅體接觸達第一暴露期間。在一些具體例中,第一前驅體、或其物種以自飽和或自限制方式化學吸附於基板上。氣體管線可為任何將第一前驅體蒸氣自來源輸送至基板之導管。在一些具體例中,基板可在高於第一溫度之第三溫度下暴露至第一前驅體蒸氣。
在一些具體例中,第一前驅體暴露期間係約0.01秒至約60秒,約0.05秒至約30秒,約0.1秒至約10秒或約0.2秒至約5秒。熟習此項技術者可基於特定情形輕易地決定最佳暴露期間。在一些具體例中,當可使用批式反應器時,可使用大於60秒之暴露期間。
然後可於方塊24將過量的第一前驅體蒸氣(及任何揮發性反應副產物)自與基板的接觸移除。該移除可藉由,例如,沖洗、泵吸、移動基板離開於其中暴露至第一反應物的腔室或區、或其組合來完成。在一些具體例中,第一前驅體移除期間,例如沖洗期間,係約0.01秒至約60秒,約0.05秒至約30秒,約0.1秒至約10秒或約0.2秒至約5秒。熟習此項技術者可基於特定情形輕易地決定最佳移除期間。在一些具體例中,當可使用批式反應器時,可使用大於60秒之移除期間。
在一些具體例中,於方塊25,可使第二前驅體在第四溫度下汽化以形成第二氣相前驅體。在一些具體例中,第二反應物蒸氣係在第二溫度下通過氣體管線輸送至基板。在一些具體例中,第五輸送溫度係高於第一汽化溫度。在一些具體例中,基板可在高於第四溫度之第六溫度下與第 二氣相前驅體接觸。在一些具體例中,第六溫度可與第一氣相前驅體接觸基板之第三溫度實質上相同。在一些具體例中,在方塊26,可使基板暴露至第二前驅體蒸氣達第二暴露期間。在一些具體例中,第二反應物可與基板上之第一反應物的經吸附物種反應。
在一些具體例中,第一前驅體暴露期間係約0.01秒至約60秒,約0.05秒至約30秒,約0.1秒至約10秒或約0.2秒至約5秒。熟習此項技術者可基於特定情形輕易地決定最佳暴露期間。在一些具體例中,當可使用批式反應器時,可使用大於60秒之暴露期間。
在一些具體例中,於方塊27將過量的第二前驅體蒸氣(及任何揮發性反應副產物)自與基板的接觸移除,使得第一反應物蒸氣與第二反應物蒸氣不相混合。在一些具體例中,有機層之氣相沉積製程不使用電漿及/或自由基,且可被視為熱氣相沉積製程。在一些具體例中,第二前驅體移除期間,例如沖洗期間,係約0.01秒至約60秒,約0.05秒至約30秒,約0.1秒至約10秒或約0.2秒至約5秒。熟習此項技術者可基於特定情形輕易地決定最佳移除期間。在一些具體例中,當可使用批式反應器時,可使用大於60秒之移除期間。
於方塊28將有機層相對於第二表面選擇性地沉積於第一表面上。熟習此項技術者當明瞭有機層之選擇性沉積係上述接觸行動的結果,而非個別的行動。在一些具體例中,可將上述接觸及移除(及/或停止供應)行動(方塊23至方塊27),視為一沉積循環。在一些具體例中,可重複沉積循環,直至選擇性地沉積期望厚度之有機層為止。可重複此一選擇性沉積循環(方塊29),直至於基板上留下一足夠厚度之層為止,並結束沉積(方塊30)。選擇性沉積循環可包括額外的行動,並不需在各次重覆中以相同順序及相同地進行,且可輕易地擴展至更複雜的氣相沉積技術。例 如,選擇性沉積循環可包括額外的反應物供應過程,諸如於各循環中或於選定循環中供應及移除額外反應物。儘管未顯示,然而製程可另外包括處理沉積層以形成聚合物(例如,UV處理、退火等)。
可將各種反應物用於上述製程。例如,在一些具體例中,第一前驅體或反應物係有機反應物諸如二胺,例如,1,6-二胺基己烷(diaminohexane,DAH)、或任何其他具有兩個反應性基團之單體。在一些具體例中,第二反應物或前驅體亦為可在沉積條件下與第一反應物之經吸附物種反應的有機反應物。例如,第二反應物可為酐,諸如呋喃-2,5-二酮(順丁烯二酸酐)。酐可包括二酐,例如,焦蜜石酸二酐(pyromellitic dianhydride,PMDA)、或任何其他將與第一反應物反應之具有兩個反應性基團之單體。
在一些具體例中,基板在與第二前驅體接觸之前先與第一前驅體接觸。因此,在一些具體例中,基板在與另一前驅體接觸之前先與胺(諸如二胺,例如1,6-二胺基己烷(DAH))接觸。然而,在一些具體例中,基板可在與第一前驅體接觸之前先與第二前驅體接觸。因此,在一些具體例中,基板在與另一前驅體接觸之前先與酐(諸如呋喃-2,5-二酮(順丁烯二酸酐))、或更特定言之二酐(例如,焦蜜石酸二酐(PMDA))接觸。
在一些具體例中,可使用不同反應物來調整層性質。例如,可使用4,4’-氧二苯胺或1,4-二胺基苯替代1,6-二胺基己烷來沉積聚醯亞胺層,以得到具更高芳香性及經增加之抗乾蝕刻性的更為剛性之結構。
在一些具體例中,反應物不包含金屬原子。在一些具體例中,反應物不包含半金屬原子。在一些具體例中,其中一種反應物包含金屬或半金屬原子。在一些具體例中,反應物包含碳及氫及以下元素中之一或多者:N、O、S、P或鹵化物,諸如Cl或F。在一些具體例中,第一反應物 可包含,例如,己二醯氯(adipoyl chloride,AC)。
沉積條件可視所選反應物而異且可根據選擇來最佳化。在一些具體例中,反應溫度可選自約80℃至約250℃之範圍。在一些具體例中,反應腔室壓力可為約1毫托至約1000托。在一些具體例中,例如,當經選擇性沉積之有機層包含聚醯胺時,反應溫度可選自約80℃至約150℃之範圍。在一些具體例中,當經選擇性沉積之有機層包含聚醯胺時,反應溫度可大於約80℃、90℃、100℃、110℃、120℃、130℃、140℃、或150℃。在一些具體例中,當經選擇性沉積之有機層包含聚醯亞胺時,反應溫度可大於約160℃、180℃、190℃、200℃、或210℃。
例如,就在單一晶圓沉積工具中使用PMDA及DAH依序沉積聚醯亞胺而言,基板溫度可選自約150℃至約250℃、或約170℃至約210℃之範圍,及壓力可選自約1毫托至約760托、更特定言之介於約100毫托至約100托之間之範圍。
在一些具體例中,用於文中描述之選擇性沉積製程的反應物可具有以下通式:
(1)R1(NH2)2
其中R1可為包含1-5個碳原子、2-5個碳原子、2-4個碳原子、5個或更少個碳原子、4個或更少個碳原子、3個或更少個碳原子、或2個碳原子的脂族碳鏈。在一些具體例中,反應物或前驅體中之碳原子間的鍵可為單鍵、雙鍵、參鍵、或其一些組合。因此,在一些具體例中,反應物可包含兩個胺基。在一些具體例中,反應物之胺基可佔據脂族碳鏈上的一或兩個末端位置。然而,在一些具體例中,反應物之胺基可不佔據脂族碳鏈上的任一末端位置。在一些具體例中,反應物可包含二胺。在一些具體例中,反應物可包含選自以下之群的有機前驅體:1,2-二胺基乙烷(l)、1,3-二胺基 丙烷(l)、1,4-二胺基丁烷(l)、1,5-二胺基戊烷(l)、1,2-二胺基丙烷(l)、2,3-丁二胺、2,2-二甲基-1,3-丙二胺(l)。
在一些具體例中,用於文中描述之選擇性沉積製程的反應物可具有以下通式:
(2)R2(COCl)2
其中R2可為包含1-3個碳原子、2-3個碳原子、或3個或更少個碳原子的脂族碳鏈。在一些具體例中,反應物或前驅體中之碳原子間的鍵可為單鍵、雙鍵、參鍵、或其一些組合。在一些具體例中,反應物可包含氯化物。在一些具體例中,反應物可包含二醯基氯。在一些具體例中,反應物可包含選自以下之群的有機前驅體:草醯氯(I)、丙二醯氯、及反丁烯二醯氯。
在一些具體例中,反應物包含選自以下之群的有機前驅體:1,4-二異氰酸丁烷或1,4-二異氰酸苯。在一些具體例中,反應物包含選自以下之群的有機前驅體:對苯二甲醯二氯、烷二醯二氯,諸如己二醯二氯、辛二醯二氯、壬二醯二氯、癸二醯二氯、或對苯二甲醯二氯。在一些具體例中,反應物包含選自以下之群的有機前驅體:1,4-二異硫氰酸苯或對苯二甲酸酐。在一些具體例中,經汽化的反應物亦可為二胺,諸如1,4-二胺基苯、癸烷-1,10-二胺、4-硝基苯-1,3-二胺、4,4’-氧基二苯胺、或乙二胺。在一些具體例中,反應物可為對苯二甲酸雙(2-羥乙基)酯。在一些具體例中,反應物可為羧酸,例如,烷基-、烯基-、烷二烯基-二羧酸或三羧酸,諸如乙二酸、丙二酸、丁二酸、戊二酸或丙烷-1,2,3-三羧酸。在一些具體例中,反應物可為芳族羧酸或二羧酸,諸如苯甲酸、苯-1,2-二羧酸、苯-1,4-二羧酸或苯-1,3-二羧酸。在一些具體例中,反應物可包括一或多個鍵結至烴的OH-基。在一些具體例中,反應物可選自以下之群:二醇、三 醇、胺基酚諸如4-胺基酚、苯-1,4-二醇或苯-1,3,5-三醇。在一些具體例中,反應物可為8-喹啉酚。在一些具體例中,反應物可包括烯基氯矽烷,如烯基三氯矽烷,諸如7-辛烯基三氯矽烷。
在一些具體例中,反應物可在約20℃或室溫之溫度下具有大於約0.5托、0.1托、0.2托、0.5托、1托或更大之蒸氣壓。在一些具體例中,反應物可具有低於約400℃、低於300℃、低於約250℃、低於約200℃、低於約175℃、低於約150℃、或低於約100℃之沸點。
實施例1:鈍化層之選擇性沉積
根據文中描述之選擇性沉積製程將樣品聚醯亞胺薄層沉積於若干基板上。使用具有與氧化矽表面交替之鎢(W)特徵的200mm(毫米)矽晶圓作為基板。鎢特徵之寬度為250nm(奈米)且間距大約為600nm。聚醯亞胺沉積製程係在與PRI群集工具連接之Pulsar 3000®交叉流ALD反應器中進行。
根據文中描述之製程使用DAH作為第一氣相反應物及PMDA作為第二氣相反應物來沉積第一批樣品聚醯亞胺層。DAH第一反應物係在45℃下藉由具有450sccm之流率的N2載氣來供應。DAH脈衝時間為5秒及DAH沖洗時間為4秒。PMDA第二反應物係在180℃下藉由具有450sccm之流率的N2載氣供應至反應腔室。PMDA脈衝時間為11秒及PMDA沖洗時間為4秒。反應或基板溫度為160℃。使用25至100個沉積循環來沉積聚醯亞胺層。
根據文中描述之製程使用與第一批實質上相似的條件,但具有190℃之反應溫度,來沉積第二批樣品聚醯亞胺層。使用250至1000個沉積循環來沉積聚醯亞胺層。
使用掃描穿透式電子顯微術來測量聚醯亞胺層樣品之厚度。經 測得第一批聚醯亞胺層之厚度介於針對具有25個沉積循環之製程的5nm與針對具有100個沉積循環與約4-6Å/循環之生長速率之製程的40nm之間。沉積於基板之W表面上的聚醯亞胺量實質上與沉積於氧化矽表面上的聚醯亞胺量相同。因此,就此配方而言,在160℃之反應溫度下的沉積不具選擇性。
在W表面上,經測得第二批聚醯亞胺層之厚度在針對具有250個循環之製程的約7nm至針對具有1000個循環之製程之約28nm的範圍內。在氧化矽表面上之聚醯亞胺層厚度在針對具有250個循環之製程之約4nm至針對具有1000個循環之製程之約6nm的範圍內。因此,聚醯亞胺沉積在190℃之反應溫度下具選擇性。在W表面上之生長速率係約0.2-1Å/循環。
實施例2:鈍化層之選擇性沉積
根據文中描述之製程使用DAH作為第一氣相反應物及PMDA作為第二氣相反應物將樣品聚醯亞胺層選擇性地沉積於具有與氧化矽表面交替之圖案化鎢(W)特徵的200mm矽晶圓上。DAH第一反應物係在45℃下藉由具有450sccm之流率的N2載氣來供應。DAH脈衝時間為5秒及DAH沖洗時間為4秒。PMDA第二反應物係在180℃下藉由具有450sccm之流率的N2載氣供應至反應腔室。PMDA脈衝時間為11秒及PMDA沖洗時間為4秒。反應溫度為190℃。使用1000個沉積循環來沉積聚醯亞胺樣品層。聚醯亞胺係以約30nm之層厚度沉積於W表面上。實質上較少量的聚醯亞胺沉積於氧化矽表面上,約4nm。
然後在300℃之溫度下利用使用100W所產生之H2電漿蝕刻樣品聚醯亞胺層40秒。H2氣體之流率為100sccm。聚醯亞胺完全自氧化矽表面完全移除,然而在W表面上留下厚度約9nm之聚醯亞胺層。
相對於有機表面選擇性沉積相關層
如於經併入之於2016年5月5日提出申請之美國臨時專利申請案第62/332,396號中所揭示,金屬性材料,及特定言之金屬氧化物,相對於有機材料(諸如文中揭示之鈍化層)的選擇性沉積可藉由使用疏水性反應物來促進。在一些具體例中,於在第一表面上選擇性地形成鈍化層後,藉由使基板交替及依序地與包含金屬氧化物之金屬及包含氧之第二反應物的第一疏水性反應物接觸來將金屬氧化物選擇性地沉積於第二表面上。在一些具體例中,該第二反應物係水。在一些具體例中,類似於圖4A之順序,使基板依序與第一反應物及第二反應物接觸,僅除了將非有機層選擇性地沉積於第二表面上或上方(參見,例如,圖1A至圖3B)。
該疏水性反應物包括一或多個疏水性配位體。在一些具體例中,該疏水性反應物包括二至四個疏水性配位體。在疏水性反應包含具有n之價數/氧化態之金屬的情況中,在一些具體例中,疏水性前驅體包括n-1或n-2個疏水性配位體。
在一些具體例中,至少一個疏水性配位體僅包含C及H。在一些具體例中,至少一個疏水性配位體包含C、H及Si或Ge,而無其他元素。
在一些具體例中,疏水性配位體包括下列中之一或多者:
C1-C10烴(單鍵、雙鍵或三鍵結)
○烷基
■C1-C5烷基
Me、Et、Pr、iPr、Bu、tBu
○烯基
■C1-C6烯基
○環烴
■C3-C8
環戊二烯基
環庚二烯基
環庚三烯基
環己基
彼等之衍生物
○芳族
■C6芳族環及彼等之衍生物
在一些具體例中,疏水性反應物不包含親水性配位體。然而,在一些具體例中,疏水性反應物可包含一或兩個親水性配位體。在一些具體例中,親水性配位體包含氮、氧及/或鹵素基。
在一些具體例中,親水性配位體係烷基胺(-NR2,其中各R可為烷基、氫)。在一些具體例中,親水性配位體可為-NMe2、-NEtMe、或-NEt2
在一些具體例中,親水性配位體為烷氧化物,例如-OMe、-OEt、-OiPr、-OtBu。
在一些具體例中,親水性配位體包含鹵化物,諸如氯化物、氟化物或其他鹵化物。
在一些具體例中,疏水性前驅體包含下式:
○LnMXy,其中
■在一些具體例中,n係1-6;
在一些具體例中,n係1-4或3-4。
■在一些具體例中,y係0-2;
在一些具體例中,y係0-1。
■L係疏水性配位體;
在一些具體例中,L係Cp或C1-C4烷基配位體。
■X係親水性配位體;
在一些具體例中,X係烷基胺、烷氧化物或鹵化物配位體。
■M係金屬(包括第13族元素、B、Ga);
在一些具體例中,M具有+I至+VI之氧化態。
○在一些具體例中,M具有+IV至+V之氧化態。
在一些具體例中,M可為過渡金屬。
○在一些具體例中,M係Ti、Ta、Nb、W、Mo、Hf、Zr、V、或Cr。
■在一些具體例中,M係Hf、Zr、Ta或Nb。
在一些具體例中,M係Zr。
○在一些具體例中,M係Co、Fe、Ni、Cu、或Zn。
○在一些具體例中,金屬不為W或Mo。
在一些具體例中,M可為稀土金屬。
○在一些具體例中,M係La、Ce、或Y。
在一些具體例中,M可為來自第2-13族之金屬。
○在一些具體例中,M係Ba、Sr、Mg、Ca、或Sc。
在一些具體例中,M不為貴金屬。
更一般而言,在一些具體例中,選擇性ALD製程使用金屬前驅體。在一些具體例中,金屬前驅體之金屬可選自包括以下之群:Al、Ti、Ta、Nb、W、Mo、Hf、Zr、V、Cr、Co、Fe、Ni、Cu、Zn、La、Ce、 Y、Ba、Sr、Mg、Ca、或Sc、或其混合物。在一些具體例中,金屬可為Al。
在一些具體例中,疏水性反應物係雙(甲基環戊二烯基)甲氧甲基鋯(IV)((CpMe)2-Zr-(OMe)Me)。
在一些具體例中,疏水性反應物係雙(甲基環戊二烯基)甲氧甲基鉿(IV)((CpMe)2-Hf-(OMe)Me)。
在其他具體例中,選擇性ALD製程使用Al前驅體。Al前驅體之實例包括三甲基鋁(TMA)、三氯化鋁(AlCl3)及三乙基鋁(TEA)。
在一些具體例中,第二反應物貢獻一或多個元素給經選擇性沉積的材料。例如,第二反應物可為用來沉積金屬氧化物的氧前驅體或用來沉積金屬氮化物的氮前驅體。
在一些具體例中,第二反應物包括氧前驅體。
在一些具體例中,第二反應物包含H2O。
在一些具體例中,第二反應物包含O3
在一些具體例中,第二反應物包含H2O2
在一些具體例中,第二反應物包含氧電漿、離子、自由基、原子O或氧的受激發物種。
在一些具體例中,第二反應物包含氮前驅體。
在一些具體例中,第二反應物包括NH3
在一些具體例中,第二反應物包含N2H4
在一些具體例中,第二反應物包括含氮電漿、離子、自由基、原子N或包含N的受激發物種。在一些具體例中,氮反應物可包括與對應氫物種的混合物。
在一些具體例中,可利用向經沉積材料貢獻除N或O外之元素 的其他反應物。此等反應物可在N或O第二反應物之外使用,或其本身可充作第二反應物。例如,在一些具體例中,可使用硫反應物來沉積硫化物,可使用碳反應物來沉積碳或可使用矽反應物來沉積矽化物。
在一些具體例中,可使用有助於沉積金屬或金屬性膜(諸如元素金屬膜)的第二(或額外)反應物。例如,在一些具體例中,可使用氫反應物。
或者,如參照圖2D所述,可將相關金屬性傳導性膜相對於有機鈍化層選擇性地沉積於第二表面(特定言之金屬性表面)上。例如,2015年2月17日發證之美國專利第8,956,971號及2015年8月18日發證之美國專利第9,112,003號(其全部揭示內容以引用的方式併入本文中供所有用途用)教示將金屬性材料相對於非金屬性表面(包括有機材料)選擇性沉積於金屬性表面上之製程。亦如以上參照圖3A所述,可在移除有機鈍化層之前將另一介電層(特定言之金屬氧化物材料)選擇性地形成於經選擇性形成的金屬性材料層上方。
實施例:選擇性金屬氧化物沉積
圖5至圖7說明金屬氧化物可相對於有機鈍化層選擇性地沉積於無機介電質上。在實驗中,無機鈍化層包括沉積聚合物,特定言之聚醯亞胺,其可如上所述選擇性地形成。
在Pulsar® 2000反應器中進行藉由ALD在各種基板上及於各種反應條件下沉積ZrO2。在ALD製程中使用雙(甲基環戊二烯基)甲氧甲基鋯(IV)((CpMe)2-Zr-(OMe)Me)及H2O來沉積ZrO2膜。在包括具有SAM層(三氯(十八基)矽烷)之表面或聚醯亞胺表面的基板上未觀察到ZrO2的沉積。參見圖4至5。
在圖5中,可看到ZrO2生長於天然氧化物(氧化矽)上,但未顯 著地生長於聚醯亞胺上。即使於將將近25nm的ZrO2沉積於天然氧化物(SiO2)表面上之後,於聚醯亞胺表面上亦沒有顯著的ZrO2,儘管於聚醯亞胺之表面上存在親水性表面基團,如C-NH2
利用XPS分析於100至760個ZrO2循環後在天然氧化物、聚醯亞胺表面、以及在經H-電漿損壞的聚醯亞胺表面及經O-電漿損壞的聚醯亞胺表面上的聚醯亞胺樣品。雙(甲基環戊二烯基)甲氧甲基鋯(IV)((CpMe)2-Zr-(OMe)Me)在ALD順序中於300℃下與水(H2O)交替。即使於760個循環後於聚醯亞胺表面上亦僅偵測到相當少量的Zr或ZrO2。H電漿損壞的表面亦抑制ZrO2生長,但O-電漿損壞的聚醯亞胺充分地容許顯著沉積,儘管低於在天然氧化物上的沉積。
於ALD順序中自與水(H2O)交替之雙(甲基環戊二烯基)甲氧甲基鉿(IV)((CpMe)2-Hf-(OMe)Me)沉積之HfO2類似地抑制在天然氧化物上相對於利用兩種不同類型之循環沉積順序(PMDA-LAST及DAH-LAST)沉積之聚醯亞胺的高選擇性。利用任一循環沉積順序即使於750個循環的HfO2沉積後於聚醯亞胺表面上亦未偵測到HfO2,然而天然氧化物即使於較少數循環後亦顯示可測量的沉積。
XPS數據亦於150-750個雙(甲基環戊二烯基)甲氧甲基鉿(IV)((CpMe)2-Hf-(OMe)Me)與水(H2O)交替的ALD循環後於聚醯亞胺上偵測到可忽略的Hf。
圖6顯示氧化鈦(TiO2)膜在相當低溫下於天然氧化物上輕易地生長,及事實上相較於高溫在低溫下以較高速率生長。膜係使用TiCl4與水交替的ALD順序沉積。
相對地,圖7顯示用來在聚醯亞胺表面上沉積TiO2的相同ALD順序,同時展現在較低溫度下較高生長速率的類似趨勢,顯示在任何給定 溫度下顯著較低的沉積速率,使得製程即使在較低溫度下亦於天然氧化物上相對於聚醯亞胺相當具選擇性。此外,在250℃或以上的溫度下,於聚醯亞胺上測得可忽略的沉積,使得沉積看來係完全選擇性。
總言之,來自實驗的數據指示針對以下各項,在各種溫度條件下金屬氧化物於天然氧化物上相對於聚醯亞胺之ALD的高度選擇性:
在275-325℃之溫度下,自雙(甲基環戊二烯基)甲氧甲基鋯(IV)與水(H2O)交替沉積之ZrO2,相對於聚醯亞胺的選擇性在天然氧化物上方維持超過25nm
自TiCl4與水交替沉積之TiO2,相對於聚醯亞胺的選擇性在250℃下維持約100個循環,及在300℃下維持甚大於100個循環
在280℃之溫度下,自雙(甲基環戊二烯基)甲氧甲基鉿(IV)與水(H2O)交替沉積之HfO2,相對於聚醯亞胺的選擇性在天然氧化物上方維持超過25nm
熟悉技藝人士當明瞭前述係呈現可展示選擇性的非限制性條件,且選擇性可在多種其他未測試的條件下維持。然而,藉由在自50-230℃之溫度下使TMA與水交替所沉積之氧化鋁,及來自雙(甲基環戊二烯基)甲氧甲基鋯(IV)與臭氧(O3)交替之ZrO2,並未展現在天然氧化物上相對於聚醯亞胺的良好選擇性。
鈍化阻擋層
如前所述,自組裝單層(SAM)可用來抑制有機鈍化層之沉積,因此有利於有機鈍化層於其他表面上的選擇性沉積。因此,術語「阻擋」僅係一稱號,而無需意指有機鈍化層沉積的100%鈍化。如文中他處所指出,即使係不完全的選擇性亦可充分地在回蝕製程之後獲得完全選擇性的結構。
在一具體例中,形成於第二表面上以抑制沉積之鈍化阻擋層包括含硫SAM。在一具體例中,第二表面係金屬性表面。在一具體例中,金屬性表面在SAM形成之前經酸處理作預處理。
於小型研發工具(F-120®反應器)中對含硫SAM之氣相沉積進行實驗。於各種實驗中使用3.5%甲酸水溶液及3.5% HCl水溶液使具有經暴露之金屬性表面(包括於實驗中之經電化學沉積之銅)的基板暴露至液體酸預處理持續30秒;或於10個十秒脈衝中暴露至氣相甲酸。在自75℃至150℃範圍內之不同溫度下向基板提供含硫單體,即1-十二烷硫醇(CH3(CH2)11SH)(其可被稱為硫醇SAM前驅體或單體)持續各種暴露時間。暴露係藉由使各5秒的氣相接觸期與移除期交替來進行。例如,15分鐘暴露係以180個五秒脈衝與五秒沖洗交替的形式來提供。
圖8顯示於75℃下之暴露時間的影響。於暴露至氣相含硫單體15分鐘或更長後,測得的水接觸角大於100°,指示形成有效的SAM層。在光滑的銅表面上,於具有-CH3表面基團之SAM上的水接觸角係約110°,而於粗糙銅表面上的水接觸角甚至更高。
於銅上方具有含硫SAM之樣品的FTIR分析顯示SAM係藉由如上所述的氣相沉積形成,其中單體源容器經加熱至55℃,且沉積溫度在75-150℃範圍內。FTIR分析指示存在-CH2表面基團,但無S-C表面基團,儘管XPS分析顯示於銅表面上有5-6原子%的硫。因此,單體使含硫基團與銅配位,並呈現疏水性烴表面基團,如由高的水接觸角所指示。
圖9至圖10展示在利用兩種HCl液體預處理(112°,圖9)及HCOOH氣相預處理(117°,圖10)形成之SAM上的高水接觸角結果。
相對於鈍化阻擋層的選擇性鈍化層沉積
圖11至圖15說明鈍化阻擋層可有利於有機鈍化層在介電性材料 上相對於鈍化阻擋層的選擇性形成。
圖11顯示使用文中描述之製程於銅及含硫SAM表面上沉積聚合物層(特定言之聚醯亞胺)的實驗結果。聚醯亞胺層係於160℃下沉積達20個循環,該製程於天然氧化物上方沉積約4.4nm的聚醯亞胺。如所示,當使鈍化阻擋SAM暴露至聚醯亞胺沉積製程時,水接觸角幾乎未改變,而當使裸銅表面暴露至聚醯亞胺沉積製程時,水接觸角增大。
圖12顯示SAM表面、暴露至聚醯亞胺沉積製程之SAM表面、暴露至聚醯亞胺沉積製程之裸銅表面、及暴露至聚醯亞胺製程之天然氧化物表面的XPS分析結果。於暴露至聚醯亞胺沉積製程後,於SAM表面上偵測得的硫量未改變。SAM表面不具有可偵測的氮量,及於暴露至聚醯亞胺沉積製程後極少氮(0.6原子%)。相對地,裸銅及天然氧化物表面皆顯示顯著的氮含量(約10原子%)。圖11及圖12皆展示含硫SAM抑制有機鈍化層於其上的沉積。
表1顯示於使各種表面暴露至各種循環數目之如文中所述之有機鈍化層沉積後之表面的XPS分析。在表1之實驗中,於190℃下進行聚醯亞胺的各種循環。鈍化阻擋層係於F-120®反應器中自氣相單體沉積的含硫SAM。XPS分析顯示SAM抑制聚醯亞胺於其上生長,然而聚醯亞胺於裸銅及天然氧化物上生長。另外,SAM之水接觸角於暴露至聚醯亞胺沉積製程之前為120°,於暴露至100個循環的聚醯亞胺沉積製程之後為100°,及於暴露至250個循環的聚醯亞胺沉積製程之後為95°。
表1
Figure 111122694-A0101-12-0039-1
Figure 111122694-A0101-12-0040-2
圖13及圖14顯示可將含硫SAM相對於介電性表面選擇性地形成於金屬性表面上。特定言之,於使銅暴露至含硫氣相單體後,表面上的水接觸角係約117°。相對地,在天然氧化物表面上方水接觸角保持低(約26°)且未改變。
沉積設備
可用於文中描述之選擇性沉積製程中之適宜反應器的實例包括市售ALD設備,諸如可獲自ASM America,Inc.(Phoenix,Ariz.)及ASM Europe B.V.(Almere,Netherlands)之F-120®反應器、Pulsar®反應器(諸如Pulsar 3000®或Pulsar 2000®)、及Advance® 400系列反應器。除了此等ALD反應器外,可使用許多其他種類之可生長有機鈍化層的反應器,包括CVD反應器、VDP反應器、及MLD反應器。
文中針對圖1A至圖1D描述之於介電質上的選擇性介電質沉積可以至多五個製程進行。(1)預處理;(2)於第一表面上之選擇性有機鈍化層沉積;(3)自第二表面上方部分回蝕(亦稱為「清潔」蝕刻)任何有 機材料;(4)於第二表面上之選擇性介電質沉積;及(5)自第一表面上方移除有機鈍化層。
於一具體例中,用於該順序之工具可藉由將(2)選擇性有機鈍化層沉積及(3)部分回蝕組合於一腔室中,及使用群集腔室來進行(4)於第二表面上之選擇性介電質沉積而最小化。預處理可於另一平台(例如濕式工作台)上進行或通過調整特定配方來省略。有機鈍化層移除可於個別的灰化工具(諸如通常用於移除光阻劑及其他有機材料之工具)、或於沉積腔室中使用與用來部分回蝕有機材料者相同或相似的化學物質進行。因此,沉積階段及介於中間的回蝕可於包括2個反應器(包括4或8個用於聚醯亞胺沉積及回蝕之加工站);及2個反應器(包括4或8個用於選擇性介電質沉積之加工站)的平台中進行。
參照圖15,提供用來於原位(in situ)進行聚合物沉積及有機材料回蝕的設備100。設備100包括界定經構造用來容納至少一個基板120之反應空間115的反應腔室。設備100亦包括經構造用來汽化第一反應物110以形成第一反應物蒸氣的第一反應物容器105。氣體管線130將第一反應物容器105流體連接至其中可容納基板120的反應空間115。氣體管線130係經構造以將第一反應物蒸氣自第一反應物容器105選擇性地輸送至通向反應空間115的入口歧管135。設備100亦包括收容第二反應物145的第二反應物容器140。在一些具體例中,第二反應物145係自然地處於氣態;在其他具體例中,第二反應物容器140亦係經構造以使第二反應物145自天然液態或固態汽化。第二反應物容器140係與入口歧管135選擇性流體連通。入口歧管135可包括跨越腔室寬度呈蓮蓬頭或交叉流構造的共用分配充氣室,或可針對個別反應物維持通向反應空間115的個別路徑。針對依序沉積具體例,可能希望使反應物入口路徑在引入至反應空間115之前 保持分開,以避免多種反應物沿共同流動路徑之表面反應,從而可能導致顆粒產生。在一些具體例中,設備可包括用來供應額外反應物的額外容器。
所繪示的設備100亦包括電漿源147。雖然經示意性繪示為如同附接至反應空間115,但熟悉技藝人士當明瞭電漿源可為在反應空間115外部的遠距電漿源,或可為用來在反應空間115內直接電漿產生(例如,電容式耦接)的原位(in situ)電漿產生器。或者或另外地,可使用臭氧產生器來移除有機材料,如下文參照圖24至圖25所述(例如,用於在選擇性沉積有機材料之後的部分回蝕,以移除有機鈍化層、及/或用於腔室清潔)。
一或多個額外氣體源150與第一反應物容器105、反應空間115及電漿源147選擇性流體連通(至與反應空間115分開的程度)。氣體源150可包括可充作沖洗氣體及載氣之惰性氣體、及用於電漿回蝕之其他氣體(例如,Ar/H2)。來自氣體源的惰性氣體供應亦可如圖所示與第二反應物容器140、及任何其他期望的反應物容器選擇性流體連通,以充作載氣。
控制系統125根據文中描述之有機鈍化層沉積及回蝕方法與氣體分佈系統之閥連通。控制系統125通常包括經程式化用於期望加工的至少一個處理器及記憶體。針對依序沉積加工,閥係以使基板交替及重複地暴露至反應物的方式操作,而針對在習知CVD製程中反應物之同時供應,可操作閥以使基板同時暴露至相互反應性反應物。
來自反應空間115之排氣出口155通過排氣管線160與真空泵165連通。控制系統125係經構造以操作真空泵165來維持期望的操作壓力及通過排氣出口155排除過量的反應物蒸氣及副產物。
控制系統125亦可控制設備100之各種組件中的壓力及溫度。例如,控制系統可經程式化以使基板120維持在適合於所進行製程的溫度下。在一具體例中,控制系統125亦經構造以使第一反應物容器105中之第一反應物110維持在溫度X下,及經構造以使反應空間115中之基板120維持在溫度Y下,其中溫度Y係低於溫度X。在一具體例中,控制系統125或個別的溫度控制亦經構造以使氣體管線130維持在溫度Z下,其中溫度Z係高於溫度X。
因此,設備100包括用來汽化及供應上文所述用於聚合物沉積之反應物的源容器(第一反應物容器105、第二反應物容器140)(例如,一個容器用於二胺及一個容器用於二酐前驅體)。電漿源147與包括H2及惰性氣體(例如,鈍氣,特定言之氬氣)之來源的氣體源150連通。另外,設備100包括經程式化來以進行文中所述之聚合物沉積、以及氫電漿回蝕之方式供應氣體並操作電漿源的控制系統125。控制系統125較佳將基板120維持於180℃至220℃、更特定言之約190℃至210℃之範圍內,以致聚合物沉積及回蝕可在相同溫度下依序進行,而不用將基板120自反應空間115移出。回蝕可為1-20秒,特定言之5-15秒。舉例來說,經發現使用Ar/H2電漿於200℃下的10秒蝕刻得到聚醯亞胺層的~4.5nm蝕刻。作為另一實例,與如下文參照圖23及圖24所述用來移除鈍化層及腔室清潔之高O3濃度蝕刻製程類似地,可將脈衝臭氧(O3)蝕刻製程用於回蝕製程。如熟悉技藝人士所當明瞭,可修改製程條件用於較緩慢及更受控的蝕刻,以進行部分回蝕來最小化第一表面上之期望鈍化層的過度蝕刻。例如,可相對於下文參照圖23所述之聚合物移除製程降低脈衝持續時間、或單一脈衝可能即足夠、可降低O3濃度、及/或可降低溫度。例如,圖23之125℃製程可能足夠溫和而可用來自欲使其上之有機材料最少化之表面部分回蝕任何有機 材料。實際上,圖24展示蝕刻速率如何強烈地取決於用來O3蝕刻聚合物的蝕刻溫度。將鈍化層之選擇性沉積與部分回蝕組合並不會使單一腔室的製程時間增加過多,因蝕刻製程通常相當短暫。
亦可將相同設備及蝕刻劑用來移除鈍化層。例如,可使用高O3濃度蝕刻製程,其中該高O3濃度蝕刻製程係在9托下進行,其中如圖23中所見,發現O3之1秒脈衝/1秒沖洗、750sccm之O3流量、及1.2slm之N2稀釋流量、在125℃下得到聚醯亞胺層之~0.3nm/min蝕刻。舉例來說,可使用高O3濃度蝕刻製程,其中該高O3濃度蝕刻製程係在9托下進行,其中如圖23中所見,發現O3之1秒脈衝/1秒沖洗、750sccm之O3流量、及1.2slm之N2稀釋流量、在150℃下得到聚醯亞胺層之~2.4nm/min蝕刻。自圖23所示之圖,針對使用O3/N2之聚醯亞胺層蝕刻計算得~0.4eV之活化能,如圖24之阿瑞尼斯圖所示。
亦可將Ar/H2電漿或O3蝕刻用作腔室蝕刻以使反應空間115保持乾淨。舉例來說,腔室蝕刻係在ASM Pulsar 3000腔室中使用約48小時之O3/N2暴露與1.2slm之O3流量、約9托之內部腔室壓力、1slm之O2流量(即,2.5V)、0.020slm之N2流量(0.5V)及250g/Nm3之O3濃度設定點(功率約為最大值的24%)進行。可藉由最佳化O3濃度及進入腔室中之O3注入點來縮短用於此一腔室蝕刻的加工時間。
經構造用於聚合物沉積及回蝕之設備100可為具有用於DAH(具有約40℃之汽化溫度)及PMDA(具有約170℃之汽化溫度)之固體源容器的蓮蓬頭反應器。在一具體例中,電漿源147包括具有用於原位回蝕之氬氣及H2供應的原位直接電漿(例如,電容式耦接)設備。在另一具體例中,設備100可為交叉流反應器而非蓮蓬頭反應器,但仍具有以上所指的固體源容器(第一反應物容器105、第二反應物容器140)及直接電漿能力。 在另一具體例中,包括遠距電漿的電漿源147耦接至反應空間115,以供應產生自Ar/H2電漿的電漿。在另一具體例中,電漿源147可經耦接至反應空間115的臭氧產生器替代。遠距電漿或臭氧產生器可,例如,連接至蓮蓬頭反應器。
聚合物沉積設備100理想地包括自清潔能力,以使反應空間115及排氣管線160於多次沉積之後保持乾淨。在一些具體例中,以上所指用於回蝕之原位或遠距Ar/H2電漿源147可經調適用於可能於較高功率或溫度下的定期腔室清潔,因其可在不存在製造基板下且僅定期地(而非每個晶圓地)操作。或者,聚合物沉積腔室可設有經供應NF3蝕刻的遠距電漿、或臭氧供應以進行定期腔室清潔,如以上參照圖23及圖24所述。在一些具體例中,O3/N2供應可經調適用於定期腔室清潔,可能相較於聚合物部分回蝕或移除製程於較高功率或溫度下,因腔室清潔製程係在不存在製造基板下且僅定期地(而非每個晶圓地)操作。
線邊緣位置
參照圖16且於一些具體例中,如前所述,於第二表面上之選擇性沉積可藉由選擇性鈍化第一表面,隨後將介電質(諸如ZrO2)選擇性沉積於第二表面上來完成。在所繪示的流程圖中,第一表面可為金屬性(例如,於積體電路層間介電質(或interlevel dielectric,ILD)中之嵌入式金屬特徵),及第二表面可為介電性(例如,ILD)。鈍化可包括於步驟1中相對於部件之第二表面選擇性地沉積於第一表面上的聚合物或其他有機材料。隨後,於步驟2中進行聚合物回蝕(有時稱為「清潔」蝕刻)來移除可能已沉積於第二表面上之聚合物,而不自第一表面移除所有聚合物,以使選擇性完全。由於聚合物充作鈍化層,因此於步驟3中將介電性材料選擇性地沉積於第二表面上。於步驟3中可使用任何數目的適宜介電性材料。 在一些具體例中,介電性材料可選自ZrO2及其他金屬氧化物,諸如過渡金屬氧化物或氧化鋁,或其他介電性氧化物,包括對基於SiO2之材料具有蝕刻選擇性或於蝕刻基於SiO2之材料之情況中具有緩慢蝕刻速率的混合物。儘管一些該等金屬氧化物可具有高k值,特定言之高於5或甚至高於10,但其厚度薄,係位在於金屬化結構中避免顯著寄生電容的位置中,且有利地容許遮蔽表面以防止選擇性蝕刻氧化矽材料。在其他具體例中,介電質可為基於氧化矽的材料,但可較厚以充作如文中所述的蝕刻遮罩。在圖16的步驟4中,將聚合物鈍化自第一表面移除。
圖17繪示回蝕時間對於自其上已形成介電層之第二表面移除鈍化(例如,聚合物或其他有機層)的影響。更明確言之,可藉由選擇中間聚合物回蝕製程之程度來相對於下方金屬性與介電性表面之間的邊界控制經選擇性形成之介電層之邊緣的位置。在一具體例中,如先前述於圖16之步驟1中,如圖17中之繪示之第1列所見,聚合物相對於部件之第二表面沉積於第一表面上。如沉積得之聚合物繪示中所見,聚合物於第一表面上之優先沉積於第一表面上方產生較厚的聚合物層表面,於第二表面上方具有相對薄的聚合物層,因此具有在第一-第二表面邊界處自第一表面至第二表面向下傾斜的聚合物厚度。隨後,可進行如先前於圖16之步驟2中所述之聚合物回蝕持續不同的持續時間(或以不同蝕刻速率持續相同持續時間,諸如藉由不同溫度或蝕刻劑濃度,或持續不同的持續時間及不同蝕刻速率),以控制聚合物層之厚度及形狀,如圖17之繪示之第一欄的第2列至第6列中所見。回蝕可為各向同性或各向異性的。在一些具體例中,聚合物蝕刻時間係最少的,及聚合物蝕刻未移除足以使第二表面暴露的聚合物,如圖17之繪示的第2列所見。在此情況,隨後的選擇性介電性沉積未作用,因第一表面及第二表面皆經鈍化層覆蓋,且即使有少量的介電性沉 積物,其將會藉由剝離製程藉由移除鈍化層而移除。在一些具體例中,選擇聚合物蝕刻時間以自第二表面移除大部分的形成聚合物,但留下於第一-第二表面邊界上方延伸至第二表面上的聚合物層前緣,如圖17之繪示的第3列所見。在此情況,隨後的介電質選擇性沉積及移除聚合物於經沉積的介電性邊緣與第一-第二表面邊界之間留下一間隙。在一些具體例中,選擇聚合物蝕刻時間以自第二表面移除聚合物,且留下與第一-第二表面邊界對齊的聚合物層邊緣,如圖17之繪示的第4列所見。在此情況,隨後的介電質選擇性沉積及移除聚合物留下與第一-第二表面邊界對齊的經沉積介電質之底表面邊緣。在一些具體例中,選擇聚合物蝕刻時間以自第二表面移除聚合物及自第一表面移除一部分聚合物,且在聚合物層前緣與第一-第二表面邊界之間存在第一間隙,如圖17之繪示的第5列所見。在此情況,隨後的介電質選擇性沉積及移除聚合物留下於第一-第二表面邊界上方延伸且與第一表面重疊的經沉積介電質。若聚合物蝕刻時間係經進行延長時期且聚合物蝕刻自第一表面及第二表面兩者完全地移除聚合物,如圖17之繪示的第6列所見,則隨後的介電質沉積不具選擇性。
因此,可進行如先前於圖16之步驟3及步驟4中所述的選擇性介電質選擇性沉積及部分聚合物回蝕,以視於其選擇性沉積後之鈍化回蝕程度而定,於第二表面上之經選擇性沉積之介電層之邊緣與第一和第二表面間之界面之間產生各種關係,如圖16之繪示之第三欄之第2列至第6列的最右邊影像所見。在一些具體例中,由於聚合物層鈍化第二表面,因而未形成介電層,如圖17之繪示的第2列所見。在一些具體例中,於第二表面上的介電質與第一表面之間存在一間隙,如圖17之繪示的第3列所見。在一些具體例中,介電層邊緣與第一-第二表面邊界對齊,如圖17之繪示的第4列所見。在一些具體例中,介電層與第一表面重疊,如圖17之繪示 的第5列所見。在一些具體例中,由於沒有聚合物層鈍化第一表面,因此介電層形成於第一表面及第二表面兩者上,如圖17之繪示的第6列所見。
圖18繪示鈍化層沉積厚度對所形成之介電層的影響。更明確言之,可藉由選擇中間聚合物鈍化層之厚度來相對於下方金屬性與介電性表面之間的邊界控制經選擇性形成之介電層之邊緣的位置。隨著鈍化層沉積厚度增加,在第一表面及第二表面兩者上的鈍化層厚度增加。然而,由於鈍化層係選擇性地沉積於第一表面上,因此於第二表面上方的鈍化厚度增加地較第一表面上方的鈍化層厚度小。因此,鈍化回蝕、介電質沉積及鈍化移除將產生相對於第一-第二表面邊界具有不同位置的選擇性介電層。在一些具體例中,沉積鈍化層,其於經選擇性沉積的介電層邊緣與第一-第二表面邊界之間產生一間隙,如圖18之繪示的第1欄所見。在一些具體例中,沉積較厚的聚合物層,其於經選擇性沉積的介電層邊緣與第一表面之間產生一較大間隙,如圖18之繪示的第2欄所見。
圖19繪示經選擇性沉積的介電質厚度對所形成介電層與第一-第二表面邊界之相對位置的影響。更明確言之,可藉由選擇選擇性介電層之厚度來控制經選擇性形成之介電層之邊緣相對於下方金屬性與介電性表面之間之邊界的位置。隨著選擇性地沉積於第二表面上之介電質沉積厚度的增加,介電質懸掛邊緣逐漸進一步延伸通過第一-第二表面邊界。在一些具體例中,沉積介電層,其產生特定的懸掛結構,如圖19之繪示的第1欄所見。在一些具體例中,沉積較厚的介電層,其產生較大的懸掛,如圖19之繪示的第2欄所見。在一些具體例中,沉積再更厚的介電層,其於第一表面上方產生再更大的介電質懸掛,如圖19之繪示的第3欄所見。針對特定的後續製程,諸如各向同性加工(例如,各向同性反應性離子蝕刻),懸掛程度可遮蔽第一表面之部分並針對後續加工提供保護。
因此,在一些具體例中,儘管類似於圖1D大致選擇性地形成於介電性表面上方,但介電層係經選擇性地沉積以產生懸掛及/或與金屬性特徵重疊。在一些具體例中,介電層不包括懸掛或重疊,且於介電質上之經選擇性沉積介電質的邊緣可與金屬性特徵之邊緣對齊或可於經選擇性沉積之介電層與金屬性特徵之邊緣之間存在一間隙。由於文中教示的選擇性沉積技術,經選擇性沉積的介電層可不使用傳統遮蔽及蝕刻來將介電層圖案化即具有作為選擇性沉積之特性的特徵。例如,介電層之邊緣可以低於45度之斜率傾斜,而非如光微影圖案化層所典型的具有垂直或陡峭傾斜的側壁。無論是否使經選擇性沉積層經歷清潔蝕刻、或部分回蝕,此特性蝕刻輪廓皆可保持。
圖20A至圖20D繪示表面形態可如何影響經選擇性沉積之介電質與第一表面和第二表面間之邊界之間的關係。
圖20A繪示導致經選擇性沉積之介電層2502之邊緣與第一-第二表面邊界對齊的平面結構。經鈍化層2504(例如聚合物材料)鈍化之第一表面可由金屬性材料2506(諸如嵌入式金屬)所界定,及第二表面可由低k介電性材料2508(諸如層間介電質(ILD))所界定。鈍化層2504係選擇性地沉積於第一表面上方及介電層2502係選擇性地沉積於第二表面上方,其中介電層2502之邊緣與第一-第二表面邊界對齊。
圖20B繪示相對於第二表面內凹的第一表面。如前,第一表面可包含經嵌入及內凹於界定第二表面之低k介電性材料2508中的金屬性材料2506。鈍化層2504係選擇性地形成於凹部內的第一表面上方。介電層2502係設置於第二表面上方及凹部壁之上,其中介電層2502之邊緣與鈍化層2504之表面會合。移除鈍化層2504將導致介電層2502選擇性地形成於第二表面上但與第一表面(例如,金屬性材料2506)重疊。
圖20C繪示相對於第二表面高起的第一表面。第一表面可由經嵌入及突出於第二表面(其可為低k介電性材料2508)之上的金屬性材料2506所界定。鈍化層2504係設置於第一表面(包括突出側壁)上方,且因此至少部分地設置於第二表面上方。介電層2502係設置於第二表面上方,但藉由在側壁上之鈍化層2504的厚度與第一表面隔開。因此,於移除鈍化層2504後,在介電層2502與第一表面(例如,突出的金屬性材料2506)之間存在一間隙。
圖20D繪示與圖20B相似之一些具體例的內凹第一表面,但其具有填充凹部的較厚鈍化層2504。在此情況,於移除鈍化層2504後,在第二表面上之經選擇性沉積之介電層2502與第一表面之間留下一間隙。在此情況,間隙係呈第二表面之垂直側壁的形式,其隨後暴露至後續加工。
因此,圖17至圖20D繪示可經調整以調節經選擇性沉積之介電質2502(例如,於介電性第二表面上)相對於第一表面與第二表面之間(例如,於金屬性材料2506與低k介電性材料2508之間)之界面之位置的變數。特定言之,圖17顯示用於鈍化層回蝕的程度或時間可如何影響相對位置;圖18顯示選擇性沉積鈍化層之厚度可如何影響相對位置;圖19顯示選擇性沉積介電層之厚度可如何影響相對位置;及圖20A至圖20D顯示第一表面及第二表面之表面形態可如何影響相對位置。因此,可調整此等變數以影響第二表面上之選擇性沉積介電質是否與第一表面對齊、相對於其具有一間隙、或與其重疊。
實例應用
圖21A至圖21E繪示在一些具體例中具有經改良之電隔離的裝置及製作裝置之製程。圖21A繪示類似於圖20A中顯示之平面結構之經部分製造的積體電路,其具有界定第一表面之嵌入式金屬性材料2606,該第 一表面與由周圍低k介電性材料2608所界定之第二表面齊平。該金屬性特徵包括設置於第一低k介電性材料2608內之第一材料,其進一步包括Cu 2610及TaN障壁材料2612。
圖21B繪示在於第一材料上方之傳導性障壁層2614後的圖21A裝置。在一些具體例中,障壁層2614可為W。雖然經繪示為突出,但在一些具體例中,在Cu 2610線或通孔上方之障壁層2614可嵌入於周圍低k介電性材料2608中並與其齊平。
圖21C繪示於在現由金屬性障壁層2614(W)所界定之第一表面上方選擇性沉積鈍化層2604之後的圖22B裝置,其中第一表面之邊緣被暴露出來。在一些具體例中,鈍化層2604可為有機材料,諸如聚合物。在一些具體例中,在選擇性沉積鈍化層2604之後接著充分回蝕鈍化層材料以使一些金屬性第一表面暴露。
圖21D繪示在選擇性沉積介電層2602於第二表面上方,並與金屬性第一表面重疊之後的圖21C裝置。在一些具體例中,介電層2602可為高k材料。在一些具體例中,高k材料可為ZrO2。在一些具體例中,選擇性介電層2602可為低k材料,諸如SiOC、Al2O3、及SiN。在一些具體例中,經選擇性沉積的介電層2602可充作針對後續通過低k介電性材料2608之蝕刻的蝕刻止停,以打開暴露金屬性障壁層2614的溝槽或通孔。
圖21E繪示於移除聚合物鈍化層2604,藉此暴露下方金屬層表面(在此情況為障壁層2614)之後的圖22D裝置。選擇性介電層2602與由障壁層2614所界定之金屬性第一表面重疊,並降低當後續於其上形成金屬性特徵(例如,上覆的金屬線或通孔)時的短路風險。特定言之,將低k材料沉積於圖21E之結構上方,並製作開口及填充金屬。開口係藉由遮蔽及選擇性低k蝕刻來製作,且蝕刻終止於經選擇性沉積的介電質(例如, ZrO2)上。由在鈍化、回蝕、介電質沉積及/或表面形態期間選擇條件所產生之經選擇性沉積之介電層2602與由障壁層2614所界定之金屬性特徵的重疊提供防止對不準的保護。因此,該重疊防止與相鄰的金屬性特徵接觸或不期望地蝕刻下方的低k介電性材料2608。注意經選擇性沉積之介電層2602可留在最終的積體電路裝置中,充作ILD層之間的蝕刻止停。雖然在金屬化製程中一般避免高k材料,但寄生電容為最小。最小的寄生電容係歸因於高k材料在低k材料上方的主要位置,高k材料之薄度歸因於其功能,及針對在高k材料上方之此介電性覆蓋層之高選擇性的優勢超越由材料選擇所引起之輕微寄生電容。當然,利用待選擇性地沉積於ILD上之較低k材料亦可達成高蝕刻選擇性。
圖22A至圖22B繪示在一些具體例中具有氣隙之裝置及製作裝置之製程,該等氣隙針對各種理由可能係期望的,諸如降低積體電路中緊密間隔金屬性特徵(例如,金屬線)間之寄生電容。圖22A繪示與先前顯示於圖20A中之裝置類似之一些具體例之經部分製造之積體電路的平面表面。起始結構可為被由介電性材料2708(例如,低k ILD)界定之第二表面所包圍之由金屬性特徵2706(例如,具有介電性及障壁襯料之Cu線)所界定的第一表面。將鈍化層2704選擇性地沉積於第一表面上方,並以於第一表面上方及部分地於第二表面上方留下鈍化層2704之方式進行回蝕來暴露第二表面。將介電質2702選擇性地沉積於第二表面上方,其中該介電層邊緣在第二表面上與第一-第二表面邊界間隔開。圖22B繪示於移除鈍化層2704以暴露第一表面及部分地暴露先前被第一材料覆蓋之第二表面,從而於經選擇性沉積之介電性材料與第一表面(金屬性特徵2706)之間留下間隙2710之後的圖22A裝置。隨後,選擇性地蝕刻經暴露的第二材料,從而在緊鄰金屬性特徵的該等間隙2710中形成空腔2712。在一些具體例中, 經選擇性蝕刻的第二材料係SiO。在一些具體例中,選擇性蝕刻係HBr乾式蝕刻。HBr乾式蝕刻可以約6-8nm/min選擇性地蝕刻氧化矽,而某些其他材料係以較低速率蝕刻,諸如氮化矽(<0.3nm/min)及氧化鋯(<0.3nm/min),及同樣地不利用氯(例如,Cl2)或六氟化硫(例如,SF6)將不會蝕刻鎢。以足夠低的仿形性沉積第三材料2714(諸如標準低k材料)在鄰近金屬性特徵2706之橫向側的低k介電性材料2708內留下氣隙2716。如技藝中所知曉,空氣空腔降低ILD之總k值並降低金屬性特徵之間的寄生電容。
雖然已論述某些具體例及實施例,但熟悉技藝人士當明瞭申請專利範圍之範疇延伸超過經明確揭示的具體例至其他替代具體例及/或用途及其明顯修改及等效物。
21、22、23、24、25、26、27、28、29、30:方塊

Claims (20)

  1. 一種相對於一部件之第一表面選擇性地沉積於該部件之第二表面上的方法,其中該第一表面及該第二表面具有不同組成,該方法包括:
    在不用催化劑的情況下在該第一表面上自氣相反應物選擇性地形成鈍化層,同時留下該第二表面沒有該鈍化層;
    在該第二表面上相對於該鈍化層自該氣相反應物選擇性地沉積一相關層,及
    於選擇性地沉積該相關層之後,自該第一表面選擇性地移除該鈍化層而不移除該相關層,其中在選擇性地移除該鈍化層之後,保留直接沉積有該鈍化層的該第一表面的材料。
  2. 如請求項1所述之方法,其中選擇性地形成該鈍化層包括於該第一表面上選擇性地氣相沉積一有機層。
  3. 如請求項2所述之方法,其中選擇性地形成該鈍化層包括於該第一表面上選擇性地沉積一聚合物層。
  4. 如請求項3所述之方法,其中選擇性地形成該鈍化層進一步包括自該第二表面蝕刻任何聚合物,同時留下一些該聚合物於該第一表面上。
  5. 如請求項1所述之方法,其中選擇性地形成該鈍化層包括沉積聚醯亞胺。
  6. 如請求項1所述之方法,其中該第一表面包括金屬性材料及該第二表面包括無機介電性材料。
  7. 如請求項6所述之方法,其中選擇性地沉積該相關層包括將介電性材料沉積於該第二表面上。
  8. 如請求項1所述之方法,其中該第一表面包括無機介電性材料及該第二表面包括金屬性材料。
  9. 如請求項8所述之方法,其中選擇性地形成該鈍化層包括於該第二表面上形成鈍化阻擋層及隨後於該第一表面上相對於該鈍化阻擋層選擇性地氣相沉積聚合物層。
  10. 如請求項9所述之方法,其進一步包括自該第二表面移除該鈍化阻擋層而不自該第一表面移除該鈍化層及隨後相對於該鈍化層選擇性地沉積該相關層於該第二表面上。
  11. 一種相對於一部件之第一表面選擇性地沉積於該部件之第二表面上的方法,其中該第一表面及該第二表面具有不同組成,該方法包括:
    在不用催化劑的情況下在該第一表面上自氣相反應物選擇性地形成鈍化層,同時留下沒有該鈍化層的該第二表面,其中該第一表面包括無機介電性材料及該第二表面包括金屬性材料,且其中選擇性地形成該鈍化層包括於該第二表面上形成鈍化阻擋層及隨後於該第一表面上相對於該鈍化阻擋層選擇性地氣相沉積聚合物層;
    自該第二表面移除該鈍化阻擋層而不自該第一表面移除該鈍化層;且
    隨後自該第二表面移除該鈍化阻擋層,在該第二表面上相對於該鈍化層自該氣相反應物選擇性地沉積一相關層,其中選擇性地沉積該相關層包括將介電性材料沉積於該第二表面上。
  12. 如請求項11所述之方法,其中選擇性地沉積該相關層包括沉積一金屬層。
  13. 一種相對於一部件之第一表面選擇性地沉積於該部件之第二表面上的方法,其中該第一表面及該第二表面具有不同組成,該方法包括:
    在不用催化劑的情況下在該第一表面上自氣相反應物選擇性地形成鈍化層,同時留下沒有該鈍化層的該第二表面,其中該第一表面包括無機介電性材料及該第二表面包括金屬性材料;
    在該第二表面上相對於該鈍化層自該氣相反應物選擇性地沉積一相關層,其中選擇性地沉積該相關層包括沉積一金屬層;且
    相對於該鈍化層選擇性地沉積介電層於該金屬層上。
  14. 如請求項13所述之方法,其進一步包括於選擇性地沉積該相關層於該第二表面上之後,自該第一表面選擇性地移除該鈍化層而不移除該相關層。
  15. 如請求項13所述之方法,其中該相關層之邊緣與該第一表面和該第二表面之間的邊界對齊。
  16. 如請求項13所述之方法,其中該相關層與該第一表面重疊。
  17. 一種相對於一部件之第一表面選擇性地沉積於該部件之第二表面上的方法,其中該第一表面及該第二表面具有不同組成,該方法包括:
    在不用催化劑的情況下在該第一表面上自氣相反應物選擇性地形成鈍化層,同時留下沒有該鈍化層的該第二表面,其中選擇性地形成該鈍化層包 括於該第一表面上選擇性地氣相沉積一有機層,其中該有機層包括一聚合物;且
    在該第二表面上相對於該鈍化層自該氣相反應物選擇性地沉積一相關層,其中該相關層與該第一表面重疊,且其中該第一表面係高起於該第二表面之上。
  18. 一種相對於一部件之第一表面選擇性地沉積於該部件之第二表面上的方法,其中該第一表面及該第二表面具有不同組成,該方法包括:
    在不用催化劑的情況下在該第一表面上自氣相反應物選擇性地形成鈍化層,同時留下沒有該鈍化層的該第二表面,其中選擇性地形成該鈍化層包括於該第一表面上選擇性地氣相沉積一有機層,其中該有機層包括一聚合物;且
    在該第二表面上相對於該鈍化層自該氣相反應物選擇性地沉積一相關層,其中於移除該鈍化層後,於該相關層之邊緣與該第一表面和該第二表面之間的邊界之間存在暴露該第二表面之一間隙。
  19. 如請求項18所述之方法,其進一步包括選擇性地蝕刻該間隙中之該第二表面以形成一空腔。
  20. 如請求項19所述之方法,其進一步包括以於該空腔中留下一氣隙之方式沉積空腔填充材料。
TW111122694A 2017-02-14 2018-02-13 選擇性沉積之方法 TWI798112B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762458952P 2017-02-14 2017-02-14
US62/458,952 2017-02-14
US201762481524P 2017-04-04 2017-04-04
US62/481,524 2017-04-04
US201762591724P 2017-11-28 2017-11-28
US62/591,724 2017-11-28

Publications (2)

Publication Number Publication Date
TW202240002A true TW202240002A (zh) 2022-10-16
TWI798112B TWI798112B (zh) 2023-04-01

Family

ID=63105424

Family Applications (3)

Application Number Title Priority Date Filing Date
TW107105214A TWI794209B (zh) 2017-02-14 2018-02-13 選擇性沉積之方法、用於有機層沉積之設備以及積體電路金屬化結構
TW112106526A TW202328474A (zh) 2017-02-14 2018-02-13 選擇性沉積之方法
TW111122694A TWI798112B (zh) 2017-02-14 2018-02-13 選擇性沉積之方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW107105214A TWI794209B (zh) 2017-02-14 2018-02-13 選擇性沉積之方法、用於有機層沉積之設備以及積體電路金屬化結構
TW112106526A TW202328474A (zh) 2017-02-14 2018-02-13 選擇性沉積之方法

Country Status (4)

Country Link
US (2) US11094535B2 (zh)
JP (3) JP7169072B2 (zh)
KR (2) KR20180093823A (zh)
TW (3) TWI794209B (zh)

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) * 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
CN109786316B (zh) * 2017-11-10 2020-12-15 中芯国际集成电路制造(上海)有限公司 半导体器件、制造方法和电子设备
US10741392B2 (en) 2017-11-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure
EP3503164A1 (en) * 2017-12-21 2019-06-26 IMEC vzw Selective deposition of metal-organic frameworks
JP7226336B2 (ja) * 2018-01-10 2023-02-21 Jsr株式会社 パターン形成方法
TW202325889A (zh) * 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP7303447B2 (ja) 2018-07-02 2023-07-05 セントラル硝子株式会社 基板、基板の金属表面領域への選択的な膜堆積方法、有機物の堆積膜及び有機物
KR102027776B1 (ko) * 2018-09-04 2019-11-04 전북대학교산학협력단 무한 선택비를 갖는 원자층증착법을 이용한 패턴의 제조 방법
US11450525B2 (en) * 2018-09-14 2022-09-20 Applied Materials, Inc. Selective aluminum oxide film deposition
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11769692B2 (en) 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
JP7110090B2 (ja) * 2018-12-28 2022-08-01 東京エレクトロン株式会社 基板処理方法および基板処理システム
CN113272471B (zh) 2019-01-10 2023-07-18 中央硝子株式会社 基板、选择性膜沉积方法、有机物的沉积膜及有机物
WO2020121540A1 (ja) 2019-02-04 2020-06-18 株式会社日立ハイテク プラズマ処理方法及びプラズマ処理装置
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11965238B2 (en) * 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
TW202111144A (zh) * 2019-04-30 2021-03-16 美商得昇科技股份有限公司 使用甲基化處理的選擇性沉積
US20200347493A1 (en) 2019-05-05 2020-11-05 Applied Materials, Inc. Reverse Selective Deposition
KR20220034785A (ko) * 2019-07-18 2022-03-18 도쿄엘렉트론가부시키가이샤 영역 선택적 증착에서 측면 필름 성장의 완화 방법
KR20220025925A (ko) * 2019-07-25 2022-03-03 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
JP2021052070A (ja) * 2019-09-24 2021-04-01 東京エレクトロン株式会社 成膜方法
JP7262354B2 (ja) * 2019-09-24 2023-04-21 東京エレクトロン株式会社 成膜方法
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11450529B2 (en) * 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
JP7365898B2 (ja) * 2019-12-27 2023-10-20 東京エレクトロン株式会社 成膜方法及び成膜装置
CN115605978A (zh) * 2020-03-26 2023-01-13 应用材料公司(Us) 硼和碳膜的催化形成
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) * 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
US11251073B2 (en) 2020-04-01 2022-02-15 Taiwan Semiconductor Manufacturing Co. Selective deposition of barrier layer
US11542597B2 (en) * 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
WO2021257208A1 (en) 2020-06-17 2021-12-23 Tokyo Electron Limited Method for reducing lateral film formation in area selective deposition
KR20210158811A (ko) 2020-06-24 2021-12-31 에이에스엠 아이피 홀딩 비.브이. 유기 재료의 영역 선택적 제거
JP2022050198A (ja) * 2020-09-17 2022-03-30 東京エレクトロン株式会社 成膜方法及び成膜装置
US11515154B2 (en) * 2020-10-27 2022-11-29 Applied Materials, Inc. Selective deposition of a passivation film
US11955382B2 (en) 2020-12-03 2024-04-09 Applied Materials, Inc. Reverse selective etch stop layer
CN114981932A (zh) * 2020-12-16 2022-08-30 株式会社日立高新技术 等离子处理装置以及等离子处理方法
JPWO2022163825A1 (zh) 2021-02-01 2022-08-04
JP2022135709A (ja) * 2021-03-05 2022-09-15 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7339975B2 (ja) * 2021-03-18 2023-09-06 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
WO2022212708A1 (en) * 2021-04-01 2022-10-06 The Trustees Of Princeton University Distributed non-equilibrium chemical and material synthesis using combined plasma activation and programed heating and quenching
JP2023009762A (ja) * 2021-07-08 2023-01-20 東京エレクトロン株式会社 エッチング方法
JP2023023459A (ja) 2021-08-05 2023-02-16 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7315744B1 (ja) 2022-03-14 2023-07-26 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11691175B1 (en) 2022-07-18 2023-07-04 Tokyo Electron Limited Methods for area-selective deposition of polymer films using sequentially pulsed initiated chemical vapor deposition (spiCVD)
JP2024049188A (ja) * 2022-09-28 2024-04-09 東京エレクトロン株式会社 膜形成方法及び基板処理装置
US20240145232A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Benzyl compound passivation for selective deposition and selective etch protection

Family Cites Families (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
JP3373320B2 (ja) 1995-02-10 2003-02-04 株式会社アルバック 銅配線製造方法
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
JP4333900B2 (ja) 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. 磁気メモリセル、磁気構造体及び磁気素子の製造方法、並びに磁気構造体用金属層の成長方法
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR20140096288A (ko) 2002-11-15 2014-08-05 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
EP1623454A2 (en) 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) * 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
JP5412294B2 (ja) * 2007-02-14 2014-02-12 本田技研工業株式会社 原子層堆積法によりサイズ制御され空間的に分散されるナノ構造の製造方法
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
CN103147062A (zh) 2007-09-14 2013-06-12 西格玛-奥吉奇有限责任公司 采用单环戊二烯基三烷氧基铪和锆前体通过原子层沉积制备薄膜的方法
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
EP2222889A4 (en) 2007-11-06 2010-12-29 Hcf Partners L P ATOM LOCATION SEPARATION PROCESS
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
WO2010009295A2 (en) 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
CN102132422A (zh) 2008-08-27 2011-07-20 应用材料股份有限公司 利用印刷介电阻障的背接触太阳能电池
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
JP2012510706A (ja) 2008-12-01 2012-05-10 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 有機電子デバイス用のアノード
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
US20120189868A1 (en) 2009-07-31 2012-07-26 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
JP2011222779A (ja) 2010-04-09 2011-11-04 Dainippon Printing Co Ltd 薄膜素子用基板の製造方法、薄膜素子の製造方法および薄膜トランジスタの製造方法
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) * 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
WO2011160004A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
JP5400964B2 (ja) 2010-07-01 2014-01-29 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
TWI541938B (zh) 2011-06-03 2016-07-11 諾菲勒斯系統公司 用於互連的含金屬及矽覆蓋層
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI627303B (zh) 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
WO2014119693A1 (ja) 2013-01-31 2014-08-07 大日本印刷株式会社 電子線硬化性樹脂組成物、リフレクター用樹脂フレーム、リフレクター、半導体発光装置、及び成形体の製造方法
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP5605464B2 (ja) 2013-06-25 2014-10-15 東京エレクトロン株式会社 成膜装置及びそのクリーニング方法
CN110323268B (zh) 2013-06-28 2023-01-03 英特尔公司 基于选择性外延生长的iii-v族材料的器件
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
EP3046991B1 (en) 2013-09-20 2019-10-30 Baker Hughes, a GE company, LLC Composites for use in stimulation and sand control operations
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
WO2015047345A1 (en) 2013-09-27 2015-04-02 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
JP6135475B2 (ja) 2013-11-20 2017-05-31 東京エレクトロン株式会社 ガス供給装置、成膜装置、ガス供給方法及び記憶媒体
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
WO2015094305A1 (en) 2013-12-19 2015-06-25 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
US9895715B2 (en) * 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
WO2015147843A1 (en) 2014-03-27 2015-10-01 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
WO2015147858A1 (en) 2014-03-28 2015-10-01 Intel Corporation Selective epitaxially grown iii-v materials based devices
US10047435B2 (en) * 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) * 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) * 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
CN113936994A (zh) * 2015-05-01 2022-01-14 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
CN108064225A (zh) * 2015-06-18 2018-05-22 英特尔公司 用于第二或第三行过渡金属薄膜的沉积的固有地选择性前驱体
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) * 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US10316406B2 (en) * 2015-10-21 2019-06-11 Ultratech, Inc. Methods of forming an ALD-inhibiting layer using a self-assembled monolayer
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US20170298503A1 (en) * 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10453701B2 (en) * 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) * 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11430656B2 (en) * 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) * 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
KR102627238B1 (ko) 2017-05-05 2024-01-19 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
CN115233183A (zh) * 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) * 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
JP7146690B2 (ja) * 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) * 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積

Also Published As

Publication number Publication date
US20180233350A1 (en) 2018-08-16
TWI794209B (zh) 2023-03-01
KR20180093823A (ko) 2018-08-22
TW202328474A (zh) 2023-07-16
JP2023011755A (ja) 2023-01-24
JP2018137435A (ja) 2018-08-30
US11094535B2 (en) 2021-08-17
US20210358739A1 (en) 2021-11-18
TW201835367A (zh) 2018-10-01
JP2023182796A (ja) 2023-12-26
TWI798112B (zh) 2023-04-01
JP7169072B2 (ja) 2022-11-10
JP7373636B2 (ja) 2023-11-02
KR20240060762A (ko) 2024-05-08

Similar Documents

Publication Publication Date Title
TWI798112B (zh) 選擇性沉積之方法
US11830732B2 (en) Selective passivation and selective deposition
US11728175B2 (en) Deposition of organic films
US11387107B2 (en) Deposition of organic films
US20210358745A1 (en) Selective passivation and selective deposition
TW202311556A (zh) 選擇性鈍化及選擇性沉積
TW202403076A (zh) 有機材料之選擇性沉積