JP2023182796A - 選択的パッシベーションおよび選択的堆積 - Google Patents

選択的パッシベーションおよび選択的堆積 Download PDF

Info

Publication number
JP2023182796A
JP2023182796A JP2023179722A JP2023179722A JP2023182796A JP 2023182796 A JP2023182796 A JP 2023182796A JP 2023179722 A JP2023179722 A JP 2023179722A JP 2023179722 A JP2023179722 A JP 2023179722A JP 2023182796 A JP2023182796 A JP 2023182796A
Authority
JP
Japan
Prior art keywords
layer
dielectric
selectively
deposition
passivation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023179722A
Other languages
English (en)
Inventor
エヴァ イー. トイス
E Tois Eva
スヴィ ピー. ハウッカ
P Haukka Suvi
ライヤ エイチ. マテロ
H Matero Raija
エリナ ファーム
Farm Elina
デルフィン ロングリエ
Longrie Delphine
ヒデミ スエモリ
Hidemi Suemori
ヤン ヴィレム マエス
Willem Maes Jan
マルコ トゥオミネン
Tuominen Marko
シャオレン デン
Shaoren Deng
イヴォ ヨハネス ラーイマケルス
Johannes Raaijmakers Ivo
アンドレア イリベリ
Andrea Illiberi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of JP2023182796A publication Critical patent/JP2023182796A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

Figure 2023182796000001
【課題】選択的堆積の方法およびそれらの構造を提供する。
【解決手段】方法は、材料を、異なる材料組成物の第2表面に対して、基板の第1表面上に選択的に堆積させる。パッシベーション層を、第2表面にパッシベーション層がないまま、第1表面上に気相反応物質から選択的に形成する。対象の層は、パッシベーション層に対して、第2表面上に気相反応物質より選択的に堆積する。第1表面は金属製である一方で第2表面は誘電性であるか又は第2表面は誘導性である一方で第2表面は金属製である。したがって、誘電体などの材料は、他のタイプの表面に対して、金属製表面または誘電体表面どちらかの上に選択的に堆積する。
【選択図】図1

Description

優先権出願
本出願は、2017年2月14日出願の米国仮特許出願第62/458,952号、2017年4月4日出願の第62/481,524号および2017年11月28日出願の第62/591,724号の優先権を主張するものである。
すべての優先出願の参照による援用
外国または国内での優先権を主張するすべての出願は、本出願と共に提出する出願データシートに特定され、それらを37 CFR 1.57の下、参照により本明細書に援用する。
本開示は、概して、異なる材料組成物の第2表面に対する、基板の第1表面上への材料の選択的堆積に関する。
関連技術
半導体製造におけるデバイス寸法の縮小により、新しい革新的な加工方法が求められている。従来、半導体加工におけるパターニングは、ブランケット層を堆積させ、フォトリソグラフィー技術によってマスクし、マスクの中の開口部を通ってエッチングする、サブトラクティブ法を伴う。リフトオフ技術またはダマシンプロセスを使用するパターニングなど、マスキングステップが対象の材料の堆積に先行する、さらなるパターニングも知られている。ほとんどの場合、パターニングには高価な複数ステップのリソグラフィー技術が採用される。
パターニングは、選択的堆積によって単純化し得るため、半導体製造業者の間で選択的堆積への関心が高まっている。選択的堆積は、様々な点で非常に有益であろう。意義深いことに、選択的堆積によって、リソグラフィーステップを減少することが可能となり、加工費用を削減し得る。選択的堆積はまた、ボトムアップ充填を可能にすることによって、狭い構造においてスケーリングを強化することを可能にし得る。電気化学堆積は、金属を導体素子上に選択的に形成し得る、選択的堆積の一形態である。化学蒸着(CVD)および原子層堆積(ALD)は、表面高感度技術の蒸着技術であり、そのため、選択的堆積にふさわしい候補として研究されてきた。選択的ALDは、例えば、米国特許第6,391,785号で提案されている。
選択的堆積での課題の一つは選択性であり、というのも、しばしば、堆積プロセスは、選択性の目的を達成するほど充分に高くない。表面の前処理は、ときに、表面の一方または両方に堆積するのを阻害するか、または促すかのどちらかを可能にするが、しばしば、そのような処理自体が、処理を適用するために、または処理された表面上のみに残るために、リソグラフィーを要求する。
したがって、選択的堆積を達成する、より実用的なプロセスの必要性が存在する。
一態様では、方法は、第1表面に対する一部の第2表面上での選択的堆積を提供し、第1および第2表面は、異なる組成物を有する。方法は、パッシベーション層を、第2表面にパッシベーション層がないまま、第1表面上に気相反応物質から選択的に形成することを含む。方法はさらに、パッシベーション層に対して、第2表面上に気相反応物質より対象の層を選択的に堆積させることを含む。
一部の実施形態では、パッシベーション層を選択的に形成する方法は、さらに、第1表面上にいくらかポリマーを残したまま、第2表面からいかなるポリマーをもエッチングすることを含む。一部の実施形態では、方法は、第1および第2表面の境界と並ぶ、対象の層の端部を含む。一部の実施形態では、方法は、第1表面と重複する対象の層を含む。一部の実施形態では、方法は、第2表面より隆起している第1表面を含む。一部の実施形態では、パッシベーション層を除去した後に、方法は、第2表面を曝露する間隙を含み、間隙は、対象の層の端部と、第1および第2表面の境界との間に存在する。一部の実施形態では、方法はさらに、空洞を形成するように、間隙の中の第2表面を選択的にエッチングすることを含む。一部の実施形態では、方法はさらに、空洞内に空隙を残すように、空洞充填材料を堆積させることを含む。
別の態様では、有機層堆積用の装置を提供する。装置は、反応物質の蒸気を形成するために、第1有機反応物質を蒸発させるように構成される第1容器と、反応物質の蒸気を形成するために、第2有機反応物質を蒸発させるように構成される第2容器とを含む。装置はさらに、水素源および不活性ガス源と連通するプラズマ源と、基板を収容するように構成され、第1および第2容器と選択的に流体連通する、反応空間とを含む。制御システムは、第1および第2容器からの蒸気を連通させることによって、基板上に有機層を堆積させ、プラズマ源を操作することによって、有機層をエッチバックするように構成される。
別の態様では、集積回路のメタライゼーション構造を提供する。構造は、low-k材料内に少なくとも一部埋め込まれた金属製特徴部と、low-k材料と、誘電体エッチング停止材料とを含む。構造はさらに、low-k材料内に位置付けられ、金属製特徴部の側面に隣接して位置付けられる空隙を含む。
別の態様では、集積回路のメタライゼーション構造を提供する。構造は、low-k材料と、low-k材料内に少なくとも一部埋め込まれた金属製特徴部とを含む。構造はさらに、low-k材料の上に重なる誘電体エッチング停止材料を含み、誘電体エッチング停止材料は、選択的に堆積された材料に特有の端部形状を備える。
図1Aは、第1実施形態による、異なる組成物の第1および第2表面を有する、基板の一部分の断面図である。図1Bは、第1表面の選択的パッシベーション後の、図1Aの基板の断面図である。図1Cは、第2表面上への選択的堆積後の、図1Bの基板の断面図である。図1Dは、第1表面からパッシベーション材料を除去した後の、図1Cの基板の断面図である。 図2Aは、第2実施形態による、パッシベーション遮断材料が第2表面上に形成された、異なる組成物の第1および第2表面を有する基板の一部分の断面図である。図2Bは、第1表面の選択的パッシベーション後の、図2Aの基板の断面図である。図2Cは、第2表面からパッシベーション遮断材料を除去した後の、図2Bの基板の断面図である。図2Dは、第2表面上への選択的堆積後の、図2Cの基板の断面図である。図2Eは、第1表面からパッシベーション材料を除去した後の、図2Dの基板の断面図である。 図3Aは、第3実施形態による、第2表面の上にさらなる材料を選択的に堆積した後の、図2Dの基板の断面図である。図3Bは、第1表面からパッシベーション材料を除去した後の、図3Aの基板の断面図である。 有機パッシベーション層を選択的に堆積させるプロセスを、概して説明するフロー図である。 有機層を選択的に堆積させる、原子層堆積(ALD)プロセスを概して説明するフロー図である。 ポリマー表面に対する、自然酸化物表面上への酸化ジルコニウムの原子層堆積(ALD)プロセスの選択性を説明するグラフである。 酸化チタンのALDプロセスに関するサイクル数および堆積温度の関数として、自然酸化物の上の酸化チタンの厚さを説明するグラフである。 酸化チタンのALDプロセスに関するサイクル数および堆積温度の関数として、ポリマーの上の酸化チタンの厚さを説明するグラフである。 水接触角と、硫黄含有自己組織化単分子層(SAM)の蒸気前駆体に曝露する時間とを対比して説明する棒グラフである。 塩酸(HCl)前処理後に形成される硫黄含有SAM上方の、水接触角を説明する顕微鏡写真図である。 ギ酸(HCOOH)前処理後に形成される硫黄含有SAM上方の、水接触角を説明する顕微鏡写真図である。 硫黄含有SAMおよび/またはポリマーの堆積プロセスへの曝露後の、銅表面上方の水接触角を説明する棒グラフである。 ポリマー堆積プロセスへの曝露後の、様々な材料に関するXPS分析による材料組成物を説明する棒グラフである。 100または250サイクルのポリマーALDプロセスへの曝露後の、様々な材料表面の材料組成物を説明する表である。 硫黄含有SAMの堆積プロセスへの曝露後の、銅表面上方の水接触角を説明する顕微鏡写真図である。 硫黄含有SAMの堆積プロセスへの曝露後の、無機誘電体表面上方の水接触角を説明する顕微鏡写真図である。 ポリマー層の選択的堆積および望ましくない表面からの原位置でのエッチバック用に構成された装置の概略図である。 実施形態による、有機材料での第1表面の選択的パッシベーション後、第2表面上に誘電層を選択的に堆積させるプロセスを、概して説明するフロー図である。 異なる組成物の第1および第2表面を有する、基板の一部分の断面図を利用したフロー図であり、概して、パッシベーション材料上でのエッチバックの程度が、第1および第2表面の界面と形成される誘電層との関係に与える影響について説明する。 異なる組成物の第1および第2表面を有する、基板の一部分の断面図を利用したフロー図であり、概して、パッシベーション層の厚さが、第1および第2表面の界面と形成される誘電層との関係に与える影響について説明する。 異なる組成物の第1および第2表面を有する、基板の一部分の断面図を利用したフロー図であり、概して、誘電体の厚さが、第1および第2表面の界面と形成される誘電層との関係に与える影響について説明する。 異なる組成物の平坦な第1および第2表面を有し、パッシベーション層および誘電体層が、第1および第2表面上にそれぞれ選択的に堆積した、基板の一部分の断面図である。 異なる組成物の第1および第2表面を有し、第1表面が第2表面に対して陥凹し、パッシベーション層および誘電体層が第1および第2表面上にそれぞれ選択的に堆積した、基板の一部分の断面図である。 異なる組成物の第1および第2表面を有し、第1表面が第2表面に対して隆起し、パッシベーション層および誘電層が第1および第2表面上にそれぞれ選択的に堆積した、基板の一部分の断面図である。 異なる組成物の第1および第2表面を有し、第1表面が第2表面に対して陥凹し、パッシベーション層および誘電層が第1および第2表面上にそれぞれ選択的に堆積した、基板の一部分の断面図である。 埋め込み金属特徴部を伴う、基板の一部分の断面図である。 第1表面を画定する金属キャップ形成後の、図22Aの基板の断面図である。 金属キャップの端部を曝露して、金属キャップの上にパッシベーション膜を残したままの、選択的パッシベーションの堆積およびエッチバック後の、図22Bの基板の断面図である。 基板のlow-k表面の上に誘電材料を選択的に堆積した後の、図22Cの基板の断面図であり、堆積した誘電体は、low-k材料のエッチングに耐え、金属キャップと重複する。 パッシベーション層除去後の図22Dの基板の断面図である。 異なる組成物の第1および第2表面を有する、基板の一部分の断面図を示すフロー図であり、概して、第1表面の選択的パッシベーション、パッシベーションを第2表面と重複したまま残すようなエッチバック、および第2表面の残余部上への誘電体エッチングマスクの選択的堆積を説明する。 パッシベーション層を除去し、第1表面と誘電体エッチングマスクとの間に間隙を残し、間隙の中で曝露するlow-k材料を選択的にエッチングし、基板内に空隙を残すように堆積した後の、図23Aの基板の断面図である。 をエッチング液として使用して、三つの異なるエッチング温度に対するエッチングパルスの関数として、ポリマー厚を説明するグラフである。 ポリマーのOエッチングに対する逆温度の関数として、エッチング速度のアレニウスプロットを説明するグラフである。
第1表面に対して、第2表面の上に材料を選択的に堆積させる方法および装置について開示し、第1および第2表面は材料に違いがある。例えば、表面の一方は金属製材料を有することができ、他方の表面は無機誘電材料を含み得る。本明細書に記載する実施形態では、有機パッシベーション層を、第2表面に対して第1表面上に選択的に堆積させる。一部の実施形態では、第1表面が金属を含み、第2表面が誘電体であり、他の実施形態では、第1表面が誘電体であり、第2表面が金属である。続いて、対象の層を、有機パッシベーション層に対して第2表面上に選択的に堆積させる。さらに、有機パッシベーション層に対して、第2表面の上の対象の層上に、層を選択的に堆積させ得る。
一実施形態では、第1表面は、元素金属または金属合金などの金属製表面を備える一方、第2表面は、low-k材料などの無機誘電体表面を備える。low-k材料の例は、成長または堆積二酸化シリコン、ドープおよび/または多孔性酸化物、シリコン上の自然酸化物等など含む、酸化シリコン系材料を含む。ポリマーパッシベーション層を、無機誘電体表面に対して、金属製表面上に選択的に堆積させる。続いて、対象の層を無機誘電体表面上に選択的に堆積させる。対象の層は、金属元素を含んでもよい。対象の層の例は、酸化ジルコニウム(例えば、ZrO)、酸化ハフニウム(例えば、HfO)および酸化チタン(例えば、TiO)などの誘電体を含む。そのような材料を、ポリマー表面に対して酸化シリコン系表面上に選択的に堆積させるプロセスを提供する。
第2実施形態では、第1表面は、low-k材料などの無機誘電体表面を備える一方、第2表面は、元素金属または金属合金などの金属製表面を備える。low-k材料の例は、成長または堆積二酸化シリコン、ドープおよび/または多孔性酸化物、シリコン上の自然酸化物等など含む、酸化シリコン系材料を含む。ポリマーパッシベーション層を、金属製表面に対して無機誘電体表面上に選択的に堆積させる。ポリマーパッシベーション層を堆積させる前に、自己組織化単分子層(SAM)などのパッシベーション遮断層を、金属製表面に提供し得る。パッシベーション遮断層は、無機誘電体表面上へのポリマー堆積の選択性を促進し、ポリマーパッシベーション層に対して、金属製表面上に対象の層を選択的に堆積することを可能にするために、その後除去され得る。対象の層は、金属元素を含んでもよい。対象の層の例は、金属層(例えば、2015年2月17日発行の米国特許第8,956,971号、および2015年8月18日発行の米国特許第9,112,003号を参照)、および金属酸化物層(例えば、酸化ジルコニウム、酸化ハフニウム、酸化チタン)を含む。そのような材料を、ポリマー表面に対して金属製表面上に選択的に堆積させるプロセスを提供する。
第3実施形態では、第2実施形態のプロセスを行い、ポリマーでパッシベーションされた無機誘電体表面に対して、金属製表面の上に選択的に対象の層を提供する。その後、ポリマーで無機誘電体表面をパッシベーションしたまま、さらなる対象の層を、対象の層の上に選択的に堆積させる。例えば、対象の層は、金属層を備えてもよい一方、さらなる対象の層は、金属酸化物層(例えば、酸化ジルコニウム、酸化ハフニウム、酸化チタン)を備える。そのような材料を、ポリマー表面に対して金属製表面上に選択的に堆積させるプロセスを提供する。
ポリマーパッシベーション層は、第2表面の上に対象の層を選択的に堆積した後に、第1表面から除去されてもよい。例えば、酸化プロセスでは、ポリマー材料を選択的に除去してもよい。基板上の周囲の材料への損傷を避けるように、条件を選ぶ。
また、下層の金属製表面と誘電体表面との境界など、基板上の他の特徴部に対して選択的に堆積された層の、端部形状および端部位置を制御する実施形態も提供する。したがって、高価なリソグラフィーパターニングを必要とせずに、選択的な層端部の相対的な位置付けに制御を提供する。例は、そのような制御の用途を説明し、選択的な層が堆積を最小化する材料と重複する例、選択的な層が、堆積を最小化する材料と層との間隔を空ける間隙を伴って形成される例、および選択的な層の端部が、下層にある二つの異種材料間の境界と並ぶ例を含む。
基板表面
本開示の一部態様により、選択的堆積を、第1表面に対して優先的に対象の膜を第2表面上に堆積させるように使用し得る。二つの表面は、第2表面に対する第1表面上へのポリマー層の選択的堆積など、それらの表面上に有機材料を選択的に形成することを可能にする、異なる材料特性を有することができ、それによって、それに続く対象の層の、有機パッシベーションされた第1層に対する第2表面上への選択的堆積を可能にする。
例えば、本明細書に記載する実施形態では、表面の一方は、基板の導電性(例えば、金属または金属製)表面であり得る一方、他方の表面は、基板の非導電性(例えば、無機誘電体)表面であり得る。一部の実施形態では、非導電性表面は、酸化シリコン系表面(例えば、成長および堆積酸化シリコン材料、ならびにシリコンの上の自然酸化物を含む、low-k材料)などの-OH基を備える。一部の実施形態では、加えて、非導電性表面は、HF浸漬のSi表面またはHF浸漬のGe表面など、-H終端化を備えてもよい。そのような実施形態では、対象の表面は、-H終端化および-H終端化の下方の材料の両方を備えるとみなされるであろう。
上に述べた例のいずれでも、二つの表面の材料の違いは、蒸着方法によって、第2表面に対して第1表面上に有機パッシベーション層を選択的に堆積し得るようなものである。一部の実施形態では、周期的蒸着を使用し、例えば、周期的CVDプロセスまたは原子層堆積(ALD)プロセスを使用する。一部の実施形態では、有機層のより少ない部分を受け取るように、表面上にはパッシベーション/遮断剤なしで、および/または有機層のより多くの部分を受け取るように、表面上には触媒剤なしで、有機パッシベーション層の選択性を実現し得る。例えば、第1表面が金属製で、第2表面が誘電体である実施形態では、ポリマーを無機誘電体表面に対して、金属製表面上に直接、選択的に堆積させ得る。他の実施形態では、第1表面が誘電体で、第2表面が金属製である場合、最初に第2表面を処理して、第2表面上へのポリマー堆積を阻害する。例えば、最初にパッシベーションを遮断する自己組織化単分子層(SAM)を金属製表面相対物の上に形成して、SAMで覆われた第2金属製表面に対する、無機誘電体表面などの誘電体表面上への、ポリマーパッシベーション層の選択的堆積を促進し得る。有機パッシベーションの選択的堆積を完了した後、金属酸化物または金属層などの、対象の材料のさらなる選択的堆積を、パッシベーションした第1表面に対して、パッシベーションされていない第2表面上に行い得る。
一表面が金属を備える一方で、他方の表面は金属を備えない実施形態については、別段の指示がない限り、本明細書において表面が金属表面と称される場合、それは金属表面または金属製表面であってもよい。一部の実施形態では、金属表面または金属製表面は、金属、金属酸化物および/またはそれらの混合物を備えてもよい。一部の実施形態では、金属表面または金属製表面は、表面酸化を備えてもよい。一部の実施形態では、金属表面または金属製表面の金属材料または金属製材料は、表面酸化の有無にかかわらず導電性である。一部の実施形態では、金属表面または金属製表面は、一つ以上の遷移金属を備える。一部の実施形態では、金属表面または金属製表面は、Al、Cu、Co、Ni、W、Nb、FeまたはMoのうちの一つ以上を備える。一部の実施形態では、金属製表面は窒化チタンを備える。一部の実施形態では、金属表面または金属製表面は、Ruなど、一つ以上の貴金属を備える。一部の実施形態では、金属表面または金属製表面は、導電性金属酸化物、窒化物、炭化物、ホウ化物またはそれらの組み合わせを備える。例えば、金属表面または金属製表面は、RuO、NbC、NbB、NiO、CoO、NbO、MoO、WO、WNC、TaNまたはTiNのうちの一つ以上を備えてもよい。
一部の実施形態では、金属表面または金属製表面は、コバルト(Co)、銅(Cu)、タングステン(W)またはモリブデン(Mo)を備える。一部の実施形態では、金属表面または金属製表面は、実施形態によって、本明細書に記載する通り、有機パッシベーション層または対象の層のどちらかの選択的堆積プロセスにおいて利用される、第1もしくは第2前駆体を受け入れ得る、またはそれに合わせ得る、いかなる表面であってもよい。
一部の実施形態では、有機パッシベーション材料は、他の表面に対して、金属酸化物表面上に選択的に堆積される。金属酸化物表面は、例えば、WO、TiO表面であってもよい。一部の実施形態では、金属酸化物表面は、金属製材料の酸化表面である。一部の実施形態では、金属酸化物表面を、O、HO、H、O、酸素原子、酸素プラズマもしくは酸素ラジカル、またはそれらの混合物を備える化合物など、酸素化合物を使用して、金属製材料の少なくとも表面を酸化させることによって作り出す。一部の実施形態では、金属酸化物表面は、金属製材料上に形成される自然酸化物である。
一部の実施形態では、第2表面は、その上のパッシベーション遮断層を含む、金属表面を備えてもよい。すなわち、一部の実施形態では、第2表面は金属表面を備えてもよく、金属表面は、例えば、自己組織化単分子層(SAM)といった、金属表面の上へのパッシベーション層の堆積を阻害する材料を備える。
一部の実施形態では、有機パッシベーション材料を、第2誘電体表面に対して、金属製材料の酸化表面である第1金属酸化物表面上に選択的に堆積させる。
一部の実施形態では、第1および第2表面の一方は、基板の金属表面または金属製表面であり、他方の表面は基板の誘電体表面である。誘電という用語は、他の表面、すなわち、金属表面または金属製表面と区別する際に、単純化するために本明細書で使用する。当業者には、すべての非導電表面が誘電体表面というわけではなく、反対に、すべての金属製表面が導電性というわけではないことは、理解されるであろう。例えば、金属表面または金属製表面は、非導電性である、または非常に高い抵抗率を有する、酸化金属表面を備えてもよい。本明細書で教示する選択的堆積プロセスによって、パッシベーションされる誘電体表面上への最低限の堆積で、そのような非導電金属製表面上に堆積することができ、類似の選択的堆積プロセスによって、パッシベーションされる非導電金属製表面上への最低限の堆積で、誘電体表面上に堆積し得る。
一部の実施形態では、選択的堆積プロセスの前に、またはその最初に、基板を前処理してもよく、または洗浄してもよい。一部の実施形態では、選択的堆積プロセスの前に、またはその最初に、基板をプラズマ洗浄プロセスに晒してもよい。一部の実施形態では、プラズマ洗浄プロセスは、イオン衝撃を含まなくてもよく、または比較的小さいイオン衝撃を含んでもよい。例えば、一部の実施形態では、選択的なパッシベーション層の堆積プロセスの前に、またはその最初に、基板表面をプラズマ、ラジカル、励起種および/または原子種に曝露してもよい。一部の実施形態では、選択的なパッシベーション層の堆積プロセスの前に、またはその最初に、基板表面を水素プラズマ、ラジカルまたは原子種に曝露してもよい。一部の実施形態では、前処理または洗浄プロセスを、選択的堆積プロセスとして、同じ反応チャンバの中で実行してもよいが、しかしながら、一部の実施形態では、前処理または洗浄プロセスを、別個の反応チャンバの中で実行してもよい。
選択性
当業者は、選択的堆積が完全に選択的、または一部選択的であり得ることを理解するであろう。一部選択的なプロセスは、表面A全体から堆積した材料のすべてを除去することなく、表面B全体から堆積した材料のすべてを除去する、堆積後のエッチングによって、完全に選択的な層をもたらし得る。単純なエッチバックプロセスによって、高価なマスキングプロセスを必要とすることなく、完全に選択的な構造を残したままにし得るため、選択的堆積は、所望の利点を得るために、完全に選択的である必要はない。
表面Bに対する表面A上の堆積の選択性は、[(表面A上の堆積)-(表面B上の堆積)]/(表面A上の堆積)により計算される割合で表され得る。堆積は様々な手段のいずれでも測定され得る。例えば、堆積は、測定した堆積材料の厚さで表してもよく、または測定した堆積材料の量で表してもよい。本明細書に記載する実施形態では、有機パッシベーション層の選択的堆積を、第2表面(B)に対して第1表面(A)上で行い得る。続いて、対象の層を、第1表面の上の有機パッシベーション層(B)に対して、第2表面(A)上に選択的に堆積させる。
一部の実施形態では、(第2表面に対する)第1表面上へのパッシベーション層の選択的堆積の選択性、および/または(第1表面上のパッシベーション層に対する)第2表面上への対象の層の選択性は、約10%より大きく、約50%より大きく、約75%より大きく、約85%より大きく、約90%より大きく、約93%より大きく、約95%より大きく、約98%より大きく、約99%より大きくまたは約99.5%よりさらに大きい。本明細書に記載する実施形態では、有機パッシベーション層堆積の選択性は、堆積の期間または厚さによって変化し得る。驚くべきことに、選択性は、本明細書に記載する気相ポリマー層堆積に対して、堆積の期間と共に増加することがわかっている。対照的に、異なる表面上の差異に応じた核生成に基づく典型的な選択的堆積は、堆積の期間または厚さがより大きくなると、選択性が低くなる傾向がある。
一部の実施形態では、堆積は第1表面上のみに発生し、第2表面上には発生しない。一部の実施形態では、基板の表面Bに対する、基板の表面A上への堆積は、少なくとも約80%選択的であり、これは、いくつかの特定の用途には充分に選択的であってもよい。一部の実施形態では、基板の表面Bに対する、基板の表面A上への堆積は、少なくとも約50%選択的であり、これは、いくつかの特定の用途には充分に選択的であってもよい。一部の実施形態では、基板の表面Bに対する、基板の表面A上への堆積は、少なくとも約10%選択的であり、これは、いくつかの特定の用途には充分に選択的であってもよい。当業者は、一部選択的なプロセスが、表面A全体から堆積した材料のすべてを除去することなく、表面B全体から堆積した材料のすべてを除去する、堆積後のエッチングによって、完全に選択的な構造層をもたらし得ることを理解するであろう。さらに、下記の図17~23Bに関する記載からより良く理解されるであろう通り、堆積後のエッチングもまた、選択的に堆積する層の位置および/または形状を仕立てるのに役立ち得る。
一部の実施形態では、基板の第1表面上に堆積する有機層は、厚さ約50nm未満、約20nm未満、約10nm未満、約5nm未満、約3nm未満、約2nm未満または約1nm未満を有してもよく、一方、基板の第1表面上に堆積する材料対基板の第2表面上に堆積する材料の比率は、約200:1以上、約100:1以上、約50:1以上、約25:1以上、約20:1以上、約15:1以上、約10:1以上、約5:1以上、約3:1以上または約2:1以上であってもよい。
一部の実施形態では、本明細書に記載する選択的堆積プロセスの選択性は、基板の第1および/または第2表面を画定する、材料の材料組成物によって決まってもよい。例えば、第1表面がBTAでパッシベーションされたCu表面を備え、第2表面が天然のまたは化学的な二酸化シリコン表面を備える、一部の実施形態では、選択性は、約8:1より大きくてもよく、または約15:1より大きくてもよい。一部の実施形態では、第1表面が金属または金属酸化物を備え、第2表面が天然のまたは化学的な二酸化シリコン表面を備える場合、選択性は、約5:1より大きくてもよく、または約10:1より大きくてもよい。
誘電体上への選択的堆積
図1A~1Dは、第2表面に対して第1表面を選択的にパッシベーションし、その後、パッシベーションされた第1表面に対する、第2表面上への選択的堆積が続く第1実施形態について、概略的に説明する。説明する実施形態では、第1表面は金属製材料を備え、第2表面は無機誘電材料を備え、第2表面上に堆積される対象の材料は、誘電材料を備える。
図1Aは、著しく異なる表面を曝露した基板について説明する。例えば、第1表面は、コバルト(Co)、銅(Cu)、タングステン(W)またはモリブデン(Mo)などの金属を備えることができ、または金属によって画定され得る。第2表面は、low-k層(通常、酸化シリコン系層)、または表面上に形成される自然酸化物(そのうえ、酸化シリコンの形態)を有するシリコン表面などの無機誘電体を備えることができ、または無機誘電体によって画定され得る。
図1Bは、第1表面の上へのパッシベーション層の選択的堆積後の、図1Aの基板を示す。例えば、パッシベーション層は、第1層の金属製表面上に、選択的に堆積するポリマー層であってもよい。蒸着技術によりポリマー層を選択的に堆積させる方法は、2016年6月1日出願の米国特許出願第15/170,769号に開示され、その全体の開示は、あらゆる目的のために参照により本明細書に援用される。パッシベーション層として機能を果たす、ポリマー層の選択的堆積のさらなる情報および例を、以下に提供する。
一部の実施形態では、選択的に堆積するポリマーはポリイミドである。一部の実施形態では、堆積するポリマーはポリアミドである。堆積するポリマーの他の例は、二量体、三量体、ポリ尿素層、ポリチオフェンポリウレタン、ポリチオ尿素、ポリエステル、ポリイミン、他のポリマー形態または上の材料の混合物を含む。蒸着される有機材料は、ポリマー形成の前駆体であってもよい、ポリアミック酸を含む。選択的に堆積する層は、ポリマーおよびポリアミック酸を含む混合物であることができ、本開示のために、ポリマーであるとみなされるであろう。
上で述べた通り、第2表面(本例では無機誘電体表面)上に堆積するいかなる有機材料も、エッチバックプロセスによって除去され得る。一部の実施形態では、有機層の選択的堆積に続くエッチングプロセスで、基板の第1表面および第2表面の両方から、堆積した有機材料を除去してもよい。一部の実施形態では、エッチングプロセスは等方性であってもよい。
一部の実施形態では、エッチングプロセスで、第1および第2表面から、同じ量または厚さの材料を除去してもよい。すなわち、一部の実施形態では、第1表面上に堆積した有機材料のエッチング速度は、第2表面上に堆積した有機材料のエッチング速度と、実質的に類似であってもよい。本明細書に記載する堆積プロセスの選択性の本質により、基板の第2表面上に堆積した有機材料の量は、基板の第1表面上に堆積した材料の量よりも、実質的に少ない。そのため、エッチングプロセスで、基板の第2表面から堆積した有機材料を完全に除去してもよい一方で、堆積した有機材料が、基板の第1表面上に残留してもよい。ポリマーをエッチングする好適なプロセスを、図1Dに関連して以下に記載する。
図1Cは、第1表面(本例では金属製表面)上のパッシベーション層に対する、第2表面(本例では無機誘電体表面)上への対象の層Xの選択的堆積後の、図1Bの基板を示す。対象の層Xは、誘電材料、特に、酸化ジルコニウム、酸化ハフニウムまたは酸化チタンなどの金属酸化物であり得る。そのような金属酸化物層を、有機パッシベーション層に対する選択性を助けるように、疎水性前駆体を用いる蒸着技術により、選択的に堆積させる方法は、2016年5月5日出願の米国仮特許出願第62/332,396号に開示され、その全体の開示は、あらゆる目的のために参照により本明細書に援用される。金属酸化物および対象の他の層の選択的堆積のさらなる情報および例を、以下に提供する。
上で述べた通り、第1表面の上のパッシベーション層上に堆積するいかなるX材料も、エッチバックプロセスによって除去され得る。対象の層を、第2表面上に選択的に堆積させるため、パッシベーション表面上に残るいかなるX材料も、金属製表面上に形成されるパッシベーション層よりも薄いであろう。したがって、エッチバックプロセスは、誘電体表面の上から対象の層すべては除去せずに、パッシベーション層の上のX材料すべてを除去するように制御し得る。このように選択的堆積およびエッチバックを繰り返すことで、堆積およびエッチングのサイクルごとに、誘電体上のX材料厚の増大がもたらされ得る。また、堆積およびエッチングのサイクルごとに、選択的なXの堆積による不充分な核形成がなされる、きれいなパッシベーション層が残されるため、このように選択的堆積およびエッチバックを繰り返すことで、誘電体上のX材料の全体的な選択性の増大ももたらされ得る。あるいは、リフトオフプロセスにおける続くパッシベーション層の除去中、すなわち、図1Dに関連して以下に説明する例示の状況中に、いかなるX材料も除去し得る。当該技術分野で知られる通り、リフトオフプロセスで、下層材料の除去により下を削り取ることで、上に重なる材料を除去する。短い選択的堆積プロセスでパッシベーション層上に形成されるいかなるX材料にも、非連続である傾向があり、除去されるべき下層の材料にエッチング液が接近することを可能にする。リフトオフエッチングでは、直接エッチングまたはリフトオフ方法のどちらかを使用して、周期的な選択的堆積および除去において、パッシベーション層表面からX材料を除去し得るように、パッシベーション層表面から望ましくないX材料すべてを除去するために、パッシベーション層を完全に除去する必要はない。
図1Dは、第1表面からパッシベーション層を除去した後の図1Cの基板を示す。一部の実施形態では、エッチングプロセスは、基板をプラズマに曝露することを含んでもよい。一部の実施形態では、プラズマは、酸素原子、酸素ラジカル、酸素プラズマまたはそれらの組み合わせを備えてもよい。一部の実施形態では、プラズマは、水素原子、水素ラジカル、水素プラズマまたはそれらの組み合わせを備えてもよい(例えば、以下のパッシベーション層の選択的堆積については実施例2を参照)。一部の実施形態では、プラズマはまた、例えば、Ar種またはHe種といった、希ガス種を備えてもよい。一部の実施形態では、プラズマは、本質的に希ガス種から成ってもよい。一部の例では、プラズマは、例えば、窒素原子、窒素ラジカル、窒素プラズマまたはそれらの組み合わせといった他の種を備えてもよい。一部の実施形態では、エッチングプロセスは、例えば、Oといった酸素を備えるエッチング液に、基板を曝露することを含んでもよい。一部の実施形態では、約30℃から約500℃の間、好ましくは、約100℃から約400℃の間の温度のエッチング液に基板を曝露してもよい。一部の実施形態では、エッチング液は、一つの連続パルスの中で供給されてもよく、または複数のより短いパルスの中で供給されてもよい。上で述べた通り、パッシベーション層の完全な除去、または周期的な選択的堆積および除去におけるパッシベーション層の一部除去のどちらかにおいて、残留するいかなるX材料もパッシベーション層の上からリフトオフするように、パッシベーション層の除去を使用し得る。
上で述べた通り、一部の実施形態では、有機パッシベーション層を除去するエッチングプロセスで、O(例えば、O/N)を使用し得る。一部の実施形態では、約20℃から約500℃の基板温度で、エッチングプロセスを実施してもよい。一部の実施形態では、約50℃から約300℃の基板温度で、エッチングプロセスを実施してもよい。一部の実施形態では、約100℃から約250℃の基板温度で、エッチングプロセスを実施してもよい。一部の実施形態では、約125℃から約200℃の基板温度で、エッチングプロセスを実施してもよい。一部の実施形態では、約0.05nm/minから約50.0nm/minの速度で、エッチングプロセスを実施してもよい。一部の実施形態では、約0.1nm/minから約5.0nm/minの速度で、エッチングプロセスを実施してもよい。一部の実施形態では、約0.2nm/minから約2.5nm/minの速度で、エッチングプロセスを実施してもよい。単一のウエハまたは少量バッチ(例えば、ウエハ5枚以下)の加工用の一部の実施形態では、低O濃度のエッチングプロセスを使用してもよく、低O濃度のエッチングプロセスは、0.01Torrから200Torr、より具体的には約0.1Torrから100Torr(例えば、2Torr)で実施される。エッチング液の振動は、0.01secから20秒の間、特に、0.05secから10secの間、さらにより具体的には、0.1secから2秒(例えば、0.5secのパルス/0.5secのOパージ)の間であり得る。Oの流量は、0.01slmから1slm、より具体的には、0.01slmから0.250slmに及び得る。不活性(例えば、N))キャリアガスの流量は、0.1slmから20slm、より具体的には、0.5slmから5slm(例えば、1.2slm)に及び得る。一部の実施形態では、高O濃度のエッチングプロセスを使用してもよく、高O濃度のエッチングプロセスは、1~100Torr、より具体的には、5~20Torr(例えば、9Torr)で実施され、1サイクル当たりの曝露がより長い。例えば、O曝露時間は、0.1secから20sの間、より具体的には、0.5secから5秒の間(例えば、1secのパルス/1secのOパージ)であり得る。そのような高O濃度プロセスに対するOの流量は、流量0.1slmから20slm、より具体的には、0.5slmから5slm(例えば、1.2slm)の不活性(例えば、N)希釈液を伴い、0.1slmから2.0slmの間、より具体的には、0.5slmから1.5slmの間(例えば、750sccm)であり得る。Oエッチングプロセスについてのさらなる記載を、図24および25に関連して以下に提供する。
前述のプロセスの前、後、または間に、熱処理または化学的処理などの追加処理を行い得る。例えば、処理によって、表面を改変してもよく、またはプロセスの様々な段階で曝露される金属表面、酸化シリコン表面、ポリマーパッシベーション表面および金属酸化物表面の複数部分を除去してもよい。一部の実施形態では、選択的堆積プロセスの前に、またはその最初に、基板を前処理してもよく、または洗浄してもよい。一部の実施形態では、選択的堆積プロセスの前に、またはその最初に、基板をプラズマ洗浄プロセスに晒してもよい。一部の実施形態では、プラズマ洗浄プロセスは、イオン衝撃を含まなくてもよく、または比較的小さいイオン衝撃を含んでもよい。例えば、一部の実施形態では、選択的堆積プロセスの前に、またはその最初に、基板表面をプラズマ、ラジカル、励起種および/または原子種に曝露してもよい。一部の実施形態では、選択的堆積プロセスの前に、またはその最初に、基板表面を水素プラズマ、ラジカルまたは原子種に曝露してもよい。一部の実施形態では、前処理または洗浄プロセスを、選択的堆積プロセスとして、同じ反応チャンバの中で実行してもよいが、しかしながら、一部の実施形態では、前処理または洗浄プロセスを、別個の反応チャンバの中で実行してもよい。
金属上への選択的堆積
図2A~2Eは、第2表面に対して第1表面を選択的にパッシベーションし、その後、パッシベーションされた第1表面に対する、第2表面上への選択的堆積が続く第2実施形態について、概略的に説明する。説明する実施形態では、第1表面は無機誘電材料を備え、第2表面は金属製表面を備え、第2表面上に堆積する対象の材料は、誘電材料または金属を備える。
図2Aは、著しく異なる表面を有する、図1Aに類似する基板を説明する。しかしながら、本実施形態では、表面に関して逆の用語で記載する。特に、第2表面は、コバルト(Co)、銅(Cu)、タングステン(W)またはモリブデン(Mo)などの金属製材料を備えることができ、または金属製材料によって画定され得る。第1表面は、low-k層(通常、酸化シリコン系層)、または表面上に形成される自然酸化物(そのうえ、酸化シリコンの形態)を有するシリコン表面などの無機誘電体を備え得る。パッシベーション遮断層を第2表面の上に形成する。用語「遮断」は、続くパッシベーション層の選択的堆積が、パッシベーション遮断層によって完全に遮断されることを暗示することを意味しないことに留意されたい。むしろ、第2表面の上のパッシベーション遮断層は、第1表面の上の成長速度と比べて、より低い成長速度を有するように、パッシベーション層の堆積を阻害するのみに必要とされる。
一実施形態では、パッシベーション遮断層は、自己組織化単分子層(SAM)を備える。好ましくは、SAMを第1(誘電体)表面上に形成することなく、第2(金属製)表面の上に選択的に形成し得る。図11~13に関連して以下に論じる通り、硫黄含有SAMは、その上のパッシベーション層の堆積を最小限にするのに特に効果があることが、有利にもわかっている。蒸気により届けられる1-ドデカナエチオール(CH(CH11SH)を用いる、硫黄含有SAMの形成についてのさらなる詳細は、図8~10および14~15に関連して以下に論じる。
図2Bは、第2表面の上のパッシベーション遮断層に対する、この場合は無機誘電層である第1表面の上への、パッシベーション層(例えば、有機パッシベーション層)の選択的形成を示す。上で援用した2016年6月1日出願の特許出願第15/170,769号に述べられている通り、本明細書に記載する蒸着プロセスで、無機誘電体上にポリマーを堆積させることができ、さらに、異なるタイプの酸化シリコンの上に、選択的に(すなわち、差異に応じた堆積速度で)堆積し得る。本実施形態では、硫黄含有SAMは、ポリマーが、第1表面の上に選択的に形を成し得るように、SAMの上へのポリマー堆積を阻害し、続く堆積に対するパッシベーション層として機能を果たし得る。
図2Cは、第2表面の上からパッシベーション遮断層を除去した後の図2Bの基板を示す。例えば、ポリイミドのようなポリマー層を除去するであろうよりも低い温度での熱処理によって、硫黄含有SAM材料を除去し得る。したがって、パッシベーション層を第1表面の上に選択的に残したまま、一方で、第2表面を曝露する。本実施形態では、パッシベーションされた第1表面が無機誘電体であり、第2表面が金属製表面である以外、構造は図1Bと類似である。
図2Dは、第1表面の上のパッシベーション層に対する、第2表面上への対象の層Xの選択的堆積後の、図2Cの基板を示す。第1実施形態に関連して述べ、上で援用した2016年5月5日出願の仮特許出願第62/332,396号に記載されている通り、有機パッシベーション層に対する選択性を助けるように、蒸着技術および疎水性前駆体を使用して、金属酸化物をいくつかの異なる表面上に選択的に堆積させ得る。金属酸化物および他の対象の層の選択的堆積のさらなる情報および例を、以下に提供する。
代替として、対象の層Xは金属層である。全体の開示が、あらゆる目的のために参照により本明細書に援用される、2015年2月17日発行の米国特許第8,956,971号、および2015年8月18日発行の米国特許第9,112,003号は、有機表面を含む他の材料表面に対して、金属製表面上に金属製材料を選択的に堆積するプロセスを教示する。
図2Eは、金属上、または金属の上の金属上に選択的に形成された誘電体を残したまま、第1表面からパッシベーション層を除去した後の、図2Dの基板を示す。パッシベーション層は、Oエッチングによってなど、第1実施形態に関連して上に記載した通りに除去し得る。
図3A~3Bは、第2表面に対して第1表面を選択的にパッシベーションし、その後、パッシベーションされた第1表面に対する、第2表面上への選択的堆積が続く第3実施形態について、概略的に説明する。説明する実施形態では、図2A~2Dのプロセスが最初に行われる。
図3Aは、さらなる選択的堆積後の図2Dの基板を示す。対象の層Xが金属製材料の場合には、さらなる選択的堆積によって、有機パッシベーション層に対して選択的に、第1の対象の層の上の第2の対象の層Yとして誘電材料を形成し得る。第1および第2実施形態に関連して上で述べ、上で援用した2016年5月5日出願の仮特許出願第62/332,396号に記載されている通り、有機パッシベーション層に対する選択性を助けるように、蒸着技術および疎水性前駆体を使用して、金属酸化物をいくつかの異なる表面上に選択的に堆積させ得る。金属酸化物および他の対象の層の選択的堆積のさらなる情報および例を、以下に提供する。
図3Bは、金属上に選択的に形成された誘電体を残したまま、第1表面からパッシベーション層を除去した後の、図3Aの基板を示す。パッシベーション層は、Oエッチングによってなど、第1実施形態に関連して上に記載した通りに除去し得る。
第1実施形態のように、第2および第3実施形態は、前述のプロセスの前、後、または間に行われる、熱処理または化学的処理などの追加処理を伴い得る。
パッシベーション層の選択的堆積
援用した2016年6月1日出願の米国特許出願第15/170,769号に開示されている通り、気相堆積技術は、有機パッシベーション層、ならびに例を挙げると、ポリイミド層、ポリアミド層、多尿症、ポリウレタン層、ポリチオフェン層およびその他などのポリマーに適用され得る。ポリマー層のCVDは、液体前駆体の適用と比較して、より優れた厚み制御、機械的柔軟性、共形カバレッジ(conformal coverage)および生体適合性を生み出し得る。ポリマーの逐次堆積加工によって、小さい研究規模の反応器において、高い成長率を生み出し得る。CVDと同様に、逐次堆積プロセスによって、より優れた厚み制御、機械的柔軟性および共形性を生み出し得る。用語「逐次堆積」および「周期的堆積」は、反応機構がALD、CVD、MLDまたはそれらの混成物に似ているかにかかわらず、基板が交互にまたは順次、異なる前駆体に曝露するプロセスに適用されるように、本明細書で用いられる。
図4Aを参照すると、一部の実施形態では、ブロック11で第1表面および第2表面を備える基板が提供される。第1および第2表面は、本明細書で論じる通り、異なる材料特性を有してもよい。一部の実施形態では、第1表面は、例えば、金属表面または金属製表面である導電性表面であってもよく、第2表面は誘電体表面であってもよい(例えば、図1A~1Dを参照)。一部の実施形態では、第1表面は誘電体表面であってもよく、第2表面は異なる第2誘電体表面であってもよい。一部の実施形態では、第1表面は、例えば、酸化シリコン系材料である誘電体表面であってもよく、第2表面はSAMなどのパッシベーション遮断材料であってもよい(例えば、図2A~3Bを参照)。
一部の実施形態では、第1前駆体を第1温度で蒸発させて、第1気相前駆体を形成してもよい。一部の実施形態では、第1前駆体蒸気が、第2温度でガスラインを通って基板へ移送される。一部の実施形態では、第2移送温度は第1蒸発温度よりも高い。一部の実施形態では、基板は、第1曝露期間中にブロック12で第1気相前駆体、すなわち、反応物質と接触する。一部の実施形態では、基板は、第1温度よりも高い第3温度で、第1気相前駆体と接触してもよい。
一部の実施形態では、第1前駆体の曝露期間は、約0.01秒から約60秒、約0.05秒から約30秒、約0.1秒から約10秒または約0.2秒から約5秒である。最適曝露期間は、特定の環境に基づき、当業者によって容易に判定し得る。バッチ反応器を使用してもよい、一部の実施形態では、60秒より長い曝露期間を用いてもよい。
一部の実施形態では、基板は、第2曝露期間中にブロック13で第2気相前駆体、すなわち、反応物質と接触する。一部の実施形態では、第2前駆体を第4温度で蒸発させて、第2気相前駆体を形成してもよい。一部の実施形態では、第2反応物質の蒸気が、第2温度でガスラインを通って基板へ移送される。一部の実施形態では、第5移送温度は第1蒸発温度よりも高い。一部の実施形態では、基板は、第4温度よりも高い第6温度で、第2気相前駆体と接触してもよい。一部の実施形態では、第6温度は、第1気相前駆体が基板に接触する第3温度と、実質的に同じであってもよい。
一部の実施形態では、第2前駆体の曝露期間は、約0.01秒から約60秒、約0.05秒から約30秒、約0.1秒から約10秒または約0.2秒から約5秒である。最適曝露期間は、特定の環境に基づき、当業者によって容易に判定し得る。一部の実施形態では、バッチ反応器を使用してもよい場合、60秒より長い曝露期間を用いてもよい。
ブロック14では、第2表面に対して、第1表面上に有機層を選択的に堆積させる。当業者は、有機層の選択的堆積が、別個の作用よりむしろ、上記の接触作用12~13の結果であることを理解するであろう。一部の実施形態では、上記の接触作用であるブロック12~13を、1回の堆積サイクルとみなしてもよい。そのような選択的堆積サイクルは、充分な厚さの層を基板上に残したまま(ブロック15)、堆積を終える(ブロック16)まで繰り返され得る。選択的堆積サイクルは、追加行為を含むことができ、各繰り返しにおいて同じ順序である必要も、完全に同じように実施される必要もなく、容易により複雑な蒸着技術に拡張し得る。例えば、選択的堆積サイクルは、各サイクルまたは選択されたサイクルに、追加の反応物質の(基板に対する)供給および除去など、追加の反応物質の供給プロセスを含み得る。図示しないが、加えて、プロセスは堆積した層を処理して、ポリマーを形成すること(例えば、紫外線処理、アニーリングなど)を含んでもよい。選択的に形成された有機層は、上で述べた通り、パッシベーション層として機能を果たし、その上への堆積を阻害して、対象の層の続く選択的堆積の選択性を増大させ得る
図4Bを参照すると、図4Aの蒸着プロセスは、一部の実施形態で原子層堆積プロセスを含んでもよい。ブロック21で、第1表面および第2表面を備える基板が提供される。第1および第2表面は、異なる材料特性を有してもよい。一部の実施形態では、第1表面は、例えば、金属表面または金属製表面である導電性表面であってもよく、第2表面は誘電体表面であってもよい(例えば、図1A~1Dを参照)。一部の実施形態では、第1表面は誘電体表面であってもよく、第2表面は異なる第2誘電体表面であってもよい。一部の実施形態では、第1表面は、例えば、酸化シリコン系材料である誘電体表面であってもよく、第2表面はSAMなどのパッシベーション遮断材料であってもよい(例えば、図2A~3Bを参照)。
有機パッシベーション層の選択的蒸着用の逐次堆積方法が含む、一部の実施形態では、第1有機前駆体を蒸発させることは、第1温度において、ブロック22で第1前駆体蒸気を形成することである。一部の実施形態では、第1前駆体蒸気が、第2温度でガスラインを通って基板へ移送される。一部の実施形態では、第2移送温度は第1蒸発温度よりも高い。一部の実施形態では、ブロック23の第1曝露期間中に、基板は気相の第1前駆体と接触する。一部の実施形態では、第1前駆体またはその種は、自己飽和または自己抑制する形で、基板上で化学的に吸着する。ガスラインは、第1前駆体蒸気を発生源から基板へ移送する、いかなる導管であり得る。一部の実施形態では、基板を、第1温度よりも高い第3温度で、第1前駆体蒸気に曝露してもよい。
一部の実施形態では、第1前駆体の曝露期間は、約0.01秒から約60秒、約0.05秒から約30秒、約0.1秒から約10秒または約0.2秒から約5秒である。最適曝露期間は、特定の環境に基づき、当業者によって容易に判定し得る。一部の実施形態では、バッチ反応器を使用してもよい場合、60秒より長い曝露期間を用いてもよい。
その後ブロック24で、過度の第1前駆体蒸気(およびいかなる揮発反応副産物)を、基板との接触から排除してもよい。そのような除去は、例えば、パージ、ポンプダウン、基板が第1反応物質に曝露されているチャンバもしくは区域から離すように基板を移動させること、またはそれらの組み合わせによって達成し得る。一部の実施形態では、第1前駆体の除去期間、例えば、パージ期間は、約0.01秒から約60秒、約0.05秒から約30秒、約0.1秒から約10秒または約0.2秒から約5秒である。最適除去期間は、特定の環境に基づき、当業者によって容易に判定し得る。一部の実施形態では、バッチ反応器を使用してもよい場合、60秒より長い除去期間を用いてもよい。
一部の実施形態では、ブロック25で、第2前駆体を第4温度で蒸発させて、第2気相前駆体を形成してもよい。一部の実施形態では、第2反応物質の蒸気が、第2温度でガスラインを通って基板へ移送される。一部の実施形態では、第5移送温度は第1蒸発温度よりも高い。一部の実施形態では、基板は、第4温度よりも高い第6温度で、第2気相前駆体と接触してもよい。一部の実施形態では、第6温度は、第1気相前駆体が基板に接触する第3温度と、実質的に同じであってもよい。一部の実施形態では、ブロック26の第2曝露期間中に、基板を第2前駆体蒸気に曝露してもよい。一部の実施形態では、第2反応物質は、基板上で第1反応物質の吸着種と反応してもよい。
一部の実施形態では、第1前駆体の曝露期間は、約0.01秒から約60秒、約0.05秒から約30秒、約0.1秒から約10秒または約0.2秒から約5秒である。最適曝露期間は、特定の環境に基づき、当業者によって容易に判定し得る。一部の実施形態では、バッチ反応器を使用してもよい場合、60秒より長い曝露期間を用いてもよい。
一部の実施形態では、過度の第2前駆体蒸気(およびいかなる揮発反応副産物)は、第1反応物質の蒸気および第2反応物質の蒸気が混合しないように、ブロック27で基板との接触から排除される。一部の実施形態では、有機層の蒸着プロセスは、プラズマおよび/またはラジカルを用いず、熱蒸着プロセスとみなされ得る。一部の実施形態では、第2前駆体の除去期間、例えば、パージ期間は、約0.01秒から約60秒、約0.05秒から約30秒、約0.1秒から約10秒または約0.2秒から約5秒である。最適除去期間は、特定の環境に基づき、当業者によって容易に判定し得る。一部の実施形態では、バッチ反応器を使用してもよい場合、60秒より長い除去期間を用いてもよい。
ブロック28では、第2表面に対して、第1表面上に有機層を選択的に堆積させる。当業者は、有機層の選択的堆積が、別個の作用よりむしろ、上記の接触作用の結果であることを理解するであろう。一部の実施形態では、上記の接触および除去(ならびに/または供給中止)作用であるブロック23~27を、1回の堆積サイクルとみなしてもよい。一部の実施形態では、所望の厚さの有機層が選択的に堆積するまで、堆積サイクルを繰り返してもよい。そのような選択的堆積サイクルが、充分な厚さの層を基板上に残したまま、堆積を終える(ブロック30)まで繰り返され得る(ブロック29)。選択的堆積サイクルは、追加行為を含むことができ、各繰り返しにおいて同じ順序である必要も、完全に同じように実施される必要もなく、容易により複雑な蒸着技術に拡張し得る。例えば、選択的堆積サイクルは、各サイクルまたは選択されたサイクルに、追加の反応物質の供給および除去など、追加の反応物質の供給プロセスを含み得る。図示しないが、加えて、プロセスは堆積した層を処理して、ポリマーを形成すること(例えば、紫外線処理、アニーリングなど)を含んでもよい。
上記のプロセスに対して、様々な反応物質を使用し得る。例えば、一部の実施形態では、第1前駆体または反応物質は、ジアミン、例えば、1,6-ジアミノヘキサン(DAH)、または2個の反応基を伴ういかなる他のモノマーなど、有機反応物質である。一部の実施形態では、第2反応物質または前駆体もまた、堆積状況下で、第1反応物質の吸着種と反応できる有機反応物質である。例えば、第2反応物質は、フラン-2,5-ジオン(無水マレイン酸)などの無水物であり得る。無水物は、二無水物、例えば、ピロメリト酸二無水物(PMDA)、または第1反応物質と反応するであろう2個の反応基を伴う、いかなる他のモノマーを備え得る。
一部の実施形態では、基板は、第2前駆体と接触する前に、第1前駆体と接触する。それゆえ、一部の実施形態では、基板は、別の前駆体と接触する前に、ジアミン、例えば、1,6-ジアミノヘキサン(DAH)などのアミンと接触する。しかしながら、一部の実施形態では、基板は、第1前駆体と接触する前に、第2前駆体と接触してもよい。それゆえ、一部の実施形態では、基板は、別の前駆体と接触する前に、フラン-2,5-ジオン(無水マレイン酸)などの無水物、または、より具体的には、二無水物、例えば、ピロメリト酸二無水物(PMDA)と接触する。
一部の実施形態では、異なる反応物質を使用して、層の特性を調整し得る。例えば、1,6-ジアミノヘキサンの代わりに、4,4’-オキシジアニリンまたは1,4-ジアミノベンゼンを使用してポリイミド層を堆積させ、芳香族性がより高くドライエッチング耐性が増加した、より強固な構造を入手し得る。
一部の実施形態では、反応物質は金属原子を含有しない。一部の実施形態では、反応物質は半金属原子を含有しない。一部の実施形態では、反応物質のうちの一つは、金属原子または半金属原子を備える。一部の実施形態では、反応物質は、炭素および水素、ならびに以下の元素N、O、S、PまたはClもしくはFなどのハロゲン化物のうちの一つ以上を含有する。一部の実施形態では、第1反応物質は、例えば、アジポイルクロリド(AC)を備えてもよい。
堆積条件は、選択した反応物質によって異なることができ、選択により最適化され得る。一部の実施形態では、反応温度は、約80℃から約250℃の範囲より選択し得る。一部の実施形態では、反応チャンバ圧力は、約1mTorrから約1000Torrであってもよい。一部の実施形態では、例えば、選択的に堆積した有機層が、ポリアミドを備える場合、反応温度は、約80℃から約150℃の範囲より選択され得る。選択的に堆積した有機層がポリアミドを備える、一部の実施形態では、反応温度は、約80℃、90℃、100℃、110℃、120℃、130℃、140℃または150℃より高くてもよい。選択的に堆積した有機層がポリイミドを備える、一部の実施形態では、反応温度は、約160℃、180℃、190℃、200℃または210℃より高くてもよい。
例えば、単一のウエハ堆積ツールでPMDAおよびDAHを使用する、ポリイミドの逐次堆積の場合、基板温度は、約150℃から約250℃または約170℃から約210℃の範囲より選択することができ、圧力は、約1mTorrから約760Torr、より具体的には、約100mTorrから約100Torrの間の範囲より選択し得る。
一部の実施形態では、本明細書に記載する選択的堆積プロセスで使用する反応物質は、以下の一般式を有してもよい。
(1) R(NH
式中、Rは、1~5個の炭素原子、2~5個の炭素原子、2~4個の炭素原子、5個以下の炭素原子、4個以下の炭素原子、3個以下の炭素原子または2個の炭素原子を備える脂肪族炭素鎖であってもよい。一部の実施形態では、反応物質または前駆体における炭素原子間の結合は、単結合、二重結合、三重結合またはそれらのある組み合わせであってもよい。それゆえ、一部の実施形態では、反応物質は2個のアミノ基を備えてもよい。一部の実施形態では、反応物質のアミノ基は、脂肪族炭素鎖上で一方または両方の末端位置を占有してもよい。しかしながら、一部の実施形態では、反応物質のアミノ基は、脂肪族炭素鎖上でどちらの末端位置も専有しなくてよい。一部の実施形態では、反応物質はジアミンを備える。一部の実施形態では、反応物質は、1,2-ジアミノエタン(ジアミノエタネル(diaminoethanel))、1,3-ジアミノプロパン(ジアミノプロパネル(diaminopropanel))、1,4-ジアミノブタン(ジアミノブタネル(diaminobutanel))、1,5-ジアミノペンタン(ジアミノペンタネル(diaminopentanel))、1,2-ジアミノプロパン(ジアミノプロパネル(diaminopropanel))、2,3-ブタンジアミン、2,2-ジメチル-1,3-プロパンジアミン(プロパンジアミネル(propanediaminel))の群より選択される有機前駆体を備えてもよい。
一部の実施形態では、本明細書に記載する選択的堆積プロセスで使用する反応物質は、以下の一般式を有してもよい。
(2) R(COCl)
式中、Rは、1~3個の炭素原子、2~3個の炭素原子または3個以下の炭素原子を備える、脂肪族炭素鎖であってもよい。一部の実施形態では、反応物質または前駆体における炭素原子間の結合は、単結合、二重結合、三重結合またはそれらのある組み合わせであってもよい。一部の実施形態では、反応物質は塩化物を備えてもよい。一部の実施形態では、反応物質はジアシルクロリドを備えてもよい。一部の実施形態では、反応物質は、オキサリルクロリド(I)、マロニルクロリドおよびフマリルクロリドの群より選択される、有機前駆体を備えてもよい。
一部の実施形態では、反応物質は、1,4-ジイソシアナトブタンまたは1,4-ジイソシアナトベンゼンの群より選択される、有機前駆体を備える。一部の実施形態では、反応物質は、テレフタロイルジクロリド、ヘキサンジオイルジクロリド、オクタンジオイルジクロリド、ノナンジオイルジクロリド、デカンジオイルジクロリドまたはテレフタロイルジクロリドなどのアルキルジオイルジクロリドの群より選択される、有機前駆体を備える。一部の実施形態では、反応物質は、1,4-ジイソチオシアナトベンゼンまたはテレフタルアルデヒドの群より選択される、有機前駆体を備える。一部の実施形態では、蒸発している反応物質は、1,4-ジアミノベンゼン、デカン-1,10-ジアミン、4-ニトロベンゼン-1,3-ジアミン、4,4’-オキシジアニリンまたはエチレンジアミンなどのジアミンであり得る。一部の実施形態では、反応物質は、テレフタル酸ビス(2-ヒドロキシエチル)エステルであり得る。一部の実施形態では、反応物質は、カルボン酸、例えば、エタン二酸、プロパン二酸、ブタン二酸、ペンタン二酸またはプロパン-1,2,3-トリカルボン酸など、アルキル-、アルケニル-、アルカジエニル-ジカルボン酸またはトリカルボン酸であり得る。一部の実施形態では、反応物質は、安息香酸、ベンゼン-1,2-ジカルボン酸、ベンゼン-1,4-ジカルボン酸またはベンゼン-1,3-ジカルボン酸など、芳香族カルボン酸またはジカルボン酸であり得る。一部の実施形態では、反応物質は、炭化水素に結合する、1個以上のOH-基を備えてもよい。一部の実施形態では、反応物質は、4-アミノフェノール、ベンゼン-1,4-ジオールまたはベンゼン-1,3,5-トリオールなど、ジオール、トリオール、アミノフェノールの群より選択され得る。一部の実施形態では、反応物質は8-キノリノールであり得る。一部の実施形態では、反応物質は、7-オクテニルトリクロロシランなど、アルケニルトリクロロシランのようなアルケニルクロロシランを備え得る。
一部の実施形態では、反応物質は、約20℃の温度または室温で、約0.5Torr、0.1Torr、0.2Torr、0.5Torr、1Torrより大きい、またはそれら以上の蒸気圧を有してもよい。一部の実施形態では、反応物質は、約400℃未満、300℃未満、約250℃未満、約200℃未満、約175℃未満、約150℃未満または約100℃未満の沸点を有してもよい。
実施例1:パッシベーション層の選択的堆積
本明細書に記載する選択的堆積プロセスに従って、試料のポリイミド薄層を、いくつかの基板上に堆積させた。タングステン(W)特徴部と酸化シリコン表面とが交互になっている、200mmのシリコンウエハを基板として使用した。タングステン特徴部の幅は250nmで、ピッチはほぼ600nmである。ポリイミド堆積プロセスを、PRIクラスタツールと接続したPulsar 3000(登録商標)クロスフローALD反応器で実施した。
試料のポリイミド層の第1バッチを、DAHを第1気相反応物質およびPMDAを第2気相反応物質として使用して、本明細書に記載するプロセスに従って堆積させた。第1反応物質DAHを、流量450sccmを有するNキャリアガスによって、45℃で供給した。DAHのパルス時間は5秒、DAHの純時間は4秒であった。第2反応物質PMDAを、流量450sccmを有するNキャリアガスによって、180℃で反応チャンバに供給した。PMDAのパルス時間は11秒、PMDAのパージ時間は4秒であった。反応温度または基板温度は160℃であった。25から100回の間の堆積サイクルを使用して、ポリイミド層を堆積させた。
試料のポリイミド層の第2バッチを、温度190℃の反応温度を有する以外、第1バッチと実質的に類似する条件を使用して、本明細書に記載するプロセスに従い堆積させた。250から1000回の間の堆積サイクルを使用して、ポリイミド層を堆積させた。
走査型透過電子顕微鏡法を使用して、ポリイミド層の試料の厚さを測定した。ポリイミド層の第1バッチは、約4~6Å/サイクルの成長速度で、25回の堆積サイクルを有するプロセス中に5nmから、100回の堆積サイクルを有するプロセス中に40nmまでの厚さを有することがわかった。基板のW表面上に堆積したポリイミドの量は、酸化シリコン表面上に堆積したポリイミドの量と実質的に同じであった。その結果、このレシピに対する160℃の反応温度での堆積は、選択的ではなかった。
ポリイミド層の第2バッチは、W表面上に、250サイクルを有するプロセス中に約7nmから、1000サイクルを有するプロセス中に約28nmまでに及ぶ厚さを有することがわかった。酸化シリコン表面上のポリイミド層の厚さは、250サイクルを有するプロセス中に約4nmから、1000サイクルを有するプロセス中に約6nmまでに及んだ。その結果、ポリイミドの堆積は、190℃の反応温度では選択的であった。W表面状での成長速度は約0.2~1Å/サイクルであった。
実施例2:パッシベーション層の選択的堆積
DAHを第1気相反応物質として、PMDAを第2気相反応物質として使用して、本明細書に記載するプロセスに従い、試料のポリイミド層を、タングステン(W)特徴部と酸化シリコン表面とを交互にパターニングした、200mmのシリコンウエハ上に選択的に堆積させた。第1反応物質DAHを、流量450sccmを有するNキャリアガスによって、45℃で供給した。DAHのパルス時間は5秒、DAHの純時間は4秒であった。第2反応物質PMDAを、流量450sccmを有するNキャリアガスによって、180℃で反応チャンバに供給した。PMDAのパルス時間は11秒、PMDAのパージ時間は4秒であった。反応温度は190℃であった。試料のポリイミド層を、1000回の堆積サイクルを使用して堆積させた。ポリイミドを約30nmの層厚で、W表面上に堆積させた。約4nmの実質的により少ない量のポリイミドを、酸化シリコン表面上に堆積させた。
その後、試料のポリイミド層を、40秒間、300℃の温度で、100Wを使用して生成したHプラズマでエッチングした。Hガスの流量は100sccmであった。酸化シリコン表面からポリイミドを完全に除去し、一方、W表面上には約9nmの厚さを有するポリイミド層が残った。
有機表面に対する対象の層の選択的堆積
援用した2016年5月5日出願の米国仮特許出願第62/332,396号に記載されている通り、本明細書に開示するパッシベーション層などの有機材料に対する、金属製材料、特に、金属酸化物の選択的堆積は、疎水性反応物質を用いて促進し得る。第1表面上にパッシベーション層を選択的に形成した後、一部の実施形態では、金属酸化物の金属を備える第1疎水性反応物質、および酸素を備える第2反応物質と基板を、交互かつ順次接触させることによって、金属酸化物を第2表面上に選択的に堆積させる。一部の実施形態では、第2反応物質は水である。一部の実施形態では、非有機層を第2表面上またはその上方に選択的に堆積させる(例えば、図1A~3Bを参照)以外は、図4Aの順序と同様に、第1および第2反応物質と基板を順次接触させる。
疎水性反応物質は、一つ以上の疎水性リガンドを備える。一部の実施形態では、疎水性反応物質は、二つから四つの疎水性リガンドを備える。原子価/酸化状態nの金属を備える疎水性反応物質の場合、一部の実施形態では、疎水性前駆体はn-1またはn-2個の疎水性リガンドを備える。
一部の実施形態では、少なくとも一つの疎水性リガンドはCおよびHのみを備える。一部の実施形態では、少なくとも一つの疎水性リガンドは、C、HおよびSiまたはGeを備えるが、追加の元素は備えていない。
一部の実施形態では、炭化水素リガンドは以下のうちの一つ以上を備える。
・ C1~C10の炭化水素(単結合、二重結合または三重結合)
o アルキル
・ C1~C5のアルキル
・ Me、Et、Pr、Pr、Bu、Bu
o アルケニル
・ C1~C6のアルケニル
o 環状炭化水素
・ C3~C8
・ シクロペンタジエニル
・ シクロヘプタジエニル
・ シクロヘプタトリエニル
・ シクロヘキシル
・ それらの誘導体
o 芳香族
・ C6の芳香環およびそれらの誘導体
一部の実施形態では、疎水性反応物質は親水性リガンドを備えていない。しかしながら、一部の実施形態では、疎水性反応物質は、一つまたは二つの親水性リガンドを備えてもよい。一部の実施形態では、親水性リガンドは、窒素、酸素および/またはハロゲン基を備える。
一部の実施形態では、親水性リガンドはアルキルアミン(各Rはアルキル、水素であり得る、-NR)である。一部の実施形態では、親水性リガンドは、-NMe、-NEtMeまたは-NEtであり得る。
一部の実施形態では、親水性リガンドは、例えば、-OMe、-OEt、-OPr、-OBuといったアルコキシドである。
一部の実施形態では、親水性リガンドは、塩化物、フッ化物または他のハロゲン化物などのハロゲン化物を備える。
一部の実施形態では、疎水性前駆体は以下の式を備える。
o LMX、式中、
・ 一部の実施形態では、nは1~6であり、
・ 一部の実施形態では、nは1~4または3~4である。
・ 一部の実施形態では、yは0~2であり、
・ 一部の実施形態では、yは0~1である。
・ Lは疎水性リガンドであり、
・ 一部の実施形態では、LはCpまたはC1~C4のアルキルリガンドである。
・ Xは親水性リガンドであり、
・ 一部の実施形態では、Xはアルキルアミン、アルコキシドまたはハロゲン化物リガンドである。
・ Mは金属であり(群の13元素、B、Gaを含む)、
・ 一部の実施形態では、Mは+Iから最大+VIの酸化状態を有する。
o 一部の実施形態では、Mは+IVから+Vの酸化状態を有する。
・ 一部の実施形態では、Mは遷移金属であり得る。
o 一部の実施形態では、MはTi、Ta、Nb、W、Mo、Hf、Zr、VまたはCrである。
・ 一部の実施形態では、MはHf、Zr、TaまたはNbである。
・ 一部の実施形態では、MはZrである。
o 一部の実施形態では、MはCo、Fe、Ni、CuまたはZnである。
o 一部の実施形態では、金属はWでもMoでもない。
・ 一部の実施形態では、Mは希土類金属であり得る。
o 一部の実施形態では、MはLa、CeまたはYである。
・ 一部の実施形態では、Mは2~13の群からの金属であり得る。
o 一部の実施形態では、MはBa、Sr、Mg、CaまたはScである。
・ 一部の実施形態では、Mは貴金属ではない。
より大まかには、一部の実施形態では、選択的ALDプロセスには金属前駆体を用いる。一部の実施形態では、金属前駆体の金属は、Al、Ti、Ta、Nb、W、Mo、Hf、Zr、V、Cr、Co、Fe、Ni、Cu、Zn、La、Ce、Y、Ba、Sr、Mg、CaもしくはSc、またはそれらの混合物を備える群より選択されてもよい。一部の実施形態では、金属はAlであってもよい。
一部の実施形態では、疎水性反応物質は、ビス(メチルシクロペンタジエニル)メトキシメチルジルコニウム(IV)((CpMe)-Zr-(OMe)Me)である。
一部の実施形態では、疎水性反応物質は、ビス(メチルシクロペンタジエニル)メトキシメチルハフニウム(IV)((CpMe)-Hf-(OMe)Me)である。
他の実施形態では、選択的ALDプロセスにAl前駆体を用いる。Al前駆体の例は、トリメチルアルミニウム(TMA)、三塩化アルミニウム(AlCl)およびトリエチルアルミニウム(TEA)を含む。
一部の実施形態では、第2反応物質は、選択的に堆積する材料に、一つ以上の元素を提供する。例えば、第2反応物質は、金属酸化物を堆積させるように使用される酸素前駆体、または金属窒化物を堆積させるように使用される窒素前駆体であり得る。
一部の実施形態では、第2反応物質は酸素前駆体を備える。
一部の実施形態では、第2反応物質はHOを備える。
一部の実施形態では、第2反応物質はOを備える。
一部の実施形態では、第2反応物質はHを備える。
一部の実施形態では、第2反応物質は、酸素プラズマ、酸素イオン、酸素ラジカル、原子のOまたは酸素の励起種を備える。
一部の実施形態では、第2反応物質は窒素前駆体を備える。
一部の実施形態では、第2反応物質はNHを備える。
一部の実施形態では、第2反応物質はNを備える。
一部の実施形態では、第2反応物質は、プラズマ、イオン、ラジカル、原子のNまたはNを備える励起種を含有する窒素を備える。一部の実施形態では、窒素反応物質は、対応する水素種との混合物を備え得る。
一部の実施形態では、NまたはO以外の元素を堆積する材料に提供する、他の反応物質を利用し得る。これらの反応物質を、NまたはOの第2反応物質に加えて使用してもよく、またはそれら自体が第2反応物質として機能を果たしてもよい。例えば、硫化物を堆積させるために硫黄反応物質を使用してもよい、一部の実施形態では、炭素を堆積させるように炭素反応物質を使用してもよく、またはケイ化物を堆積させるようにシリコン反応物質を使用してもよい。
一部の実施形態では、元素金属膜など、金属膜または金属製膜の堆積に役立つ、第2(または追加の)反応物質を使用してもよい。例えば、一部の実施形態では、水素反応物質を使用してもよい。
あるいは、図2Dに関して記載する通り、対象の金属製導電膜を、有機パッシベーション層に対して、第2表面、特に金属製表面上に選択的に堆積させ得る。例えば、全体の開示が、あらゆる目的のために参照により本明細書に援用される、2015年2月17日発行の米国特許第8,956,971号、および2015年8月18日発行の米国特許第9,112,003号は、有機材料を含む非金属製表面に対して、金属製表面上に金属製材料を選択的に堆積させるプロセスを教授する。図3Aに関して上に述べた通り、さらなる誘電層、特に金属酸化物材料は、有機パッシベーション層の除去前に、選択的に形成された金属製材料層の上に選択的に形成され得る。
実施例:選択的な金属酸化物の堆積
図5~7は、有機パッシベーション層に対して、無機誘電体上に金属酸化物を選択的に堆積させ得ることを説明する。実験では、無機パッシベーション層は、上記の通り選択的に形成され得る、堆積したポリマー、特にポリイミドを備える。
様々な基板上および様々な反応条件下での、ALDによるZrOの堆積を、Pulsar(登録商標)2000反応器の中で実行した。ビス(メチルシクロペンタジエニル)メトキシメチルジルコニウム(IV)((CpMe)-Zr-(OMe)Me)およびHOを、ZrO膜を堆積させるALDプロセスで使用した。SAM層(トリクロロ(オクタデシル)シラン)を伴う表面、またはポリイミド表面を備える基板上に、ZrOの堆積は観察されなかった。図4~5を参照のこと。
図5で、ZrOは自然酸化物(酸化シリコン)上では成長するが、ポリイミド上では有意に成長しないことを示すことがわかり得る。ZrOを自然酸化物(SiO)表面上にほぼ25nm堆積させた後でさえ、C-NHのような親水性表面基が、ポリイミド表面上に存在するにもかかわらず、ポリイミド表面上に有意なZrOはなかった。
自然酸化物表面、ポリイミド表面、ならびにHプラズマにより損傷したポリイミド表面およびOプラズマにより損傷したポリイミド表面上での、100~760回のZrOサイクル後のポリイミド試料を、XPSで分析した。300℃でALD順序において、ビス(メチルシクロペンタジエニル)メトキシメチルジルコニウム(IV)((CpMe)-Zr-(OMe)Me)は、水(HO)と交互であった。760サイクルの後でも、ポリイミド表面上には、ごく少量のZrまたはZrOが検出されたのみであった。Hプラズマによる損傷表面はまた、ZrOの成長も阻害したが、O-プラズマは、自然酸化物上の堆積より少なくはあるが、有意な堆積を可能にするのに充分なポリイミドを損傷させた。
ビス(メチルシクロペンタジエニル)メトキシメチルハフニウム(IV)((CpMe)-Hf-(OMe)Me)と水(HO)とが交互である、ALD順序で堆積されるHfOは、2つの異なるタイプの周期的堆積順序(PMDAが最後およびDAHが最後)で堆積されるポリイミドに対して、自然酸化物上で同様に高い選択性を呈する。750サイクルのHfO堆積の後も、どちらの周期的堆積順序によるポリイミド表面上にもHfOは検出されず、一方、自然酸化物は、より少ないサイクル後でも測定可能な堆積を示した。
XPSデータもまた、水(HO)とビス(メチルシクロペンタジエニル)メトキシメチルハフニウム(IV)((CpMe)-Hf-(OMe)Me)とが交互である、150~750回のALDサイクル後に、ポリイミド上に無視できるほどのHfを検出した。
図6は、酸化チタン(TiO)膜が、容易に極低温で自然酸化物上に成長し、実際、高温と比べて低温でより速く成長することを示す。TiClと水とが交互であるALD順序を使用して、膜を堆積させた。
対照的に、図7は、ポリイミド表面上にTiOを堆積させる同じALD順序が、より低温でより速い成長速度への類似の傾向を呈しながら、より低温でも、プロセスがポリイミドに対して自然酸化物上で比較的選択的であるような、いずれか所与の温度で、有意により遅い堆積速度を実証したことを示す。さらに、250℃以上の温度では、堆積が完全に選択的であるような、無視できるほどの堆積がポリイミド上に見つかった。
要約すると、実験からのデータは、以下の様々な温度条件下において、ポリイミドに対する、自然酸化物上への金属酸化物のALDの高い選択性を示した。
・ ビス(メチルシクロペンタジエニル)メトキシメチルジルコニウム(IV)と水(HO)とを交互に堆積したZrOであって、275~325℃の温度で、ポリイミドに対する選択性を、自然酸化物の上方の25nm超に維持
・ TiClと水とを交互に堆積したTiOであって、ポリイミドに対する選択性を、250℃で約100サイクル、および300℃で100サイクルよりさらに多いサイクルに維持
・ ビス(メチルシクロペンタジエニル)メトキシメチルハフニウム(IV)と水(HO)とを交互に堆積したものから堆積したHfOであって、280℃の温度で、ポリイミドに対する選択性を、自然酸化物の上方の25nm超に維持
当業者は、前述が選択性を実証した非限定な条件を表し、テストされない様々な他の条件下で、選択性を維持する場合があることを理解するであろう。しかしながら、50~230℃の温度でTMAと水とを交互にすることによって堆積される酸化アルミニウム、およびオゾン(O)と互い違いにビス(メチルシクロペンタジエニル)メトキシメチルジルコニウム(IV)を交互にすることで作られるZrOは、ポリイミドに対して、自然酸化物上で良好な選択性を実証しなかった。
パッシベーション遮断層
上で述べた通り、自己組織化単分子層(SAM)は、有機パッシベーション層の堆積を阻害する働きをし、それゆえ、他の表面上への有機パッシベーション層の選択的堆積を促進し得る。それゆえ、用語「遮断」は単に標識であり、100%非活性化の有機パッシベーション層の堆積を必ずしも暗示していない。本明細書の他の部分で述べる通り、不完全な選択性でも、エッチバックプロセス後に、完全に選択的な構造を得るのに充分であり得る。
一実施形態では、パッシベーション遮断層を第2表面上に形成して、硫黄を含有するSAMを備えるための堆積を阻害する。一実施形態では、第2表面は金属製表面である。一実施形態では、金属製表面は、SAM形成前に酸処理によって前処理される。
実験は、小型の研究開発ツール(F-120(登録商標)反応器)の中で、硫黄含有SAMの気相堆積に関して行った。実験では電気化学的に堆積した銅を備える、金属製表面が露出した基板を、様々な実験で水性ギ酸3.5%および水性HCl3.5%を使用して、液体酸の前処理に30秒、または10秒のパルスに10回、ギャップ期のギ酸に曝露した。硫黄含有モノマー、すなわち、チオールSAM前駆体またはモノマーと称され得る、1-ドデカナンチオール(dodecananethiol)(CH(CH11SH)を、様々な曝露時間で、75℃から150℃に及ぶ異なる温度で基板に提供した。各5sの気相接触期と除去期とを交互にすることによって、曝露を行った。例えば、15分の曝露は、5秒のパージと交互に5秒のパルスを180回の形態で提供した。
図8は、75℃での曝露時間の効果を示す。気相硫黄含有モノマーに15分以上曝露した後に測定した水接触角は100°より大きく、効果的なSAM層の形成を示した。滑らかな銅表面上で、-CH表面基を伴うSAM上の水接触角は約110°であるのに対し、でこぼこの銅表面上では水接触角がさらにより大きい。
銅の上に硫黄含有SAMを伴う試料のFTIR分析は、モノマー源容器を55℃にまで加熱し、75~150℃に及ぶ堆積温度で、上記の通りの蒸着によってSAMが形成されたことを示す。XPS分析が、銅表面上に5~6原子パーセントの硫黄を示すという事実にもかかわらず、FTIR分析は、-CH表面基の存在を示したが、S-C表面基の存在を示さなかった。したがって、大きい水接触角が示す通り、モノマーは、硫黄含有基を銅に合わせ、疎水性炭化水素表面基を与える。
図9~10は、HCl液の前処理(112°、図9)およびHCOOH気相の前処理(117°、図10)の両方で形成されたSAM上に、大きい水接触角が生じることを実証している。
パッシベーション遮断層に対する選択的なパッシベーション層の堆積
図11~15は、パッシベーション遮断層に対する、誘電材料上での有機パッシベーション層の選択的形成を、パッシベーション遮断層により促進し得ることを説明する。
図11は、銅表面および硫黄含有SAM表面上に、上記のプロセスを用いて、ポリマー層、特にポリイミドを堆積させる実験の結果を示す。20サイクル中に160℃でポリイミド層を堆積させ、そのプロセスにより、自然酸化物の上に約4.4nmのポリイミドを堆積させた。図示する通り、パッシベーション遮断SAMを、ポリイミド堆積プロセスに曝露したとき、水接触角はほとんど変化しなかった一方で、裸の銅表面をポリイミド堆積プロセスに曝露したとき、水接触角は増大した。
図12は、SAM表面をポリイミド堆積プロセスに曝露し、裸の銅表面をポリイミド堆積プロセスに曝露し、自然酸化物表面をポリイミドプロセスに曝露した、SAM表面のXPS分析の結果を示す。ポリイミド堆積プロセスへの曝露の後、SAM表面上で検出される硫黄の量は変化しなかった。SAM表面には、検出可能な量の窒素はなく、ポリイミド堆積プロセスへの曝露の後も、窒素はほとんどなかった(0.6原子パーセント)。対照的に、裸の銅表面および自然酸化物表面は両方とも、有意な窒素含有量(おおよそ10原子パーセント)を示した。図11および12の両方は、硫黄含有SAMにより、その上への有機パッシベーション層の堆積を阻害することを実証している。
図13は、本明細書に記載する通りの、様々なサイクル数の有機パッシベーション層堆積に、様々な表面を曝露した後の、表面のXPS分析を示す。図13の実験では、様々なサイクルのポリイミドを190℃で処理した。パッシベーション遮断層は、F-120(登録商標)反応器の中で、気相モノマーから堆積された硫黄含有SAMであった。XPS分析は、SAMによりその上へのポリイミドの成長が阻害される一方、ポリイミドが裸の銅および自然酸化物上では成長することを示す。加えて、SAMの水接触角は、ポリイミド堆積プロセスへの曝露前が120°、100サイクルのポリイミド堆積プロセスへの曝露後が100°、250サイクルのポリイミド堆積プロセスへの曝露後が95°であった。
図14および15は、硫黄含有SAMを、誘電体表面に対して金属製表面上に選択的に形成し得ることを示す。特に、硫黄含有気相モノマーへの銅の曝露後、表面上の水接触角はおおよそ117°であった。対照的に、自然酸化物表面の上では水接触角は、小さい(おおよそ26°)ままであり変化はなかった。
堆積機器
本明細書に記載する選択的堆積プロセスで使用してもよい、好適な反応器の例は、アリゾナ州フェニックスのASM America, Inc.、およびオランダ、アルメレのASM Europe B.V.より入手可能な、F-120(登録商標)反応器、Pulsar(登録商標)反応器、例を挙げると、Pulsar 3000(登録商標)またはPulsar 2000(登録商標)、およびAdvance(登録商標)400 Series反応器などの市販のALD機器を含む。これらのALD反応器に加えて、CVD反応器、VDP反応器およびMLD反応器を含む、有機パッシベーション層の成長を可能にする多くの他の種類の反応器を用い得る。
図1A~1Dに関して本明細書に記載する、誘電堆積上の選択的誘電体は、以下の最大五つのプロセスで成り遂げ得る。(1)前処理、(2)第1表面上への選択的有機パッシベーション層の堆積、(3)「クリーンアップ」エッチングとも称される、第2表面の上からのいかなる有機材料の部分的エッチバック、(4)第2表面上への選択的誘電堆積、および(5)第1表面の上からの有機パッシベーション層の除去。
一実施形態では、順序の手段は、(2)選択的有機パッシベーション層の堆積と、(3)部分的エッチバックとを一つのチャンバの中で組み合わせて、クラスタチャンバを使用し、(4)第2表面上への選択的誘電堆積を行うことによって最小化し得る。前処理は、別のプラットフォーム(例えば、ウェットベンチ)上で実施するか、または特定のレシピの調整によって省略するかのどちらかであり得る。有機パッシベーション層の除去を、フォトレジストおよび他の有機材料の除去にしばしば使用されるものなど、別個のアッシングツールの中で、または有機材料の部分的エッチバックに使用される、同じまたは類似の化学的性質を使用する堆積チャンバの中で実施してもよい。それゆえ、堆積段階および介在するエッチバックを、ポリイミド堆積およびエッチバック用に、4個または8個のどちらかの加工ステーションを含む2個の反応器と、選択的誘電堆積用に、4個または8個のどちらかの加工ステーションを含む2個の反応器とを備える、プラットフォームの中で実施し得る。
図16を参照すると、ポリマー堆積および有機材料のエッチバックを原位置で行う装置100が提供されている。装置100は、少なくとも一つの基板120を収容するように構成される、反応空間115を画定する、反応チャンバを含む。装置100はまた、第1反応物質の蒸気を形成するために、第1有機反応物質110を蒸発させるように構成される、第1反応物質容器105を含む。ガスライン130によって、第1反応物質容器105を、内部に基板120を収容し得る反応空間115に流動的に接続する。ガスライン130は、第1反応物質容器105から、反応空間115への吸気マニホールド135に、第1反応物質の蒸気を選択的に移送するように構成される。装置100はまた、第2反応物質145を保持する、第2反応物質容器140も含む。一部の実施形態では、第2反応物質145は自然のまま気体状態であり、他の実施形態では、第2反応物質容器140もまた、自然な液体状態または固体状態から、第2反応物質145を蒸発させるように構成される。第2反応物質容器140は、吸気マニホールド135と選択的に流体連通する。吸気マニホールド135は、シャワーヘッドもしくはクロスフロー構成で、チャンバの幅に渡る共有分配プレナムを含むことができ、または別個の反応物質用の反応空間120への別個の経路を維持し得る。逐次堆積の実施形態に対しては、粒子生成につながり得る、複数の反応物質用の共通流路の表面に沿った反応を避けるために、反応空間115への挿入まで、反応物質の吸気路を別個のままに維持するのが望ましい場合がある。一部の実施形態では、装置は、追加反応物質を供給するための追加容器を含み得る。
図示する装置100はまた、プラズマ源147も含む。反応空間115に付着するかのように、概略的に図示しているが、当業者は、プラズマ源が、反応空間115の外部にある、遠隔プラズマ源であるかもしれず、または反応空間115内で直流プラズマを生成する(例えば、容量結合された)ための原位置プラズマ生成器であってもよいことを理解するであろう。あるいは/または/加えて、図24~25に関して下に記載する通り、有機材料を除去するためにオゾン生成器を用いてもよい(例えば、有機材料の選択的堆積後の部分的エッチバックのため、有機パッシベーション層の除去のため、および/またはチャンバ洗浄のため)。
一つ以上の追加ガス源150は、第1反応物質容器105、反応空間115およびプラズマ源147と(反応空間115から分離する範囲まで)選択的に流体連通する。ガス源150は、プラズマエッチバック用のパージガスおよびキャリアガス、ならびに他のガス(例えば、Ar/H)として機能を果たし得る、不活性ガスを含み得る。ガス源からの不活性ガスの供給はまた、キャリアガスとして機能を果たすように、図示する通り、第2反応物質容器140およびいかなる他の所望の反応物質容器と選択的に流体連通し得る。
制御システム125は、有機パッシベーション層堆積方法およびエッチバック方法に従い、かつ本明細書に記載する、ガス分配システムのバルブと通信する。制御システム125は、通常、少なくとも一つのプロセッサ、および所望の処理のためにプログラムされたメモリを含む。逐次堆積加工では、基板を反応物質に交互に繰り返し曝露するように、バルブを操作するのに対して、従来のCVDプロセスでの反応物質の同時供給では、同時に基板を相互反応性反応物質に曝露するようにバルブを操作し得る。
反応空間115からの排気口155は、排気ライン160を通って真空ポンプ165と連通する。制御システム125は、真空ポンプ165を操作して、所望の動作圧力を維持し、過度の反応物質の蒸気および副産物を、排気口155を通って排出するように構成される。
制御システム125はまた、装置100の様々な部品において圧力および温度を制御し得る。例えば、制御システムをプログラムして、基板120を実施しているプロセスに好適な温度のまま維持するようにし得る。一実施形態では、制御システム125はまた、第1反応物質容器105の中の第1反応物質110を、温度Aに維持するように構成され、反応空間115の中の基板120を、温度Bに維持するように構成され、温度Bは温度Aよりも低い。実施形態では、制御システム125または別個の温度制御はまた、ガスライン130を温度Cに維持するように構成され、温度Cは温度Aよりも高い。
したがって、装置100は、ポリマー堆積用の上記の反応物質を蒸発させて供給するための固体源容器105、140(例えば、ジアミン用に一容器および二無水物前駆体用に一容器)を含む。プラズマ源147は、Hおよび不活性ガス(例えば、希ガス、特にアルゴン)の源を含むガス源150と連通する。加えて、装置100は、本明細書に記載するポリマー堆積、および水素プラズマエッチバックを行うように、ガスを供給してプラズマ源を操作するようプログラムされる制御システム125を含む。制御システム125は、基板120を反応空間115から除去せずに、ポリマー堆積およびエッチバックを同じ温度で順次行い得るように、好ましくは、180℃から220℃、より具体的には、約190℃から210℃の範囲に基板120を維持する。エッチバックは、1~20秒、特に5~15秒であってもよい。一例として、200℃でAr/Hプラズマを使用する10秒のエッチングによって、最大4.5nmのポリイミド層のエッチングを得ることがわかった。別の例としては、参考図24および25を伴い以下に記載する通り、パッシベーション層の除去およびチャンバ洗浄のための、高濃度Oエッチングプロセスと同様に、パルスオゾン(O)エッチングプロセスをエッチバックプロセスに使用してもよい。当業者が理解するであろう通り、第1表面上への所望のパッシベーション層のオーバーエッチングを最小化する部分的エッチバックのために、加工条件をより遅くかつより制御されたエッチング用に改変してもよい。例えば、パルス持続時間を短くすることができ、または単一パルスで充分であってもよく、O濃度を下げることができ、および/もしくは図24に関して以下に記載するポリマー除去プロセスと比べて、温度を下げ得る。例えば、図24の125℃プロセスは、有機材料を最小化すべき表面からの、いかなる有機材料の部分的エッチバックに役立つほど充分穏やかであってもよい。実際、図25は、エッチング速度がどのように、ポリマーのOエッチング用のエッチング温度に強く依存するのかを実証する。エッチングプロセスは通常非常に短いため、パッシベーション層の選択的堆積を、部分的エッチバックと組み合わせても、単一チャンバの加工時間はそれほど増大しないであろう。
同じ機器およびエッチング液もまた、パッシベーション層の除去に使用し得る。例えば、高濃度Oエッチングプロセスを使用してもよく、高濃度Oエッチングプロセスを、9Torr、1secパルス/1secパージのO、750sccmのO流、およびN希釈液流1.2slmで実施し、図24に見られる通り、125℃でポリイミド層のエッチング最大0.3nm/minを得ることがわかった。一例として、高濃度Oエッチングプロセスを使用してもよく、高濃度Oエッチングプロセスを、9Torr、1secパルス/1secパージのO、750sccmのO流、およびN希釈液流1.2slmで実施し、図24に見られる通り、150℃でポリイミド層のエッチング最大2.4nm/minを得ることがわかった。アレニウスプロットの図25に示す通り、図24に示すグラフによるO/Nを使用して、ポリイミド層のエッチングに対する、最大0.4eVの活性化エネルギーを計算した。
また、反応空間115をきれいなまま維持するために、Ar/HプラズマまたはOエッチングを、チャンバのエッチングとしても使用し得る。一例として、チャンバのエッチングを、O流1.2slm、内部チャンバ圧力約9Torr、O流1slm(すなわち、2.5V)、N流0.020slm(0.5V)およびO濃度設定点250g/Nm(電力は最大値の約24%)で約48時間O/Nに曝露し、ASM Pulsar 3000チャンバの中で実施した。そのようなチャンバのエッチングの加工時間は、O濃度およびチャンバ中へのO注入地点を最適化することによって短くしてもよい。
ポリマー堆積およびエッチバック用に構成される装置100は、DAH(蒸発温度約40℃)およびPMDA(蒸発温度約170℃)用の固体源容器を伴う、シャワーヘッド反応器であり得る。一実施形態では、プラズマ源147は、原位置エッチバック用にアルゴンおよびHを供給する、原位置直流プラズマ(例えば、容量結合された)装置を備える。別の実施形態では、装置100は、シャワーヘッド反応器よりむしろクロスフロー反応器であってもよいが、それでも、固体源容器105、140および直流プラズマ性能を伴い、上で述べたものを有してもよい。別の実施形態では、プラズマ源147は、Ar/Hプラズマによるプラズマの産物を供給するように、反応空間115に連結する遠隔プラズマを備える。別の実施形態では、プラズマ源147を、反応空間115に連結するオゾン生成器と置き換え得る。例えば、遠隔プラズマ生成器または遠隔オゾン生成器は、シャワーヘッド反応器に接続し得る。
ポリマー堆積装置100は、望ましくは、複数回の堆積後も、反応空間115および排気ライン160をきれいなまま維持する、自動洗浄性能を含む。一部の実施形態では、エッチバックについて上に述べた原位置または遠隔Ar/Hプラズマ源147は、製作基板なしで、(ウエハごとよりむしろ)一定期間ごとにのみ操作され得るため、場合により、より高い電力またはより高温下における定期的なチャンバ洗浄に適合し得る。あるいは、図24および25に関して上に記載した通り、ポリマー堆積チャンバに、NFエッチングで供給される遠隔プラズマ、または定期的なチャンバ洗浄を行うオゾン供給を提供し得る。一部の実施形態では、チャンバ洗浄プロセスを製作基板なしで、(ウエハごとよりむしろ)一定期間ごとにのみ操作するため、O/N供給は、ポリマーの部分的エッチバックプロセスまたは除去プロセスと比べると、場合により、より高い電力または温度下における定期的なチャンバ洗浄に適合し得る。
ライン端部の位置
図17を参照すると、一部の実施形態では、上記の通り、第2表面上への、ZrOなどの誘電体の選択的堆積後に、第1表面の選択的パッシベーションによって、第2表面上への選択的堆積を達成し得る。図示するフローチャートでは、第1表面は金属製(例えば、集積回路の層間誘電体、すなわち、ILDの中の埋め込み金属特徴部)であることができ、第2表面は誘電体(例えば、ILD)であり得る。パッシベーションは、ステップ1で、一部の第2表面に対して、第1表面上に選択的に堆積する、ポリマーまたは他の有機材料を備え得る。続いて、時には、選択性を完全なものにする「クリーンアップ」エッチングと称される、ポリマーのエッチバックを実施して、第1表面からポリマーのすべてを除去することなく、ステップ2で第2表面上に堆積されていてもよいポリマーを除去する。ポリマーがパッシベーション層として働くため、ステップ3で誘電材料が第2表面上に選択的に堆積する。ステップ3では、いかなる数の好適な誘電材料を使用してもよい。一部の実施形態では、ZrO、および遷移金属酸化物もしくは酸化アルミニウムなどの他の金属酸化物、またはSiO系材料の上方でのエッチング選択性、もしくはSiO系材料をエッチングする状況における遅いエッチング速度を有する混合物を含む、他の誘電酸化物より誘電材料を選択してもよい。一部のそのような金属酸化物は、高いk値、特に5より高い、またはさらに10より高いk値を有してもよいにもかかわらず、薄く、メタライゼーション構造で有意な寄生容量を避ける位置に設置され、有利にも、酸化シリコン材料の選択的エッチングに対して、表面のマスキングを可能にする。他の実施形態では、誘電体は、酸化シリコン系材料であり得るが、本明細書に記載する通り、エッチングマスクとして機能を果たすよう、より厚くてもよい。図17のステップ4では、ポリマーパッシベーションを第1表面から除去する。
図18は、第2表面からパッシベーション(例えば、ポリマーまたは他の有機層)を除去するためのエッチバック時間が、形成された誘電層に与える効果を説明する。より具体的には、中間ポリマーエッチバックプロセスの範囲を選択することによって、選択的に形成された誘電層の端部の位置を、下層の金属製表面と誘電体表面との境界に対して制御し得る。実施形態では、図18の図の第1段目に見られるように、図17のステップ1において前に記載した通り、ポリマーが、一部の第2表面に対する第1表面上に堆積する。 堆積図としてのポリマーに見られる通り、第1表面上へのポリマーの優先的堆積により、第1表面の上により厚いポリマー層の表面を作り出し、第2表面の上に比較的薄いポリマー層を伴い、その結果、第1表面と第2表面の境界に、第1表面から第2表面へ下方に傾斜するポリマー厚を有する。続いて、図17のステップ2で前に記載した通り、ポリマーエッチバックを、様々な持続時間(または、異なる温度もしくはエッチング液濃度によってなど、異なるエッチング速度で同じ持続時間、もしくは異なる持続時間および異なるエッチング速度で)で実施し、図18の図の第1縦列の2段目から6段目に見られる通り、ポリマー層の厚さおよび形を制御してもよい。エッチバックは等方性または異方性であってもよい。一部の実施形態では、図18の図の2段目に見られるように、ポリマーエッチング時間は最小限であり、ポリマーエッチングで、第2表面を露出させるのに充分なポリマーは除去されない。この場合、第1および第2表面の両方が、パッシベーション層で覆われているため、続く選択的誘電堆積は機能せず、少量の誘電体が堆積したとしても、パッシベーション層の除去によるリフトオフプロセスで除去されるであろう。一部の実施形態では、図18の図の3段目に見られるように、形成されたポリマーの大部分を第2表面から除去するように、ポリマーエッチング時間を選択するが、第1および第2表面の境界を超えて第2表面上へ延在するポリマー層先端部を残す。この場合、続く誘電体の選択的堆積およびポリマーの除去によって、堆積した誘電体端部と、第1および第2表面の境界との間に間隙が残る。一部の実施形態では、図18の図の4段目に見られるように、形成したポリマーを第2表面から除去するように、ポリマーエッチング時間を選択し、ポリマー層端部を、第1および第2表面の境界と並んだままにする。この場合、続く誘電体の選択的堆積およびポリマーの除去によって、堆積した誘電体の底部表面端部を、第1および第2表面の境界と並んだまま残す。一部の実施形態では、図18の図の5段目に見られるように、形成したポリマーを第2表面から、およびポリマーの一部分を第1表面から除去するように、ポリマーエッチング時間を選択し、第1間隙が、ポリマー層先端部と第1および第2表面の境界との間に存在する。この場合、続く誘電体の選択的堆積およびポリマーの除去によって、堆積した誘電体を、第1および第2表面の境界を超えて延在し、第1表面と重複したまま残す。図18の図の6段目に見られるように、ポリマーエッチング時間を長時間実施し、ポリマーエッチングによって、第1表面および第2表面の両方から、完全にポリマーを除去する場合、続く誘電堆積は選択的ではない。
それゆえ、図17のステップ3および4で前に記載した通り、選択的誘電体の選択的堆積、および部分的ポリマーエッチバックを実施して、図17の図の第3縦列の2段目から6段目の右端の画像に見られるように、パッシベーションの選択的堆積後の、パッシベーションエッチバックの範囲によって、第2表面上に選択的に堆積した誘電層の端部と、第1および第2表面の間の界面との間に様々な関係を作り出してもよい。一部の実施形態では、図18の図の2段目に見られるように、ポリマー層が第2表面をパッシベーションしたため、誘電層は形成されない。一部の実施形態では、図18の図の3段目に見られるように、第2表面上の誘電体と第1表面との間に間隙が存在する。一部の実施形態では、図18の図の4段目に見られるように、誘電層端部は、第1および第2表面の境界と並ぶ。一部の実施形態では、図18の図の5段目に見られるように、誘電層は第1表面と重複する。一部の配置では、図18の図の6段目に見られるように、ポリマー層が第1表面をパッシベーションしないため、誘電層が第1表面および第2表面の両面上に形成される。
図19は、パッシベーション層の堆積厚が、形成される誘電層に与える影響を説明する。より具体的には、選択的に形成された誘電層の端部の位置を、中間ポリマーパッシベーション層の厚さを選択することによって、下層の金属製表面と誘電体表面との境界に対して制御し得る。パッシベーション層の堆積厚が増大するにつれ、第1表面および第2表面の両面上のパッシベーション層の厚さが増大する。しかしながら、パッシベーション層を第1表面上に選択的に堆積させるため、第2表面の上のパッシベーション厚の増大は、第1表面の上のパッシベーション層の厚さの増大より小さい。そのため、パッシベーションエッチバック、誘電堆積およびパッシベーション除去によって、第1および第2表面の境界に対して様々な位置で、選択的な誘電層が作り出されるであろう。一部の実施形態では、図19の図の第1縦列に見られるように、選択的に堆積する誘電層端部と、第1および第2表面の境界との間に間隙を作り出す、パッシベーション層を堆積させる。一部の実施形態では、図19の図の第2縦列に見られるように、選択的に堆積する誘電層端部と、第1表面との間により大きな間隙を作り出す、より厚いポリマー層を堆積させる。
図20は、選択的に堆積する誘電体の厚さが、形成される誘電層と第1および第2表面の境界との相対的位置に与える影響を説明する。より具体的には、選択的誘電層の厚さを選択することによって、選択的に形成された誘電層の端部の位置を、下層の金属製表面と誘電体表面との境界に対して制御し得る。第2表面上に選択的に堆積する誘電堆積厚が増大するにつれ、誘電体張り出し端部は、第1および第2表面の境界をさらに過ぎて益々延在する。一部の実施形態では、図20の図の第1縦列に見られるように、特定の張り出し構造を生み出す誘電層が堆積する。一部の実施形態では、図20の図の第2縦列に見られるように、より大きな張り出しを生み出す、より厚い誘電層が堆積する。一部の実施形態では、図20の図の第3縦列に見られるように、第1表面の上にさらにより大きな誘電体張り出し部を生み出す、さらにより厚い誘電層が堆積する。異方性加工(例えば、異方性の反応性イオンエッチング)など、続く特定のプロセスでは、張り出し部の範囲が第1表面の部分を陰で覆い、続く加工から保護し得る。
それゆえ、一部の実施形態では、誘電層は、図1Dに類似の誘電体表面の上に、大部分選択的に形成されるが、張り出し部を生み出すように、および/または金属製特徴部と重複するように選択的に堆積する。一部の実施形態では、誘電層は張り出し部または重複部を備えず、誘電体上に選択的に堆積する誘電体の端部は、金属製特徴部の端部と並び得るか、または選択的に堆積する誘電層の端部と、金属製特徴部との間に間隙があり得る。本明細書で教授する選択的堆積技術のため、選択的に堆積する誘電層は、誘電層をパターニングするために、従来のマスキングおよびエッチングを使用することなく、選択的堆積に特有の特徴部を有してもよい。例えば、誘電層の端部は、フォトリソグラフィーによりパターニングされた層に典型的な、垂直または急な傾斜の側壁を有するよりむしろ、45度より小さい傾斜で先細りであってもよい。この特徴的なエッチング形状は、選択的に堆積する層が、クリーンアップエッチングまたは部分的エッチバックにさらされたか否かにかかわらず、残留してもよい。
図21A~21Dは、トポグラフィーが、選択的に堆積する誘電体と、第1および第2表面の境界との関係にどのように影響を与えるかを説明する。
図21Aは、第1および第2表面の境界と並んでいる、選択的に堆積した誘電体2502の端部をもたらす、平面構造を説明する。例えば、ポリマー材料であるパッシベーション層2504によってパッシベーションされる第1表面は、埋め込み金属2506などの金属製材料によって画定でき、第2表面は、層間絶縁膜(ILD)2508などのlow-k誘電体によって画定され得る。パッシベーション層2504は、第1表面の上に選択的に堆積し、誘電層2502は、第2表面の上に選択的に堆積し、誘電層2502の端部は、第1および第2表面の境界と並ぶ。
図21Bは、第2表面に対して陥凹した第1表面を説明する。上の通り、第1表面は、第2表面を画定するlow-k誘電材料2508の中に埋め込まれ陥凹した、金属製材料2506を備え得る。パッシベーション層2504は、陥凹内の第1表面の上に選択的に形成される。誘電層2502は、第2表面の上および陥凹壁の上に堆積し、誘電層2502の端部は、パッシベーション層2504の表面に出会う。パッシベーション層2504の除去によって、第2表面上に選択的に形成される誘電層2502がもたらされるが、第1表面(例えば、金属製特徴部2506)と重複するであろう。
図21Cは、第2表面に対して隆起している第1表面を説明する。第1表面は、low-k誘電材料2508であり得る、第2表面の中に埋め込まれ、第2表面の上方に突出する金属製材料2506によって画定され得る。パッシベーション層2504は、突出する側壁を含む第1表面の上に堆積し、それゆえ、第2表面の上に少なくとも一部堆積する。誘電層2502は、第2表面の上に堆積するが、側壁上のパッシベーション材料2504の厚さの分だけ、第1表面から間隔があく。それゆえ、パッシベーション層2504の除去後、誘電層2502と第1表面(例えば、突出金属製特徴部2606)との間に間隙がある。
図21Dは、図21Bに類似するが、より厚いパッシベーション層2504が陥凹を充填する、一部実施形態の陥凹した第1表面を説明する。この場合、パッシベーション層2504の除去後、第2表面上に選択的に堆積した誘電層2502と、第1表面との間に間隙が残る。この場合、間隙は、第2表面の垂直な側壁の形態を取り、その後、続く加工に曝露される。
それゆえ、図18~21Dは、第1および第2表面の間(例えば、金属製特徴部2506とlow-k誘電体2508との間)の界面に対して、選択的に堆積した誘電体2502(例えば、誘電体の第2表面上に)の位置を調整するように適応し得る可変要素を説明する。特に、図18は、パッシベーション層のエッチバックの範囲または時間が、相対位置にどのように影響を与え得るかを示し、図19は、選択的に堆積したパッシベーション層の厚さが、相対位置にどのように影響を与え得るかを示し、図20は、選択的に堆積した誘電層の厚さが、相対位置にどのように影響を与え得るかを示し、図21A~21Dは、第1および第2表面のトポグラフィーが、どのように相対位置に影響を与え得るかを示す。それゆえ、これらの可変要素は、第2表面上に選択的に堆積した誘電体が、第1表面と並んでいるか、第1表面に対して間隙を有するか、または第1表面と重複するかに影響を与えるように適応し得る。
実施例の適用
図22A~22Eは、一部の実施形態で、改良された電気的絶縁を伴うデバイスおよびデバイスを作り出すプロセスを説明する。図22Aは、図21Aに示す平面構造に類似し、埋め込み金属製特徴部2606を伴う、一部組み立てられた集積回路を説明し、埋め込み金属製特徴部2606は、周囲のlow-k材料2608によって画定される第2表面と同一平面にある、第1表面を画定する。金属製特徴部は、第1low-k誘電材料2608内に位置付けられる、Cu2610およびTaNバリア材料2612をさらに備える、第1材料を備える。
図22Bは、第1材料の上の導電性バリア層2614に続く、図22Aのデバイスを説明する。一部の実施形態では、バリア層2614はWであってもよい。突出しているように図示するが、一部の実施形態では、Cu2610の線またはビアの上のバリア材料2614は、周囲のlow-k材料2608の中に埋め込まれ、low-k材料2608と同一平面であってもよい。
図22Cは、金属製バリア層2614(W)によって画定される第1表面の上のパッシベーション層2604の選択的堆積に続く、図22Bのデバイスを説明し、第1表面の端部は曝露される。一部の実施形態では、パッシベーション層2604はポリマーなどの有機材料であってもよい。一部の実施形態では、パッシベーション層2604の選択的堆積の後に、金属製の第1表面をいくらか曝露するのに充分なパッシベーション層材料のエッチバックが続く。
図22Dは、金属製の第1表面と重複する、第2表面の上の誘電層2602の選択的堆積に続く、図22Cのデバイスを説明する。一部の実施形態では、誘電層2602はhigh-k材料であってもよい。一部の実施形態では、high-k材料はZrOであってもよい。一部の実施形態では、選択的誘電層2602は、SiOC、AlおよびSiNなどのlow-k材料であってもよい。一部の実施形態では、選択的に堆積する誘電材料2602は、金属製バリア材料2614を曝露する、溝またはビアを開くように、low-k材料2608を貫通する続くエッチングに対して、エッチング停止として機能を果たしてもよい。
図22Eは、ポリマーパッシベーション層2604の除去、それによる、下層の金属層表面(この場合、バリア材料2614の金属層表面)の曝露に続く、図22Dのデバイスを説明する。選択的誘電体2602は、バリア層2614により画定される金属製の第1表面と重複し、続く金属製特徴部(例えば、上に重なる金属線または金属ビア)がバリア層2614上に形成されるとき、短絡の危険性を減少させる。特に、low-k材料は図22Eの構造の上に堆積し、開口部が生み出され、金属で充填される。開口部は、マスキングおよびlow-kエッチング、ならびに選択的に堆積した誘電体(例えば、ZrO)上のエッチング停止によって生み出される。パッシベーション、エッチバック、誘電堆積および/またはトポグラフィー中の条件選択に起因する、選択的に堆積した誘電体2602と、バリア層2614により画定される金属製特徴部との重複によって、不整合から保護される。そのように、重複によって、隣接の金属製特徴部との接触、またはより低いlow-k材料2608の望ましくないエッチングを避ける。選択的に堆積する誘電材料2602は、ILD層間でエッチング停止として機能を果たした後、最終集積回路デバイスの中に留まり得ることに留意されたい。通常はメタライゼーションプロセスにhigh-k材料は避けるが、寄生容量は最小限である。最小限の寄生容量は、low-k材料の上のhigh-k材料の支配的な位置に起因し、high-k材料の厚さはその機能によるものであり、high-k材料の上のこの誘電体キャッピング層の高い選択性の利点は、材料選択により持ち込まれるわずかな寄生容量を上回る。もちろん、たかいエッチング選択性もまた、ILD上に選択的に堆積するように、より低いlow-k材料で実現されてもよい。
図23A~23Bは、一部の実施形態で、集積回路の中で密集する金属製特徴部(例えば、金属線)間の寄生容量の減少など、様々な理由で望ましい場合がある空隙を伴う、デバイスおよびデバイスを作り出すプロセスを説明する。図23Aは、前に図21Aに示したデバイスと類似する、一部の実施形態の一部組み立てられた集積回路の平面を説明する。初期構造は、誘電材料2608(例えば、low-k ILD)により画定される第2表面で囲まれる、金属製特徴部2706(例えば、誘電体ライナおよびバリアライナを伴うCu線)により画定される第1表面であってもよい。パッシベーション層2704が第1表面の上に選択的に堆積し、第1表面の上および一部第2表面の上にパッシベーション層2704を残したまま、第2表面を曝露するようにエッチバックを実施する。誘電体2702が第2表面の上に選択的に堆積し、誘電層端部が、第1および第2表面の境界から第2表面上までと離れて間隔を空ける。図23Bは、選択的に堆積する誘電材料と第1表面(金属製特徴部2706)との間に間隙2710を残したまま、パッシベーション層2704を除去して、第1表面を曝露し、第1材料により事前に覆われた第2表面を一部曝露した後の図23Aのデバイスを説明する。続いて、曝露された第2材料を選択的にエッチングすることで、金属製特徴部の隣にあるそれらの間隙2710の中に、空洞2712を形成する。一部の実施形態では、選択的にエッチングされる第2材料はSiOである。一部の実施形態では、選択的エッチングはHBrドライエッチングである。HBrドライエッチングが、酸化シリコンを約6~8nm/minで選択的にエッチングし得るのに対し、特定の他の材料は、シリコン窒化物(<0.3nm/min)および酸化ジルコニウム(<0.3nm/min)など、より低速でエッチングされ、塩素(例えば、Cl)または六フッ化硫黄(例えば、SF)なしでタングステンをエッチングする可能性は低いであろう。標準的なlow-k材料など、共形性が充分低い第3材料2714の堆積によって、金属製特徴部2706の側面に隣接するlow-k材料2708内に、空隙2716を残す。当該分野で知られる通り、空気腔によってILDの全体k値を低下し、金属製特徴部間の寄生容量を減少させる。
特定の実施形態および実施例について議論してきたが、請求項の範囲は、具体的に開示する実施形態を超えて、他の代替の実施形態および/または使用および明らかな変形例、ならびにそれらの均等物にまで拡大することを当業者は理解するであろう。

Claims (60)

  1. 一部の第1表面に対する前記一部の第2表面上での選択的堆積の方法であって、前記第1および第2表面は、異なる組成物を有し、前記方法は、
    前記第2表面にパッシベーション層がないまま、前記第1表面上に気相反応物質から前記パッシベーション層を選択的に形成することと、
    前記パッシベーション層に対して、前記第2表面上に気相反応物質より対象の層を選択的に堆積させることと、を含む、方法。
  2. 前記パッシベーション層を選択的に形成することは、前記第1表面上に有機層を選択的に蒸着させることを含む、請求項1に記載の方法。
  3. 前記パッシベーション層を選択的に形成することは、前記第1表面上にポリマー層を選択的に堆積させることを含む、請求項2に記載の方法。
  4. 前記パッシベーション層を選択的に形成することは、前記第1表面上にいくらかポリマーを残したまま、前記第2表面からいかなるポリマーをもエッチングすることをさらに含む、請求項3に記載の方法。
  5. 前記いかなるポリマーをもエッチングすることは、Oで実施される、請求項4に記載の方法。
  6. 前記選択的堆積方法を実施するチャンバから、前記一部を除去した後に、チャンバのエッチングをさらに含み、前記チャンバのエッチングは、前記チャンバの壁からいかなる有機材料をもエッチングすることを含み、前記チャンバのエッチングは、Oで実施される、請求項2に記載の方法。
  7. 前記パッシベーション層を選択的に形成することは、周期的蒸着を含む、請求項1~6のいずれかに記載の方法。
  8. 前記パッシベーション層を選択的に形成することは、原子層堆積を含む、請求項1~7のいずれかに記載の方法。
  9. 前記パッシベーション層を選択的に形成することは、ポリイミドを堆積させることを含む、請求項1~8のいずれかに記載の方法。
  10. 前記対象の層を選択的に堆積させることは、原子層堆積を含む、請求項1~9のいずれかに記載の方法。
  11. 前記第1表面は、金属製材料を備え、前記第2表面は、無機誘電材料を備える、請求項1~10のいずれかに記載の方法。
  12. 前記対象の層を選択的に堆積させることは、前記第2表面上に誘電材料を堆積させることを含む、請求項11に記載の方法。
  13. 前記対象の層を選択的に堆積させることは、前記第2表面上に金属酸化物を堆積させることを含む、請求項12に記載の方法。
  14. 前記対象の層を選択的に堆積させることは、前記第2表面に疎水性金属前駆体を伴って、前記パッシベーション層および前記第2表面を接触させることを含む、請求項11~13のいずれかに記載の方法。
  15. 前記第1表面は、無機誘電材料を備え、前記第2表面は金属製材料を備える、請求項1~10のいずれかに記載の方法。
  16. 前記パッシベーション層を選択的に形成することは、前記第2表面上にパッシベーション遮断層を形成することと、続いて、前記パッシベーション遮断層に対して、前記第1表面上にポリマー層を選択的に蒸着することと、を含む、請求項15に記載の方法。
  17. 前記パッシベーション遮断層を形成することは、前記第2表面上に自己組織化単分子層を形成することを含む、請求項16に記載の方法。
  18. 前記パッシベーション遮断層を形成することは、前記第2表面を気相モノマーと接触させることを含む、請求項17に記載の方法。
  19. 前記パッシベーション遮断層を形成することは、前記第2表面を硫黄含有モノマーと接触させることを含む、請求項18に記載の方法
  20. 前記硫黄含有モノマーは、1-ドデカナンチオール(dodecananethiol)を備える、請求項19に記載の方法。
  21. 前記第1表面から前記パッシベーション層を除去せずに、前記第2表面から前記パッシベーション遮断層を除去することと、続いて、前記パッシベーション層に対して、第2表面上に前記対象の層を選択的に堆積させることと、をさらに含む、請求項15~20のいずれかに記載の方法。
  22. 前記対象の層を選択的に堆積させることは、前記第2表面上に誘電材料を堆積させることを含む、請求項15~21のいずれかに記載の方法。
  23. 前記対象の層を選択的に堆積させることは、前記第2表面上に金属酸化物を堆積させることを含む、請求項22に記載の方法。
  24. 前記対象の層を選択的に堆積させることは、前記第2表面に疎水性金属前駆体を伴って、前記パッシベーション層および前記第2表面を接触させることを含む、請求項22~23のいずれかに記載の方法。
  25. 前記対象の層を選択的に堆積させることは、金属層を堆積させることを含む、請求項15~21のいずれかに記載の方法。
  26. 前記パッシベーション層に対して、前記金属層上に誘電層を選択的に堆積させることをさらに含む、請求項25に記載の方法。
  27. 前記第2表面上に前記対象の層を選択的に堆積させた後、前記対象の層を除去せずに、前記第1表面から前記パッシベーション層を選択的に除去することを、さらに含む、請求項1~26のいずれかに記載の方法。
  28. 前記パッシベーション層は、ポリマー材料を備え、除去することは、酸化プロセスに前記パッシベーション層を曝露することを含む、請求項27に記載の方法。
  29. 前記酸化プロセスは、Oで実施される、請求項28に記載の方法。
  30. 前記パッシベーション層を選択的に形成することは、前記第1表面上にいくらかポリマーを残したまま、前記第2表面からいかなるポリマーをもエッチングすることをさらに含む、請求項3に記載の方法。
  31. 前記対象の層の端部が、前記第1および第2表面の境界と並ぶ、請求項30に記載の方法。
  32. 前記対象の層は、前記第1表面と重複する、請求項30に記載の方法。
  33. 前記第1表面は、前記第2表面より隆起している、請求項32に記載の方法。
  34. 前記パッシベーション層を除去した後に、前記第2表面を曝露する間隙が、前記対象の層の端部と、前記第1および第2表面の境界との間に存在する、請求項30に記載の方法。
  35. 空洞を形成するように、前記間隙の中の前記第2表面を選択的にエッチングすることをさらに含む、請求項34に記載の方法。
  36. 前記空洞内に空隙を残すように、空洞充填材料を堆積させることをさらに含む、請求項35に記載の方法。
  37. 反応物質の蒸気を形成するために、第1有機反応物質を蒸発させるように構成される第1容器と、
    反応物質の蒸気を形成するために、第2有機反応物質を蒸発させるように構成される第2容器と、
    水素源および不活性ガス源と連通するプラズマ源と、
    基板を収容するように構成され、前記第1および第2容器と選択的に流体連通する、反応空間と、
    前記第1および第2容器からの蒸気を連通させることによって、前記基板上に有機層を堆積させ、
    前記プラズマ源を操作することによって、前記有機層をエッチバックするように、構成される制御システムと、を備える、有機層堆積のための装置。
  38. 前記有機層は、ポリマーを備える、請求項37に記載の装置。
  39. 前記容器は、室温および室内気圧で固体を包含する、請求項37に記載の装置。
  40. 前記第1容器は、二無水物を包含する、請求項37に記載の装置。
  41. 前記第2容器は、ジアミンを包含する、請求項40に記載の装置。
  42. 前記制御システムは、前記基板の第2表面に対して、前記基板の第1表面上に、前記有機層を選択的に堆積させ、前記第1表面全体から前記有機層のすべてを除去せずに、前記第2基板全体からいかなる有機材料をも除去するように構成される、請求項37に記載の装置。
  43. low-k材料と、
    前記low-k材料内に少なくとも一部埋め込まれた、金属製特徴部と、
    前記low-k材料の上に重なる誘電体エッチング停止材料であって、5より大きいk値を有する、誘電体エッチング停止材料と、を備える、集積回路のメタライゼーション構造。
  44. 前記誘電体エッチング停止材料は、金属酸化物を備える、請求項43に記載の構造。
  45. 前記金属酸化物は、遷移金属酸化物、酸化アルミニウム、他の誘電酸化物およびそれらの混合物を備える群より選択される、請求項44に記載の構造。
  46. 前記遷移金属酸化物は、酸化ジルコニウムである、請求項45に記載の構造。
  47. 前記金属製特徴部は、上部金属製バリア層を備える、請求項43に記載の構造。
  48. 前記誘電体エッチング停止材料は、前記金属製特徴部と少なくとも一部重複する、請求項43に記載の構造。
  49. 間隙が、前記金属製特徴部と前記誘電体エッチング停止材料との間に存在する、請求項43に記載の構造。
  50. 前記low-k材料内に位置付けられ、前記金属製特徴部の側面に隣接して位置付けられる、空隙をさらに備える、請求項49に記載の構造。
  51. 前記誘電体エッチング停止材料は、最大で前記誘電体エッチング停止材料の平均厚さまで先細りになる、端部を備える、請求項43に記載の構造。
  52. 前記金属製特徴部が、金属線である、請求項43に記載の構造。
  53. 前記金属製特徴部が、金属ビアである、請求項43に記載の構造。
  54. 前記金属製特徴部の上に重なり、前記誘電体エッチング停止材料の上に重なる、第2のlow-k材料をさらに備える、請求項43に記載の構造。
  55. 前記誘電体エッチング停止材料は、10より大きいk値を有する、請求項43に記載の構造。
  56. low-k材料内に少なくとも一部埋め込まれた、金属製特徴部と、
    前記low-k材料と、
    誘電体エッチング停止材料と、
    前記low-k材料内に位置付けられ、前記金属製特徴部の側面に隣接して位置付けられる、空隙と、を備える、集積回路のメタライゼーション構造。
  57. low-k材料と、
    前記low-k材料内に少なくとも一部埋め込まれた、金属製特徴部と、
    前記low-k材料の上に重なる誘電体エッチング停止材料であって、選択的に堆積する材料に特有の端部形状を備える、誘電体エッチング停止材料と、を備える、集積回路のメタライゼーション構造。
  58. 前記端部形状は、先細りである、請求項57に記載の構造。
  59. 前記誘電体エッチング停止材料は、5より大きいk値を有する、請求項57に記載の構造。
  60. 前記誘電体エッチング停止材料は、10より大きいk値を有する、請求項57に記載の構造。
JP2023179722A 2017-02-14 2023-10-18 選択的パッシベーションおよび選択的堆積 Pending JP2023182796A (ja)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US201762458952P 2017-02-14 2017-02-14
US62/458,952 2017-02-14
US201762481524P 2017-04-04 2017-04-04
US62/481,524 2017-04-04
US201762591724P 2017-11-28 2017-11-28
US62/591,724 2017-11-28
JP2018022563A JP7169072B2 (ja) 2017-02-14 2018-02-09 選択的パッシベーションおよび選択的堆積
JP2022172419A JP7373636B2 (ja) 2017-02-14 2022-10-27 選択的パッシベーションおよび選択的堆積

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2022172419A Division JP7373636B2 (ja) 2017-02-14 2022-10-27 選択的パッシベーションおよび選択的堆積

Publications (1)

Publication Number Publication Date
JP2023182796A true JP2023182796A (ja) 2023-12-26

Family

ID=63105424

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2018022563A Active JP7169072B2 (ja) 2017-02-14 2018-02-09 選択的パッシベーションおよび選択的堆積
JP2022172419A Active JP7373636B2 (ja) 2017-02-14 2022-10-27 選択的パッシベーションおよび選択的堆積
JP2023179722A Pending JP2023182796A (ja) 2017-02-14 2023-10-18 選択的パッシベーションおよび選択的堆積

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2018022563A Active JP7169072B2 (ja) 2017-02-14 2018-02-09 選択的パッシベーションおよび選択的堆積
JP2022172419A Active JP7373636B2 (ja) 2017-02-14 2022-10-27 選択的パッシベーションおよび選択的堆積

Country Status (4)

Country Link
US (2) US11094535B2 (ja)
JP (3) JP7169072B2 (ja)
KR (2) KR20180093823A (ja)
TW (3) TWI798112B (ja)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) * 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
CN109786316B (zh) * 2017-11-10 2020-12-15 中芯国际集成电路制造(上海)有限公司 半导体器件、制造方法和电子设备
US10741392B2 (en) * 2017-11-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure
EP3503164A1 (en) * 2017-12-21 2019-06-26 IMEC vzw Selective deposition of metal-organic frameworks
KR102604652B1 (ko) * 2018-01-10 2023-11-22 제이에스알 가부시끼가이샤 패턴 형성 방법
TW202325889A (zh) * 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP7303447B2 (ja) 2018-07-02 2023-07-05 セントラル硝子株式会社 基板、基板の金属表面領域への選択的な膜堆積方法、有機物の堆積膜及び有機物
KR102027776B1 (ko) * 2018-09-04 2019-11-04 전북대학교산학협력단 무한 선택비를 갖는 원자층증착법을 이용한 패턴의 제조 방법
US11450525B2 (en) * 2018-09-14 2022-09-20 Applied Materials, Inc. Selective aluminum oxide film deposition
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11769692B2 (en) * 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
TWI757659B (zh) * 2018-11-23 2022-03-11 美商應用材料股份有限公司 碳膜的選擇性沉積及其用途
JP7110090B2 (ja) * 2018-12-28 2022-08-01 東京エレクトロン株式会社 基板処理方法および基板処理システム
CN113272471B (zh) 2019-01-10 2023-07-18 中央硝子株式会社 基板、选择性膜沉积方法、有机物的沉积膜及有机物
WO2020121540A1 (ja) 2019-02-04 2020-06-18 株式会社日立ハイテク プラズマ処理方法及びプラズマ処理装置
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11965238B2 (en) * 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
CN112601836A (zh) * 2019-04-30 2021-04-02 玛特森技术公司 使用甲基化处理选择性沉积
US20200347493A1 (en) * 2019-05-05 2020-11-05 Applied Materials, Inc. Reverse Selective Deposition
JP2022541535A (ja) 2019-07-18 2022-09-26 東京エレクトロン株式会社 領域選択的堆積における横方向のフィルム成長を緩和するための方法
JPWO2021015030A1 (ja) * 2019-07-25 2021-01-28
JP2021052070A (ja) * 2019-09-24 2021-04-01 東京エレクトロン株式会社 成膜方法
JP7262354B2 (ja) * 2019-09-24 2023-04-21 東京エレクトロン株式会社 成膜方法
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210065848A (ko) * 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
JP7365898B2 (ja) * 2019-12-27 2023-10-20 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2023518860A (ja) * 2020-03-26 2023-05-08 アプライド マテリアルズ インコーポレイテッド ホウ素と炭素フィルムの触媒形成
TW202204658A (zh) * 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
US11251073B2 (en) 2020-04-01 2022-02-15 Taiwan Semiconductor Manufacturing Co. Selective deposition of barrier layer
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
KR20230026385A (ko) * 2020-06-17 2023-02-24 도쿄엘렉트론가부시키가이샤 영역 선택적 증착에서 측방 막 형성을 감소시키는 방법
US11694903B2 (en) 2020-06-24 2023-07-04 Asm Ip Holding B.V. Area selective organic material removal
JP2022050198A (ja) * 2020-09-17 2022-03-30 東京エレクトロン株式会社 成膜方法及び成膜装置
US11515154B2 (en) * 2020-10-27 2022-11-29 Applied Materials, Inc. Selective deposition of a passivation film
US11955382B2 (en) 2020-12-03 2024-04-09 Applied Materials, Inc. Reverse selective etch stop layer
WO2022130536A1 (ja) * 2020-12-16 2022-06-23 株式会社日立ハイテク プラズマ処理装置及びプラズマ処理方法
EP4287240A1 (en) 2021-02-01 2023-12-06 Central Glass Company, Limited Substrate, selective film deposition method, deposition film of organic matter, and organic matter
JP2022135709A (ja) * 2021-03-05 2022-09-15 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7339975B2 (ja) * 2021-03-18 2023-09-06 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
WO2022212708A1 (en) * 2021-04-01 2022-10-06 The Trustees Of Princeton University Distributed non-equilibrium chemical and material synthesis using combined plasma activation and programed heating and quenching
JP2023009762A (ja) * 2021-07-08 2023-01-20 東京エレクトロン株式会社 エッチング方法
JP2023023459A (ja) 2021-08-05 2023-02-16 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7315744B1 (ja) 2022-03-14 2023-07-26 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11691175B1 (en) 2022-07-18 2023-07-04 Tokyo Electron Limited Methods for area-selective deposition of polymer films using sequentially pulsed initiated chemical vapor deposition (spiCVD)
JP2024049188A (ja) * 2022-09-28 2024-04-09 東京エレクトロン株式会社 膜形成方法及び基板処理装置
US20240145232A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Benzyl compound passivation for selective deposition and selective etch protection
KR20240081741A (ko) * 2022-11-30 2024-06-10 주식회사 동진쎄미켐 절연막 패턴 형성 방법 및 반도체 소자

Family Cites Families (275)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
JP3373320B2 (ja) 1995-02-10 2003-02-04 株式会社アルバック 銅配線製造方法
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
KR100775159B1 (ko) 2000-05-15 2007-11-12 에이에스엠 인터내셔널 엔.붸. 집적회로의 생산 공정
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
KR100869326B1 (ko) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR101437250B1 (ko) 2002-11-15 2014-10-13 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) * 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
CN101061253B (zh) 2004-11-22 2010-12-22 应用材料股份有限公司 使用批式制程腔室的基材处理装置
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
WO2008136882A2 (en) * 2007-02-14 2008-11-13 The Board Of Trustees Of The Leland Stanford Junior University Fabrication method of size-controlled, spatially distributed nanostructures by atomic layer deposition
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
EP2191034B1 (en) 2007-09-14 2013-03-13 Sigma-Aldrich Co. LLC Methods of preparing thin films by atomic layer deposition using monocyclopentadienyl triamino zirconium precursors
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
KR20100098380A (ko) 2007-11-06 2010-09-06 에이치시에프 파트너스, 엘.피. 원자 층 증착 공정
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8309446B2 (en) 2008-07-16 2012-11-13 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
US7951637B2 (en) 2008-08-27 2011-05-31 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
KR20110084275A (ko) 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
JP2012510706A (ja) 2008-12-01 2012-05-10 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 有機電子デバイス用のアノード
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
US20120189868A1 (en) 2009-07-31 2012-07-26 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
JP2011222779A (ja) 2010-04-09 2011-11-04 Dainippon Printing Co Ltd 薄膜素子用基板の製造方法、薄膜素子の製造方法および薄膜トランジスタの製造方法
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
WO2011160004A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
JP5400964B2 (ja) 2010-07-01 2014-01-29 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR101995602B1 (ko) 2011-06-03 2019-07-02 노벨러스 시스템즈, 인코포레이티드 상호접속을 위한 캡핑층들을 함유하는 금속 및 실리콘
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI627303B (zh) 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) * 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
US9371338B2 (en) 2012-07-20 2016-06-21 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
CN104968724B (zh) 2013-01-31 2017-09-26 大日本印刷株式会社 电子束固化性树脂组合物、反射器用树脂框架、反射器、半导体发光装置、及成形体的制造方法
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP5605464B2 (ja) 2013-06-25 2014-10-15 東京エレクトロン株式会社 成膜装置及びそのクリーニング方法
CN105308719B (zh) 2013-06-28 2019-07-26 英特尔公司 基于选择性外延生长的iii-v族材料的器件
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
CA2922688C (en) 2013-09-20 2018-10-30 Baker Hughes Incorporated Composites for use in stimulation and sand control operations
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
CN105556644B (zh) * 2013-09-27 2019-04-19 英特尔公司 通过包括限制材料层在相邻区域上方的侵蚀的选择性的化学反应来在小区域上方形成材料层
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
JP6135475B2 (ja) 2013-11-20 2017-05-31 東京エレクトロン株式会社 ガス供給装置、成膜装置、ガス供給方法及び記憶媒体
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
JP6325669B2 (ja) 2013-12-19 2018-05-16 インテル・コーポレーション 半導体構造、集積回路構造、及びそれらの製造方法
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
TWI661072B (zh) * 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US9932671B2 (en) 2014-03-27 2018-04-03 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ALD) and chemical vapor deposition (CVD)
CN106030758B (zh) 2014-03-28 2020-07-17 英特尔公司 选择性外延生长的基于iii-v材料的器件
US10047435B2 (en) * 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) * 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) * 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
WO2016178978A1 (en) * 2015-05-01 2016-11-10 Applied Materials, Inc. Selective deposition of thin film dielectrics using surface blocking chemistry
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US10464959B2 (en) * 2015-06-18 2019-11-05 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) * 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) * 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US10316406B2 (en) * 2015-10-21 2019-06-11 Ultratech, Inc. Methods of forming an ALD-inhibiting layer using a self-assembled monolayer
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US20170298503A1 (en) * 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) * 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) * 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11430656B2 (en) * 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) * 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
CN115233183A (zh) * 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) * 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
JP7146690B2 (ja) * 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) * 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) * 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US20200347493A1 (en) * 2019-05-05 2020-11-05 Applied Materials, Inc. Reverse Selective Deposition
TW202140833A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) * 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料

Also Published As

Publication number Publication date
TW202328474A (zh) 2023-07-16
JP7169072B2 (ja) 2022-11-10
TWI794209B (zh) 2023-03-01
US11094535B2 (en) 2021-08-17
JP7373636B2 (ja) 2023-11-02
JP2023011755A (ja) 2023-01-24
TW201835367A (zh) 2018-10-01
US20210358739A1 (en) 2021-11-18
US20180233350A1 (en) 2018-08-16
TW202240002A (zh) 2022-10-16
JP2018137435A (ja) 2018-08-30
KR20180093823A (ko) 2018-08-22
KR20240060762A (ko) 2024-05-08
TWI798112B (zh) 2023-04-01

Similar Documents

Publication Publication Date Title
JP7373636B2 (ja) 選択的パッシベーションおよび選択的堆積
US11145506B2 (en) Selective passivation and selective deposition
US10923361B2 (en) Deposition of organic films
US11387107B2 (en) Deposition of organic films
US20210358745A1 (en) Selective passivation and selective deposition
CN114262878A (zh) 氧化硅沉积方法
TWI842748B (zh) 選擇性沉積的方法以及用於選擇性沉積的組合工具和系統
KR20230019044A (ko) 선택적 패시베이션 및 선택적 증착
US20230098114A1 (en) Selective deposition of organic material
CN114613722A (zh) 使用抑制剂的拓扑选择性气相沉积

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231023

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231023