TWI661072B - 金屬、金屬氧化物與介電質的選擇性沈積 - Google Patents

金屬、金屬氧化物與介電質的選擇性沈積 Download PDF

Info

Publication number
TWI661072B
TWI661072B TW104103504A TW104103504A TWI661072B TW I661072 B TWI661072 B TW I661072B TW 104103504 A TW104103504 A TW 104103504A TW 104103504 A TW104103504 A TW 104103504A TW I661072 B TWI661072 B TW I661072B
Authority
TW
Taiwan
Prior art keywords
substrate
metal
deposition
precursor
dielectric
Prior art date
Application number
TW104103504A
Other languages
English (en)
Other versions
TW201534749A (zh
Inventor
蘇維P 賀加
雷傑H 梅特羅
艾娃 多益斯
安提 尼斯卡嫩
馬可 圖敏南
哈努 霍它利
維爾傑米J 波爾
艾翁 拉吉馬克斯
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW201534749A publication Critical patent/TW201534749A/zh
Application granted granted Critical
Publication of TWI661072B publication Critical patent/TWI661072B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/10Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by other chemical means
    • B05D3/107Post-treatment of applied coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/28Deposition of only one other non-metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/06Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • C23C18/1208Oxides, e.g. ceramics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • C23C18/1208Oxides, e.g. ceramics
    • C23C18/1212Zeolites, glasses
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • C23C18/1208Oxides, e.g. ceramics
    • C23C18/1216Metal oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • C23C18/122Inorganic polymers, e.g. silanes, polysilazanes, polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1225Deposition of multilayers of inorganic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1229Composition of the substrate
    • C23C18/1245Inorganic substrates other than metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition

Abstract

本發明提供用於相對於基板之第二不同表面將材料選擇性地沈積於基板之第一表面上的方法。選擇性沈積之材料可為(例如)金屬、金屬氧化物或介電質材料。

Description

金屬、金屬氧化物與介電質的選擇性沈積 【相關申請案的交叉參考】
本申請案主張2014年2月4日申請之名為「SELECTIVE DEPOSITION OF METALS ON METALS OR DIELECTRICS ON DIELECTRICS」的美國臨時專利申請案第61/935,798號之權益,其揭露內容以全文引用的方式併入本文中。
本申請案是關於相對於基板之第二表面在第一表面上的選擇性沈積。
積體電路當前是由其中各個材料層以預定配置依序地建構於半導體基板上的精巧製程來製造。
材料在半導體基板上之預定配置常常是藉由在整個基板表面上沈積材料,繼之以自基板之預定區域去除材料(諸如,藉由沈積遮罩層及隨後選擇性蝕刻製程)而實現。
在某些情況下,製造基板上之整合表面中所涉及的步驟之數目可藉由利用選擇性沈積製程而減少,其中在不需要後續處理的情況下或在減少對於後續處理之需要的情況下,將材料相對於第二表面選擇性地沈積於第一表面上。
本文中揭露用於相對於基板之第二不同表面在基板之第一表面上選擇性沈積的方法。
根據本發明之一些態樣,選擇性沈積可用以相對於基板之第二不同表面在基板之第一表面上沈積材料。在一些實施例中,使用原子層沈積(atomic layer deposition;ALD)類型製程。在一些實施例中,將金屬相對於基板之第二不同表面選擇性地沈積於基板之第一表面上。在一些實施例中,將金屬氧化物相對於基板之第二不同表面選擇性地沈積於基板之第一表面上。在一些實施例中,將介電質相對於基板之第二不同表面選擇性地沈積於基板之第一表面上。
在一些實施例中,將材料相對於第二不同表面以至少90%之選擇率選擇性地沈積於第一表面上。在一些實施例中,選擇率在多達20個沈積循環中保持。
在一些實施例中,提供包括第一表面及第二不同表面之基板,且使用包括多個沈積循環之原子層沈積類型製程將金屬相對於第二表面選擇性地沈積於第一表面上,每一循環包括交替且依序地使基板與氣相金屬前驅物及氣相第二反應物接觸。在一些 實施例中,選擇性沈積之金屬選自銻(Sb)及鍺(Ge)。
在一些實施例中,金屬前驅物包括具有式SbX3之Sb反應物,其中X為鹵素。
在一些實施例中,第一表面為金屬表面且第二表面包括羥基終端(OH終端;OH termination),且將金屬相對於第二表面選擇性地沈積於第一表面上。在一些實施例中,金屬表面為鎳(Ni)、鈷(Co)、銅(Cu)、鋁(Al)、釕(Ru)或另一貴金屬表面。在一些實施例中,第二表面為介電質表面,諸如二氧化矽(SiO2)、二氧化鍺(GeO2)或低介電常數表面(low-k surface)。在一些實施例中,第二表面經處理以提供OH終端。在一些實施例中,第二表面經鈍化。
在一些實施例中,提供包括第一表面及第二不同表面之基板,且使用包括多個沈積循環之原子層沈積類型製程將介電質相對於第二表面選擇性地沈積於第一表面上,每一循環包括交替且依序地使基板與氣相第一前驅物及氣相第二反應物接觸。在一些實施例中,選擇性沈積之介電質材料選自GeO2、SiO2以及氧化鎂(MgO)。
在一些實施例中,第一前驅物包括鍺-烷基胺(Ge-alkylamine),且第二反應物為水。在一些實施例中,第一前驅物包括胺基矽烷前驅物(aminosilane precursor),且第二反應物包括臭氧。在一些實施例中,第一前驅物包括Mg(Cp)2,且第二反應物選自水、臭氧以及水與臭氧之組合。
在一些實施例中,第一表面為介電質表面,且第二表面 為金屬表面。在一些實施例中,第一表面為介電質表面,諸如SiO2、GeO2或低介電常數表面。在一些實施例中,金屬表面在選擇性沈積之前經處理以抑制介電質材料在其上之沈積。在一些實施例中,金屬表面在選擇性沈積之前經氧化。在一些實施例中,金屬表面在選擇性沈積之前經鈍化。
在一些實施例中,第一表面為多孔低介電常數膜。所述多孔低介電常數膜可(例如)以雙鑲嵌結構存在。在一些實施例中,介電質是作為微孔密封層選擇性地沈積於多孔低介電常數膜上。在一些實施例中,介電質材料為GeO2或MgO。在一些實施例中,在不顯著增加有效k值(有效介電常數值)的情況下,將微孔密封層沈積於低介電常數膜上。在一些實施例中,微孔密封層將直徑為約3奈米或更小之微孔密封。
在一些實施例中,提供包括第一表面及第二不同表面之基板,且使用包括多個沈積循環之原子層沈積類型製程相對於第二表面將金屬或金屬氧化物選擇性地沈積於第一表面上,每一循環包括交替且依序地使基板與氣相第一前驅物及氣相第二反應物接觸。在一些實施例中,選擇性沈積之材料選自Ni、鐵(Fe)、鈷(Co)、NiOx、FeOx以及CoOx
在一些實施例中,第一表面為介電質表面,且第二表面為金屬表面。在一些實施例中,第二表面為介電質表面,諸如SiO2、GeO2或低介電常數表面。在一些實施例中,金屬表面在選擇性沈積之前經處理以抑制介電質材料在其上之沈積。在一些實施例中,金屬表面在選擇性沈積之前經氧化。在一些實施例中, 金屬表面在選擇性沈積之前經鈍化。
100、200、300‧‧‧沈積製程
110、120、130、140、150、160、170、210、220、230、240、250、260、270、310、320、330、340、350、360、370、410、420、430、440、450、460、470、510、520、530、540、550、560、570、610、620、630、640、650、660、670‧‧‧步驟
400‧‧‧沈積製程
500‧‧‧沈積製程
600‧‧‧沈積製程
710、720‧‧‧層
730‧‧‧密封層
740‧‧‧底部
750‧‧‧通孔
760‧‧‧第一微孔
762‧‧‧第二微孔
764‧‧‧第三微孔
766‧‧‧第四微孔
768‧‧‧第四微孔
770‧‧‧空隙
772‧‧‧薄塗層
774、776‧‧‧不連續沈積物
自實施方式及自附圖將更好地理解本發明,附圖意在說明且不限制本發明,且其中:圖1說明用於相對於基板之第二不同表面將材料選擇性地沈積於同一基板之第一表面上的沈積製程流程。
圖2說明用於相對於基板之第二不同表面將Sb選擇性地沈積於同一基板之第一表面上的沈積製程流程。
圖3說明用於相對於基板之第二不同表面將Ge選擇性地沈積於同一基板之第一表面上的沈積製程流程。
圖4說明用於相對於基板之第二不同表面將GeO2選擇性地沈積於同一基板之第一表面上的沈積製程流程。
圖5說明用於相對於基板之第二不同表面將SiO2選擇性地沈積於同一基板之第一表面上的沈積製程流程。
圖6說明用於相對於基板之第二不同表面將MgO選擇性地沈積於同一基板之第一表面上的沈積製程流程。
圖7A說明在微孔密封層之選擇性沈積之後的雙鑲嵌結構。
圖7B為以橫截面展示之圖7B之通孔側壁的放大視圖,其說明低介電常數材料包括在絕緣材料之基質內的多個微孔。
在一些情形中,需要相對於基板之第二不同表面將材料 選擇性地沈積於同一基板之一表面上。舉例而言,選擇性沈積可用於形成罩蓋層、障壁層、蝕刻停止層、犧牲及/或保護層或用於(諸如)在多孔低介電常數材料中密封微孔。舉例而言,可優先於第二不同表面(諸如基板之介電質表面)在同一基板之第一金屬表面上選擇性地沈積金屬材料。在其他實施例中,可將諸如氧化物之介電質材料相對於第二表面(諸如同一基板之導電表面、金屬表面或H終端表面)選擇性地沈積於第一介電質表面上。
一或多個表面可經處理以便相對於一或多個不同表面增強在一表面上的沈積。在一些實施例中,第一表面經處理或經活化,以便相對於第二表面增強在第一表面上的沈積。在一些實施例中,第二表面經處理或經鈍化,以便相對於第一表面減小在第二表面上的沈積。在一些實施例中,第一表面經處理以增強沈積且第二表面經處理以減小沈積,藉此相對於第二表面增加在第一表面上的選擇性沈積。在一些實施例中,鈍化處理不涉及自組單層(self-assembled monolayer;SAM)或具有長碳鏈之類似單層的形成。在一些實施例中,鈍化處理不是以有機劑進行的處理。舉例而言,在一些實施例中,鈍化處理可為氧化或鹵化,諸如表面之氯化。
舉例而言,在一些實施例中,將介電質材料相對於第二金屬表面沈積於基板之第一介電質表面上,且第二金屬表面在介電質材料沈積之前或在介電質材料沈積開始時經氧化,以便相對於介電質表面減小氧化物在金屬表面上的沈積。亦即,相對於經處理之金屬表面,介電質表面上的選擇性沈積增加。在一些實施 例中,金屬表面是(諸如)藉由處理表面以使得其包括烷基矽烷基團而鈍化。鈍化可相對於經處理之金屬表面促進在介電質表面上的選擇性沈積。舉例而言,氧化物在金屬表面上之沈積可藉由鈍化而抑制。在一些實施例中,鈍化不包含在金屬表面上形成單一原子單層(single atomic monolayer;SAM)或具有長碳鏈之類似單層。在一些實施例中,介電質表面可經處理以相對於介電質表面促進金屬在金屬表面上的選擇性沈積。舉例而言,介電質表面可經處理以提供親水性OH終端表面。雖然OH終端表面可與某些前驅物反應,但其他前驅物可不與此終端反應。舉例而言,OH終端表面相對於Cu脒基化合物(Cu-amidinate compound)吸附或釕化合物吸附可能不活潑,所述化合物具有兩個環戊二烯基(或其衍生物)配位體。因此,在一些實施例中,OH終端可用以相對於金屬表面抑制金屬在介電質表面上的沈積。
諸如SiO2或GeO2之介電材料的表面可包括羥基或OH基團,其具有使表面具有親水性之效應。此OH基團表面終端可在表面暴露於環境條件時自然地發生。在一些實施例中,介電質表面可經處理以提供親水性OH終端表面。在一些實施例中,親水性OH終端表面可經處理以增加表面上的OH基團之數量。舉例而言,介電質表面可暴露於H2O蒸汽(水蒸汽)以便增加表面處OH基團之數目。另一實例包含將介電質表面暴露於已在25℃與40℃之間的溫度下流經起泡器的載氣。在一些實施例中,介電質表面暴露於空氣以便提供包括至少一些OH基團之親水性表面。在一些實施例中,親水性表面在沈積之前未經處理。
在一些實施例中,介電質表面可經鈍化以抑制金屬在其上之沈積。舉例而言,可使介電質表面與提供矽烷化(-Si-(CH3)x或-Si(CH3)3)表面或鹵化表面或-SiH3表面的化學品接觸。在一些實施例中,介電質表面經氯化或氟化,諸如Si-Cl表面。可藉由以鹵化物化學品(諸如CCl4)或能夠形成揮發性金屬鹵氧化物之金屬鹵化物(諸如WF6、NbF5或NbCl5)處理表面並在表面上留下鹵化物(諸如,氯化物或氟化物)而實現鹵化表面。鈍化可用以相對於金屬表面抑制金屬在介電質表面上的沈積。在一些實施例中,鈍化化學品為以下各者中之一或多者:三甲基氯矽烷(CH3)3SiCl(TMCS)、三甲基二甲基胺基矽烷(CH3)3SiN(CH3)2或具有式R4-xSiXx的另一類型之經烷基取代之矽烷(其中x為1至3且每一R可經獨立地選擇為C1至C5烴,諸如甲基、乙基、丙基或丁基,較佳為甲基,且X為鹵化物或X為能夠與OH基團反應的另一基團,諸如烷基胺基團-NR1R2,其中每一R1可經獨立地選擇為氫或C1至C5烴,較佳為甲基或乙基,R2可經獨立地選擇為C1至C5烴,較佳為甲基或乙基,較佳地X為氯化物或二甲胺基)。在一些實施例中,鈍化化學品可為包括至少一烷基胺基團的矽烷化合物(諸如雙(二乙胺基)矽烷)或包括SiH3基團或矽氮烷的矽烷化合物(諸如六甲基二矽氮烷(HMDS))。
在一些實施例中,提供包括包括第一材料之第一表面及包括不同於第一材料之第二材料之第二表面的半導體基板。在一些實施例中,第一表面為親水性的且可包括OH終端表面或具有某一數量OH基團之表面。在一些實施例中,第一表面可為(例 如但不限於)低介電常數材料、SiO2或GeO2。在一些實施例中,第二表面為金屬表面。在一些實施例中,第二表面為導電表面。在一些實施例中,第二表面為H終端表面。舉例而言,第二表面可包括(例如)Cu、Ni、Co、Al、Ru或另一貴金屬。就本申請案的目的而言,Sb及Ge被視為金屬。在一些實施例中,第二表面包括個別地選自Cu、Ni、Co、Al、Ru以及其他貴金屬的金屬。在一些實施例中,第二表面為Cu表面。在一些實施例中,第二表面為Ni表面。在一些實施例中,第二表面為Co表面。在一些實施例中,第二表面為Al表面。在一些實施例中,第二表面為Ru表面。在一些實施例中,第二表面包括貴金屬。在一些實施例中,導電表面包括諸如CuOx、NiOx、CoOx或RuOx或另一貴金屬氧化物之氧化物。在一些實施例中,導電表面可在其被處理之後不再導電。舉例而言,導電表面可在選擇性沈積製程之前或在選擇性沈積製程開始時經處理(諸如藉由氧化),且經處理表面可不再導電。
在一些實施例中,沈積製程為原子層沈積(ALD)類型製程。在一些實施例中,沈積製程為純原子層沈積製程。在一些實施例中,沈積製程為包括一或多個沈積循環之氣相沈積製程,在所述沈積循環中使基板交替且依序地與第一氣相反應物及第二氣相反應物接觸。
在一些實施例中,將Sb層相對於基板上之第二介電質表面而選擇性地沈積於同一基板上之第一金屬表面上。在一些實施例中,在Sb層沈積之前,第二介電質表面是以鈍化化學品處理以 形成鈍化表面(諸如,矽烷化-Si-(CH3)x或-Si(CH3)3表面)或H終端表面(諸如,-SiH3表面)或鹵化表面(諸如,氯化或氟化表面)。如本文中所使用,鈍化表面為相對於某一材料之沈積不活潑的表面,或相對於用於選擇性沈積製程中之某些前驅物不活潑的表面。舉例而言,鹵化表面可為Si-Cl表面。在一些實施例中,第一金屬表面可包括(例如)Cu、Al、Ni、Co、Ru或另一貴金屬。在一些實施例中,第一金屬表面為氧終端或氧化表面。
在一些實施例中,將Ge層相對於基板上之第二介電質表面而選擇性地沈積於同一基板之第一金屬表面上。在一些實施例中,第一表面可包括(例如)Cu、Al、Co、Ni、Ru或另一貴金屬。
在一些實施例中,將GeO2層相對於基板之第二表面(諸如導電表面、金屬表面或H終端表面)沈積於同一基板之第一介電質表面上。在一些實施例中,第一表面可為(例如但不限於)低介電常數材料、SiO2或GeO2。第二表面可包括(例如)Cu、Al、Ni、Co、Ru或另一貴金屬。在一些實施例中,導電表面包括諸如CuOx、NiOx、CoOx或RuOx或另一貴金屬氧化物之氧化物。在一些實施例中,導電表面可在其被處理之後不再導電。舉例而言,導電表面可在選擇性沈積製程之前或在選擇性沈積製程開始時經處理(諸如藉由氧化),且經處理表面可不再導電。
在一些實施例中,將SiO2層相對於基板之第二表面(諸如導電表面、金屬表面或H終端表面)沈積於同一基板之第一介電質表面上。在一些實施例中,第一表面可為(例如但不限於)低介電常數材料、SiO2或GeO2。導電表面可包括(例如)Cu、Al、 Co、Ni、Ru或另一貴金屬。在一些實施例中,導電表面包括諸如CuOx、NiOx、CoOx或RuOx或另一貴金屬氧化物之氧化物。在一些實施例中,導電表面可在其被處理之後不再導電。舉例而言,導電表面可在選擇性沈積製程之前或在選擇性沈積製程開始時經處理(諸如藉由氧化),且經處理表面可不再導電。
在一些實施例中,將MgO層相對於基板之第二表面(諸如導電表面、金屬表面或H終端表面)沈積於同一基板之第一介電質表面上。在一些實施例中,第一表面可為(例如但不限於)低介電常數材料、SiO2或GeO2。導電表面可包括(例如)Cu、Al、Co、Ni、Ru或另一貴金屬。在一些實施例中,導電表面包括諸如CuOx、NiOx、CoOx或RuOx或另一貴金屬氧化物之氧化物。在一些實施例中,導電表面可在其被處理之後不再導電。舉例而言,導電表面可在選擇性沈積製程之前或在選擇性沈積製程開始時經處理(諸如藉由氧化),且經處理表面可不再導電。
在一些實施例中,本文中所揭露之選擇性沈積製程不利用電漿,諸如直接電漿。然而,在一些情況下,選擇性沈積製程可利用藉由電漿製備的自由基作為反應物。自由基較佳不能量過高且因此不破壞或降級基板表面。通常直接電漿可太顯著地損害基板之第二表面以致其不可用,且因此不用於一些實施例。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的沈積為至少約90%選擇性的、至少約95%選擇性的,至少約96%、97%、98%或99%或更大選擇性的。在一些實施例中,沈積僅發生在第一表面上且並不發生在第二表面上。在一些實施 例中,相對於基板之第二表面在基板之第一表面上的沈積為至少約80%選擇性的,其可對於一些特定應用具有足夠選擇性。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沈積為至少約50%選擇性的,其可對於某一特定應用具有足夠選擇性。
在一些實施例中,可在沈積之後或在沈積過程中使用蝕刻以去除非選擇性沈積的材料。儘管蝕刻步驟之添加通常將增加製程之成本及複雜性,但在一些情形中,其可能是商業上所要的(例如,若其總體上比其他選項代價更小)。在一些實施例中,蝕刻製程可為濕式蝕刻製程或乾式蝕刻製程。在一些實施例中,乾式蝕刻為較佳的。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的沈積可在失去選擇性之前被執行多達約500個沈積循環,或在失去選擇性之前被執行多達約50個沈積循環,或多達約20個沈積循環,或多達約10個沈積循環,或多達約5個沈積循環。在一些實施例中,即使在失去選擇性之前1或2個循環之沈積仍可能是有用的。
選擇性之失去可理解為發生於不再滿足上述選擇性之時。視特定情形而定,選擇性之失去可被視為發生於相對於基板之第二表面在基板之第一表面上的沈積小於約90%選擇性,小於約95%選擇性,小於約96%、97%、98%或99%選擇性或更大之時。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的沈積可在失去選擇性之前執行多達約50奈米之厚度,或在失去選擇性之前執行多達約10奈米之厚度,或多達約5奈米, 或多達約3奈米,或多達約2奈米,或多達約1奈米。在一些實施例中,即使在失去選擇性之前沈積多達3埃或5埃仍可能是有用的。視特定情形而定,選擇性之失去可被視為發生於相對於基板之第二表面在基板之第一表面上的沈積小於約90%選擇性,小於約95%選擇性,小於約96%、97%、98%或99%選擇性或更大之時。
原子層沈積類型製程
原子層沈積類型製程是基於前驅物化學品之受控自限性表面反應。藉由交替且依序地使基板與前驅物接觸來避免氣相反應。例如藉由在反應物脈衝之間自反應腔室中去除過量反應物及/或反應物副產物使氣相反應物在基板表面上彼此分離。
簡言之,包括第一表面及第二不同表面之基板大體上在減壓下經加熱至合適之沈積溫度。沈積溫度大體上維持在反應物之熱分解溫度以下但維持在足夠高位準以避免反應物冷凝並為所要表面反應提供活化能。當然,用於任一給定原子層沈積反應之適當溫度窗將視所涉及的表面終端及反應物物質而定。此處,溫度視沈積的膜之類型而變化且較佳處於或低於約400℃,更佳處於或低於約200℃且最佳為約20℃至約200℃。
使基板表面與氣相第一反應物接觸。在一些實施例中,氣相第一反應物之脈衝經提供給含有基板之反應空間。在一些實施例中,基板移動至含有氣相第一反應物之反應空間。條件較佳經選擇,以使得僅約一個第一反應物單層以自限性方式吸附於基板表面上。熟習此項技術者可基於特定情形容易地判定適當接觸 時間。過量第一反應物及反應副產物(若存在)是(諸如)藉由以惰性氣體淨化或藉由使基板與第一反應物脫離接觸而自基板表面中去除。
淨化意謂(諸如)藉由以真空泵抽空腔室及/或藉由以諸如氬氣或氮氣之惰性氣體替代反應器內部之氣體而自基板表面去除氣相前驅物及/或氣相副產物。典型淨化時間是約0.05秒至20秒,更佳在約1秒與10秒之間,且仍更佳在約1秒與2秒之間。然而,可在必要時利用其他淨化時間,諸如在需要在極高縱橫比結構或具有複雜表面形態之其他結構上的高度保形步階覆蓋的情況下。
使基板表面與氣相第二氣體反應物接觸。在一些實施例中,將第二氣體反應物之脈衝提供給含有基板之反應空間。在一些實施例中,將基板移動至含有氣相第二反應物之反應空間。自基板表面去除過量第二反應物及表面反應之氣體副產物(若存在)。接觸及去除之步驟被重複直至所要厚度之薄膜已經選擇性地形成於基板之第一表面上為止,其中每一循環僅留下一個分子單層。可包含包括交替且依序地使基板之表面與其他反應物接觸的額外階段以形成更複雜的材料(諸如三元材料)。
如上所述,每一循環之每一階段較佳為自限性的。在每一階段中供應過量反應物前驅物以使易受影響之結構表面飽和。表面飽和確保反應物佔據全部可利用之反應部位(例如,受物理大小或「位阻」約束),且因此確保極佳之步階覆蓋。通常,藉由每一循環沈積小於一個材料分子層,然而,在一些實施例中,在 循環期間沈積大於一個分子層。
去除過量反應物可包含抽空反應空間之一些內含物及/或藉由氦氣、氮氣或另一惰性氣體淨化反應空間。在一些實施例中,淨化可包括切斷反應氣體之流動同時繼續使惰性載氣流動至反應空間。
用於原子層沈積類型製程中之前驅物在標準條件(室溫及大氣壓)下可為固體、液體或氣體材料,其限制條件為前驅物在其與基板表面接觸之前在氣相中。使基板表面與氣化前驅物接觸意謂前驅物蒸氣在有限時間週期內與基板表面接觸。通常,接觸時間為約0.05秒至10秒。然而,視基板類型及其表面積而定,接觸時間可能甚至高於10秒。在一些情況下,接觸時間可能為約數分鐘。熟習此項技術者可基於特定情形判定最佳接觸時間。
前驅物之質量流率亦可藉由熟習此項技術者判定。在一些實施例中,金屬前驅物之流率較佳(但不限於)在約1標準立方厘米/分鐘與1000標準立方厘米/分鐘之間,更佳在約100標準立方厘米/分鐘與500標準立方厘米/分鐘之間。
反應室中之壓力通常為約0.01毫巴至約20毫巴,更佳約1毫巴至約10毫巴。然而,在一些情況下,壓力將高於或低於此範圍,如在給定特定情形的情況下可由熟習此項技術者判定。
在起始膜之沈積之前,基板通常經加熱至合適之生長溫度。生長溫度視所形成薄膜之類型、前驅物之物理性質等等而變化。下文關於所形成薄膜之每一類型更詳細地論述生長溫度。生長溫度可小於所沈積材料之結晶溫度以使得形成非晶薄膜,或其 可在結晶溫度以上以使得形成結晶薄膜。較佳沈積溫度可視許多因素(諸如(但不限於)反應物前驅物、壓力、流率、反應器之配置、所沈積薄膜之結晶溫度,以及包含基板上待沈積的材料之性質的基板之組成)而變化。特定生長溫度可由熟習此項技術者選擇。
可使用的合適反應器之實例包含可購自亞利桑那州鳳凰城(Phoenix)ASM美國公司(ASM America,Inc)及荷蘭阿爾梅勒(Almere)ASM歐洲B.V.公司(ASM Europe B.V.)的市售原子層沈積設備(諸如F-120®反應器、Pulsar®反應器以及Advance®400系列反應器)。除此等原子層沈積反應器以外,可使用能夠進行薄膜之原子層沈積生長的許多其他種類反應器,包含配備有用於脈動前驅物之適當設備及構件的CVD反應器。在一些實施例中,使用流動類型原子層沈積反應器。較佳地,使反應物保持分離直至到達反應室為止,以使得最小化前驅物之共用線路。然而,其他配置是可能的,諸如使用如2004年8月30日申請之美國專利申請案第10/929,348號及2001年4月16日申請之第09/836,674號中所描述的預反應室,所述申請案之揭露內容以引用的方式併入本文中。
生長製程可視情況在連接至叢集工具之反應器或反應空間中進行。在叢集工具中,由於每一反應空間專用於一種類型製程,因此每一模組中反應空間之溫度可保持恆定,這與在每一操作之前將基板加熱至製程溫度之反應器相比改良了產出率。
獨立反應器可配備有真空隔絕室。在彼情況下,不必在 每一操作之間冷卻反應空間。
參看圖1及根據一些實施例,在步驟110處提供包括第一表面及第二表面之基板且藉由包括多個循環之原子層沈積類型沈積製程100將材料相對於第二表面選擇性地沈積於基板之第一表面上,每一循環包括:在步驟130處,使基板表面與氣化的第一前驅物接觸;在步驟140處,自表面去除過量第一前驅物及反應副產物(若存在);在步驟150處,使基板表面與第二氣化反應物接觸;在步驟160處,自表面去除過量第二反應物及在基板之第一表面上的第一前驅物層與第二反應物之間的在反應中形成的任何氣體副產物,以及;在步驟170處,重複接觸及去除步驟直至已形成包括所要厚度之選擇性沈積材料的薄膜為止。
如上所述,在一些實施例中,基板之一或多個表面可在開始沈積製程100之前經處理以便相對於一或多個不同表面增強在一表面上的沈積。在圖1中,此藉由步驟120指示。
儘管說明之沈積循環以使基板表面與第一前驅物接觸開始,但在其他實施例中沈積循環以使基板表面與第二反應物接觸開始。熟習此項技術者應理解在原子層沈積循環中基板表面與第一前驅物及第二反應物的接觸是可互換的。
在一些實施例中,可藉由停止第一前驅物之流動同時繼續惰性載氣(諸如氮氣或氬氣)之流動而自基板表面去除反應物 及反應副產物。
在一些實施例中,可藉由停止第二反應物之流動同時繼續惰性載氣之流動而自基板表面去除反應物及反應副產物。在一些實施例中,移動基板以使得不同反應物交替且依序地以所要順序在所要時間內接觸基板表面。在一些實施例中,不執行去除步驟140及去除步驟160。在一些實施例中,無反應物可被從腔室之各個部分中去除。在一些實施例中,將基板自含有第一前驅物之腔室之一部分移動至含有第二反應物之腔室的另一部分。在一些實施例中,將基板自第一反應室移動至第二不同反應室。
金屬在金屬上之選擇性沈積
如上所述,在一些實施例中,將金屬相對於第二不同表面(諸如基板之介電質表面)選擇性地沈積在同一基板之第一金屬表面上。在一些實施例中,第一金屬表面為貴金屬表面。在一些實施例中,第一金屬表面為Al、Cu、Ru、Ni、Co或其他貴金屬表面。在一些實施例中,第一表面包括個別地選自Cu、Ni、Co、Al、Ru及其他貴金屬的金屬。在一些實施例中,第一表面為Cu表面。在一些實施例中,第一表面為Ni表面。在一些實施例中,第一表面為Co表面。在一些實施例中,第一表面為Al表面。在一些實施例中,第一表面為Ru表面。在一些實施例中,第一表面包括貴金屬。在一些實施例中,第二非金屬表面為親水性OH終端表面或含有某一數量之OH基團。在一些實施例中,第二非金屬表面為介電質表面。在一些實施例中,第二非金屬表面為SiO2、GeO2或低介電常數材料。
在一些實施例中,第二非金屬表面(諸如)藉由處理而鈍化以提供被抑制金屬沈積之表面。在一些實施例中,鈍化可包括以鈍化化學品進行的處理。在一些實施例中,鈍化處理可在金屬沈積於第一金屬表面上之前發生。在一些實施例中,鈍化處理可為就地鈍化處理。在一些實施例中,親水性表面之鈍化可包括以其他基團替代至少OH基團。在一些實施例中,鈍化可包含用以增加第二非金屬表面上OH基團之數量的處理。
在一些實施例中,在金屬沈積之前(諸如)藉由鈍化而鈍化第二表面。在一些實施例中,第二表面之鈍化可包括以其他基團替代至少一些OH基團。在一些實施例中,第二表面是以鈍化化學品處理以形成鈍化表面。舉例而言,第二表面可在金屬沈積之前經矽烷化或鹵化(諸如氯化或氟化)。在一些實施例中,第二表面可經處理以形成矽烷化表面,諸如矽烷化-Si-(CH3)x或-Si(CH3)3表面。在一些實施例中,第二表面可經處理以形成鹵化表面,諸如氯化或氟化表面。舉例而言,鹵化表面可為Si-Cl表面。在一些實施例中,第二表面可經處理以提供H終端表面,例如-SiH3表面。舉例而言,在一些實施例中,可使第二表面與提供-SiH3表面之化學品接觸。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的沈積為至少約90%選擇性的、至少約95%選擇性的,至少約96%、97%、98%或99%或更大選擇性的。在一些實施例中,沈積僅發生在第一表面上且不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沈積為至少約 80%選擇性的,其可對於一些特定應用具有足夠選擇性。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沈積為至少約50%選擇性的,其可對於一些特定應用具有足夠選擇性。
藉由原子層沈積在金屬上選擇性沈積Sb
在一些實施例中,將Sb選擇性地沈積於包括金屬表面及介電質表面之基板的金屬表面上。在一些實施例中,將Sb相對於基板之親水性表面選擇性地沈積於同一基板之金屬表面(諸如Cu、Ni、Co、Al、Ru或其他貴金屬表面)上。在一些實施例中,將Sb相對於第二不同表面選擇性地沈積於Cu表面上。在一些實施例中,將Sb相對於第二不同表面選擇性地沈積於Ni表面上。在一些實施例中,將Sb相對於第二不同表面選擇性地沈積於Co表面上。在一些實施例中,將Sb相對於第二不同表面選擇性地沈積於Al表面上。在一些實施例中,將Sb相對於第二不同表面選擇性地沈積於Ru表面上。在一些實施例中,將Sb相對於第二不同表面選擇性地沈積於貴金屬表面上。
在一些實施例中,親水性表面可包括至少一些OH基團。在一些實施例中,親水性表面為介電質表面。在一些實施例中,親水性表面可包括SiO2、低介電常數材料或GeO2
如先前論述,在一些實施例中,第二親水性表面經處理以促進Sb相對於親水性表面在金屬表面上的選擇性沈積。舉例而言,第二表面可經處理以提供親水性OH終端表面。在一些實施例中,親水性OH終端表面可經處理以增加表面上OH基團之數量。舉例而言,介電質表面可暴露於H2O蒸汽以便增加表面處OH 基團之數目。另一實例包含將介電質表面暴露於在25℃與40℃之間的溫度下流經起泡器的載氣。在一些實施例中,介電質表面暴露於空氣以便提供包括至少一些OH基團之親水性表面。在一些實施例中,親水性表面在沈積之前未經處理。
在一些實施例中,親水性表面在Sb沈積之前(諸如)藉由鈍化而經鈍化。在一些實施例中,親水性表面之鈍化可包括以其他基團替代至少OH基團。在一些實施例中,親水性介電質表面以鈍化化學品處理以形成鈍化表面。舉例而言,親水性表面可在Sb沈積之前經矽烷化或鹵化(諸如,氯化或氟化)。在一些實施例中,親水性表面可經處理以形成矽烷化表面,諸如矽烷化-Si-(CH3)x或-Si(CH3)3表面。在一些實施例中,親水性表面可經處理以形成鹵化表面,諸如氯化或氟化表面。舉例而言,鹵化表面可為Si-Cl表面。在一些實施例中,親水性表面可經處理以提供H終端表面,例如-SiH3表面。舉例而言,在一些實施例中,親水性表面可與提供H終端表面的化學品接觸。在一些實施例中,親水性表面可與氫氟酸(HF)接觸以提供H終端表面。
在一些實施例中,鈍化化學品為以下各者中之一或多者:三甲基氯矽烷(CH3)3SiCl(TMCS)、三甲基二甲基胺基矽烷(CH3)3SiN(CH3)2或具有式R4-xSiXx的另一類型烷基取代之矽烷(其中x為1至3且每一R可經獨立地選擇為C1至C5烴,諸如甲基、乙基、丙基或丁基,較佳為甲基,且X為鹵化物或X為能夠與OH基團反應的另一基團,諸如烷基胺基團-NR1R2,其中每一R1可經獨立地選擇為氫或C1至C5烴,較佳為甲基或乙基,R2可經獨立 地選擇為C1至C5烴,較佳為甲基或乙基,較佳地X為氯化物或二甲胺基)。在一些實施例中,鈍化化學品可為包括至少一烷基胺基團的矽烷化合物(諸如雙(二乙胺基)矽烷)或包括SiH3基團或矽氮烷的矽烷化合物(諸如六甲基二矽氮烷(HMDS))。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的Sb沈積為至少約90%選擇性的,至少約95%選擇性的,至少約96%、97%、98%或99%或更大選擇性的。在一些實施例中,Sb沈積僅發生在第一表面上且不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的Sb沈積為至少約80%選擇性的,其可對於一些特定應用具有足夠選擇性。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沈積為至少約50%選擇性的,其可對於一些特定應用具有足夠選擇性。
在一些實施例中,藉由原子層沈積類型製程而選擇性地沈積Sb。在一些實施例中,在不使用電漿的情況下選擇性地沈積Sb。在一些實施例中,沈積可(例如)如美國公開案第2002/0329208號(美國申請案第13/504,079號)中所描述而進行,所述案特此以引用的方式併入本文中。
參看圖2及根據較佳實施例,在步驟210處提供包括第一表面及第二表面之基板,且金屬(此處Sb)是藉由包括多個循環之原子層沈積類型沈積製程100選擇性地沈積於基板之第一表面上,每一循環包括:在步驟230處,使基板表面與氣化的第一金屬前驅物(此 處三氯化銻(SbCl3))接觸;在步驟240處,自表面去除過量金屬前驅物及反應副產物(若存在);在步驟250處,使基板表面與第二氣化反應物(此處Sb(SiEt3)3)接觸;在步驟260處,自表面去除過量第二反應物及形成於基板之第一表面上的金屬前驅物層與第二反應物之間的反應中之任何氣體副產物,以及;在步驟270處,重複接觸及去除步驟直至已形成所要厚度之金屬(此處Sb)薄膜為止。
如上所述,在一些實施例中,基板之一或多個表面可在開始沈積製程200之前經處理以便相對於一或多個不同表面增強在一表面上之沈積。在圖2中此藉由步驟220指示,在步驟220中第二非金屬表面可在沈積金屬(此處Sb)之前經處理以提供OH終端表面,或可(諸如)藉由鈍化而經鈍化。
儘管說明之Sb沈積循環以使基板表面與第一Sb前驅物接觸開始,但在其他實施例中沈積循環以使基板表面與第二反應物接觸開始。熟習此項技術者應理解在原子層沈積循環中基板表面與第一Sb前驅物及第二反應物的接觸是可互換的。
在一些實施例中,可藉由停止第一前驅物之流動同時繼續惰性載氣(諸如氮氣或氬氣)之流動而自基板表面去除反應物及反應副產物。
在一些實施例中,可藉由停止第二前驅物之流動同時繼 續惰性載氣(諸如氮氣或氬氣)之流動而自基板表面去除反應物及反應副產物。
在一些實施例中,移動基板以使得不同反應物交替且依序地以所要順序在所要時間內接觸基板表面。在一些實施例中,不執行去除步驟140及去除步驟160。在一些實施例中,無反應物可被從腔室之各個部分中去除。在一些實施例中,基板自含有第一前驅物之腔室之一部分移動至含有第二反應物之腔室的另一部分。在一些實施例中,基板自第一反應室移動至第二不同反應室。
在一些實施例中,第二反應物可包括Sb前驅物。在一些實施例中,第二反應物為第二Sb前驅物。在一些實施例中,第二反應物為不同於第一Sb前驅物之第二Sb前驅物。
在一些實施例中,第一Sb前驅物具有式SbX3,其中X為鹵素元素。在一些實施例中,第一Sb前驅物為SbCl3、三溴化銻(SbBr3)或三碘化銻(SbI3)。
在一些實施例中,第二反應物並非為氧源。術語「氧源」指代包括氧之反應物,諸如水、臭氧、醇、氧原子、氧電漿及氧自由基,通常用於原子層沈積以用於沈積金屬氧化物。在一些實施例中,第二反應物不是水、臭氧或醇。
在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物並非為胺基鍺前驅物(諸如四胺基鍺)或有機碲前驅物。在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物並非為硫族化物前驅物。在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物並不含有電漿或 經激發物質。在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物並不含有氮。在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物並非為烷氧化物取代之前驅物。在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物並非為胺基取代之前驅物。在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物並非為烷基取代之前驅物。在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物並不含有直接Sb-C鍵(銻-碳鍵)。
本文中所揭露之Sb前驅物之Sb中心原子可鍵結至矽(Si)、Ge或錫(Sn)原子。Sb比Si、Ge或Sn更具有陰電性,其將在鍵中產生極性且因此在本文中所揭露之Sb前驅物之Sb中心原子上產生部分負電荷。在一些實施例中,Sb中心原子可具有負氧化態。咸信(但不受限於任何理論)本文中所揭露之前驅物中的中心原子之少量部分負電荷(例如Sb(SiEt3)3中的Sb之少量部分負電荷)與另一前驅物中的中心原子之部分正電荷(例如SbCl3中的Sb之部分正電荷)組合使得前驅物組合成功並使膜沈積成為可能。
在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物並非為還原劑,諸如氫、H2/電漿(氫/電漿)、胺、亞胺、肼、矽烷、矽烷基硫族化物、鍺烷、氨、烷烴、烯烴或炔烴。如本文中所使用,還原劑指代能夠還原另一反應物之原子(通常,將在原子層沈積製程中沈積於膜中的原子),且有時還原成元素形式的化合物。同時還原劑可經氧化。可注意,藉由氧化化學 處理(例如,藉由氧化劑)亦可在將被沈積的原子之形式氧化態在其他前驅物中為負的情況下產生元素膜。在一些實施例中,本文中所揭露之Sb前驅物充當原子層沈積製程中之還原劑。
在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物為氧化前驅物,諸如SbCl3。較佳地氧化前驅物並非為水、醇或臭氧。如本文中所使用,氧化前驅物為分子之中心原子(諸如在SbCl3之情況下的Sb)中具有部分正電荷的前驅物,且因此中心原子可被視為具有正氧化態。氧化前驅物之部分正電荷將在沈積膜中減少,亦即,分子之中心原子可被視為稍微減少但未發生實際氧化態增加。在一些實施例中,銻沈積循環僅使用兩個反應化合物。
較佳地,第二反應物為具有式Sb(SiR1R2R3)3的Sb前驅物,其中R1、R2以及R3為包括一或多個碳原子之烷基。R1、R2以及R3烷基可基於前驅物之所要物理性質(諸如揮發性、蒸氣壓、毒性等等)而加以選擇。
在一些實施例中,第一Sb前驅物為SbCl3且第二Sb前驅物為Sb(SiEt3)3
在選擇性Sb薄膜沈積期間的基板溫度較佳小於250℃,且更佳小於200℃,且甚至更佳在150℃以下。
反應器之壓力可能視用於沈積之反應器而變化甚巨。通常反應器壓力低於正常環境壓力。
熟習此項技術者可基於選定前驅物之性質而判定最佳反應物蒸發溫度。可藉由本文中所描述之方法合成的第二Sb前驅物 (諸如Sb(SiEt3)3)之蒸發溫度通常為約85℃。第一Sb前驅物(諸如SbCl3)之蒸發溫度通常為約30℃至35℃。
熟習此項技術者可基於選定前驅物之性質及所沈積Sb薄膜之所要性質經由常規實驗判定最佳反應物接觸時間。較佳地,第一Sb反應物與第二Sb反應物接觸持續約0.05至10秒、更佳約0.2至4秒、且最佳約1至2秒。去除過量反應物及反應副產物(若存在)的去除步驟的長度較佳為約0.05至10秒、更佳為約0.2秒至4秒且最佳為1秒至2秒。
元素Sb薄膜之生長率將視反應條件而變化。如下文所描述,在初始實驗中,生長率在約0.3埃/循環與約0.5埃/循環之間變化。
如先前論述,在一些實施例中,相對於基板之第二表面在基板之第一表面上的Sb沈積為至少約90%選擇性的,至少約95%選擇性的,至少約96%、97%、98%或99%或更大選擇性的。在一些實施例中,Sb沈積僅發生在第一表面上且不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的Sb沈積為至少約80%選擇性的,其可對於一些特定應用具有足夠選擇性。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沈積為至少約50%選擇性的,其可對於一些特定應用具有足夠選擇性。
銻前驅物
下文論述可用作本文中所揭露之銻(Sb)的原子層沈積類型選擇性沈積製程中之第一反應物或第二反應物的前驅物。
在一些實施例中,本文中所揭露之Sb前驅物可為第一Sb前驅物。在一些實施例中,本文中所揭露之Sb前驅物可為第二反應物。在一些實施例中,本文中所揭露之Sb前驅物可為第一Sb前驅物或第二反應物。在一些實施例中,本文中所揭露之Sb前驅物可為第一Sb前驅物及第二反應物。在一些實施例中,第一Sb前驅物為本文中所揭露之Sb前驅物且第二反應物為本文中所揭露之第二不同Sb前驅物。
在一些實施例中,可用作第一Sb前驅物、第二反應物或兩者之Sb前驅物包含Sb鹵化物(諸如,SbCl3及SbI3)、Sb烷氧化物(諸如,Sb(OEt)3)及Sb醯胺。
在一些實施例中,Sb前驅物具有鍵結至至少一矽原子、較佳至少鍵結至兩個矽原子的Sb且更佳Sb鍵結至三個矽原子。舉例而言,其可具有通式Sb(AR1R2R3)3,其中A為Si或Ge,且R1、R2以及R3為包括一或多個碳原子之烷基。R1、R2以及R3配位體中之每一者可被彼此獨立地選擇。在每一配位體中可基於前驅物之所要物理性質(諸如揮發性、蒸氣壓、毒性等)彼此獨立地選擇R1、R2以及R3烷基。在一些實施例中,R1、R2及/或R3可為氫、烯基、炔基或芳基。在一些實施例中,R1、R2、R3可為含有雜原子(諸如,氮N、氧O、氟F、矽Si、磷P、硫S、氯Cl、溴Br或碘I)之任何有機基團。在一些實施例中,R1、R2、R3可為鹵素原子。在一些實施例中,Sb前驅物具有通式Sb(SiR1R2R3)3,其中R1、R2以及R3為包括一或多個碳原子之烷基。在一些實施例中,R1、R2及/或R3可為未經取代或經取代C1至C2烷基,諸如 甲基或乙基。可基於前驅物之所要物理性質(諸如揮發性、蒸氣壓、毒性等)在每一配位體中彼此獨立地選擇R1、R2以及R3烷基。在一些實施例中,Sb前驅物為Sb(SiMe2tBu)3。在其他實施例中,前驅物為Sb(SiEt3)3或Sb(SiMe3)3。在更佳實施例中,前驅物具有Sb-Si鍵(銻-矽鍵)且最佳三Si-Sb鍵(矽-銻鍵)結構。
在一些實施例中,Sb前驅物具有通式Sb[A1(X1R1R2R3)3][A2(X2R4R5R6)3][A3(X3R7R8R9)3],其中A1、A2、A3可經獨立地選擇為Si或Ge,且其中R1、R2、R3、R4、R5、R6、R7、R8以及R9可經獨立地選擇為烷基、氫、烯基、炔基或芳基。在一些實施例中,R1、R2、R3、R4、R5、R6、R7、R8以及R9可為亦含有雜原子(諸如,N、O、F、Si、P、S、Cl、Br或I)之任何有機基團。在一些實施例中,一或多個R1、R2、R3、R4、R5、R6、R7、R8以及R9可為鹵素原子。在一些實施例中,X1、X2以及X3可為Si、Ge、N、或O。在一些實施例中,X1、X2以及X3為不同元素。在實施例中,當X為Si時,Si就將鍵結至三個R基團,例如Sb[Si(SiR1R2R3)3][Si(SiR4R5R6)3][Si(SiR7R8R9)3]。在實施例中,當X為N時,氮就將僅鍵結至兩個R基團Sb[Si(NR1R2)3][Si(NR3R4)3][Si(NR5R6)3]。在實施例中,當X為O時,氧將僅鍵結至一個R基團,例如Sb[Si(OR1)3][Si(OR2)3][Si(OR3)3]。可基於前驅物之所要物理性質(諸如,揮發性、蒸氣壓、毒性等)在每一配位體中彼此獨立地選擇R1、R2、R3、R4、R5、R6、R7、R8以及R9基團。
鍺在金屬上之選擇性沈積
在一些實施例中,相對於同一基板之親水性表面(諸如,鈍化表面)在金屬表面(諸如,Ni、Co、Cu、Al、Ru或其他貴金屬)上選擇性地沈積鍺(Ge)。在一些實施例中,將Ge相對於第二不同表面選擇性地沈積於Cu表面上。在一些實施例中,將Ge相對於第二不同表面選擇性地沈積於Ni表面上。在一些實施例中,將Ge相對於第二不同表面選擇性地沈積於Co表面上。在一些實施例中,將Ge相對於第二不同表面選擇性地沈積於Al表面上。在一些實施例中,將Ge相對於第二不同表面選擇性地沈積於Ru表面上。在一些實施例中,將Ge相對於第二不同表面選擇性地沈積於貴金屬表面上。
在一些實施例中,Ge是藉由諸如2013年12月19日申請之美國申請案第14/135,383號中描述之製程的製程而選擇性地沈積,所述申請案特此以引用的方式併入本文中。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的Ge沈積為至少約90%選擇性的,至少約95%選擇性的,至少約96%、97%、98%或99%或更大選擇性的。在一些實施例中,Ge沈積僅發生在第一表面上且不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的Ge沈積為至少約80%選擇性的,其可對於一些特定應用具有足夠選擇性。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沈積為至少約50%選擇性的,其可對於一些特定應用具有足夠選擇性。
在一些實施例中,親水性表面可包括至少一些OH基團 (羥基基團)。在一些實施例中,親水性表面為介電質表面。在一些實施例中,親水性表面可包括SiO2、低介電常數材料或GeO2。在一些實施例中,Ge藉由原子層沈積類型沈積製程而選擇性地沈積。舉例而言,基板可交替且依序地與鍺反應物(諸如,鍺烷氧化物或烷基胺)及第二反應物(諸如,類似氨(NH3)之氮反應物)接觸。
如先前論述,在一些實施例中,第二表面經處理以促進Ge相對於第二表面在金屬表面上的選擇性沈積。舉例而言,第二表面可經處理以提供親水性OH終端表面。在一些實施例中,親水性OH終端表面可經處理以增加表面上OH基團之數量。舉例而言,介電質表面可暴露於H2O蒸汽以便增加表面處OH基團之數目。另一實例包含將介電質表面暴露於在25℃與40℃之間的溫度下流經起泡器的載氣。在一些實施例中,介電質表面暴露於空氣以便提供包括至少一些OH基團之親水性表面。在一些實施例中,親水性表面在沈積之前未經處理。
在一些實施例中,親水性表面在Ge沈積之前(諸如)藉由鈍化而經鈍化。在一些實施例中,親水性表面之鈍化可包括以其他基團替代至少OH基團。在一些實施例中,親水性介電質表面以鈍化化學品處理以形成鈍化表面。舉例而言,親水性表面可在Sb沈積之前經矽烷化或鹵化(諸如,氯化或氟化)。在一些實施例中,親水性表面可經處理以形成矽烷化表面,諸如矽烷化-Si-(CH3)x或-Si(CH3)3表面。在一些實施例中,親水性表面可經處理以形成鹵化表面,諸如氯化或氟化表面。舉例而言,鹵化表面 可為Si-Cl表面(矽-氯表面)。在一些實施例中,親水性表面可經處理以提供H終端表面(氫終端表面),例如-SiH3表面。舉例而言,在一些實施例中,親水性表面可與提供H終端表面的化學品接觸。
如上文所提及,本文中所描述之製程使得能夠使用原子層沈積類型沈積技術來選擇性地沈積鍺。原子層沈積類型沈積製程主要受表面控制(基於第一基板表面處之受控反應),且因此具有在相對低溫下提供高保形性之優勢。然而,在一些實施例中,鍺前驅物可至少部分地分解。因此,在一些實施例中,本文中所描述的原子層沈積類型製程是觀測不到前驅物分解的純原子層沈積製程。在其他實施例中,反應條件(諸如反應溫度)經選擇以使得達成純原子層沈積製程且無前驅物分解發生。
由於不同化合物的分解溫度之變化,可在任何給定實施例中基於特定選擇之前驅物選擇實際反應溫度。在一些實施例中,沈積溫度在約600℃以下。在一些實施例中,沈積溫度在約500℃以下。在一些實施例中,沈積溫度在約450℃以下。在一些實施例中,沈積溫度較佳在約400℃以下且甚至在一些情況下在約375℃以下。
在一些實施例中,Ge是藉由包括交替且依序地使基板與第一Ge前驅物及第二反應物接觸之原子層沈積類型製程相對於基板之第二不同表面選擇性地沈積於基板之第一表面上。
參看圖3及根據較佳實施例,在步驟310處提供包括第一表面及第二表面之基板且金屬(此處Ge)是藉由包括多個循環 之原子層沈積類型沈積製程300而選擇性地沈積於基板之第一表面上,每一循環包括:在步驟330處,使基板之表面與氣化第一金屬前驅物(此處TDMAGe)接觸;在步驟340處,自表面去除過量金屬前驅物及反應副產物(若存在);在步驟250處,使基板表面與第二氣化反應物(此處NH3)接觸;在步驟360處,自表面去除過量第二反應物及形成於基板之第一表面上的金屬前驅物層與第二反應物之間的反應中的任何氣體副產物,以及;在步驟370處,重複接觸及去除步驟直至已形成所要厚度之金屬(此處Ge)薄膜為止。
如上所述,在一些實施例中,基板之一或多個表面可在開始沈積製程300之前經處理以便相對於一或多個不同表面增強在一表面上之沈積。在圖3中此藉由步驟320指示,在步驟320中第二非金屬表面可在沈積金屬(此處Ge)之前經處理以提供OH終端表面,或可(諸如)藉由鈍化而經鈍化。
儘管說明之Ge沈積循環以使基板與第一Ge前驅物接觸開始,但在其他實施例中沈積循環以使基板與第二反應物接觸開始。熟習此項技術者應理解在原子層沈積循環中基板表面與第一Ge前驅物及第二反應物的接觸是可互換的。
當Ge前驅物接觸基板時,Ge前驅物可形成至少單層, 少於單層,或多於單層。
在一些實施例中,在整個沈積製程中載氣不斷地流動至反應空間。在一些實施例中,在每一沈積循環中第一鍺前驅物經脈動至反應室中。在一些實施例中,接著自反應室中去除過量鍺前驅物。在一些實施例中,載氣包括氮氣。在一些實施例中,利用單獨之淨化氣體。
在一些實施例中,可藉由停止第二反應物之流動同時繼續惰性載氣之流動而自基板表面去除反應物及反應副產物。在一些實施例中,移動基板以使得不同反應物交替且依序地以所要順序在所要時間內接觸基板表面。在一些實施例中,不執行去除步驟140及去除步驟160。在一些實施例中,無反應物可被從腔室之各個部分中去除。在一些實施例中,基板自含有第一前驅物之腔室之一部分移動至含有第二反應物之腔室的另一部分。在一些實施例中,基板自第一反應室移動至第二不同反應室。
原子層沈積類型製程中使用的Ge前驅物在標準條件(室溫及大氣壓)下可為固體、液體或氣體材料,其限制條件為Ge前驅物在其與基板表面接觸之前是在氣相中。
使基板表面與氣化前驅物接觸意謂前驅物蒸氣在有限時間週期內與基板表面接觸。通常,接觸時間為約0.05秒至10秒。然而,視基板類型及其表面積而定,接觸時間可能甚至高於10秒。在一些情況下,接觸時間可能為約數分鐘。熟習此項技術者可基於特定情形判定最佳接觸時間。在一些實施例中,移動基板以使得不同反應物交替且依序地以所要順序在所要時間內接觸基板表 面。在一些實施例中,基板自第一反應室移動至第二不同反應室。在一些實施例中,基板在第一反應室內移動。
在一些實施例中,例如,對於單一晶圓反應器中之300毫米晶圓,基板之表面與Ge前驅物接觸持續約0.05秒至約10秒、持續約0.1秒至約5秒或約0.3秒至約3.0秒。
基板表面可與第二反應物接觸持續約0.05秒至約10秒、約0.1秒至約5秒或持續約0.2秒至約3.0秒。然而,在一些情況下,一種或兩種反應物之接觸時間可為約數分鐘。熟習此項技術者可基於特定情形判定每一反應物之最佳接觸時間。
如上所述,在一些實施例中,Ge前驅物為鍺烷氧化物,例如Ge(OEt)4或Ge(OMe)4。在一些實施例中,Ge前驅物為四(二甲胺基)鍺(tetrakis(dimethylamino)germanium;TDMAGe)。在一些實施例中,Ge前驅物包含烷基及/或烷基胺基團。在一些實施例中,Ge前驅物並非為鹵化物。在一些實施例中,Ge前驅物可在至少一個配位體而非全部配位體中包括鹵素。可藉助於惰性載氣(諸如氬氣)提供鍺前驅物。
在一些實施例中,第二反應物包括氮氫鍵。在一些實施例中,第二反應物為氨(NH3)。在一些實施例中,第二反應物為分子氮。在一些實施例中,第二反應物為含氮電漿。在一些實施例中,第二反應物包括經活化或激發之氮物質。在一些實施例中,可以含氮氣體脈衝的形式提供第二反應物,所述含氮氣體脈衝可為氮反應物與惰性氣體(諸如氬氣)之混合物。
在一些實施例中,在反應器中形成含氮電漿。在一些實 施例中,電漿可在基板頂上或緊密接近基板處就地形成。在其他實施例中,在反應室上游在遠端電漿產生器中形成電漿,且將電漿產物導引至反應室中以與基板接觸。如熟習此項技術者應瞭解,在遠端電漿之情況下,可使通向基板之路徑最佳化,以在到達基板之前使電中性物質增到最大且使離子之殘存減至最少。
與所使用之第二反應物無關,在本發明之一些實施例中,使用第二反應物不向沈積之膜提供大量氮。根據一些實施例,所得鍺膜含有小於約5原子%,小於約2原子%或甚至小於約1原子%之氮。在一些實施例中,鍺膜之氮含量小於約0.5原子%或甚至小於約0.2原子%。
在一些實施例中,氫反應物不用於沈積製程。在一些實施例中,在至少一個沈積循環中或在整個沈積製程中不提供元素氫(H2)。在一些實施例中,在至少一個沈積循環中或在整個沈積製程中不提供氫電漿。在一些實施例中,在至少一個沈積循環中或在整個沈積製程中不提供氫原子或自由基。
在一些實施例中,Ge前驅物包括至少一個胺或烷基胺配位體,諸如在式(2)至式(6)及式(8)及式(9)中存在之彼等配位體,且第二反應物包括NH3
如上所論述,在開始沈積膜之前,通常將基板加熱至合適之生長溫度。較佳之沈積溫度可視多個因素而變化,諸如(但不限於)反應物前驅物、壓力、流率、反應器之配置以及包含基板上待沈積材料之性質的基板之組成。在一些實施例中,選擇沈積溫度,使其處於鍺前驅物在無第二前驅物之情況下不分解之溫 度(在下端)與前驅物本身分解之溫度(在上端)之間。如別處所論述,在一些實施例中,溫度可小於約600℃,小於約450℃,小於約400℃,且在一些情況下小於約375℃。在一些使用Ge(OCH2CH3)4及NH3作為鍺及第二反應物之實施例中,溫度為約350℃。
處理時間視將產生之層之厚度及膜之生長率而定。在原子層沈積中,薄膜之生長率經判定為每一循環之厚度增加量。一個循環由前驅物之接觸步驟及去除步驟組成,且一個循環之持續時間通常在約0.2秒與約30秒之間,更佳在約1秒與約10秒之間,但在一些情況下其可為約數分鐘或更大,例如,在存在大表面積及體積的情況下。
在一些實施例中,鍺薄膜之生長率可大於或等於約2埃/循環,大於或等於約5埃/循環,大於或等於約10埃/循環,且在一些實施例中甚至大於約15埃/循環。
在一些實施例中,所形成之鍺膜為相對純之鍺膜。較佳地,除微量雜質以外,膜中不存在其他金屬或半金屬元素。在一些實施例中,膜包括小於1原子%的Ge以外之金屬或半金屬。在一些實施例中,鍺膜包括小於5原子%的氫以外之任何雜質,較佳包括小於約3原子%的氫以外之任何雜質,且更佳包括小於約1原子%的氫以外之任何雜質。在一些實施例中,鍺膜包括小於約5原子%氮,小於約3原子%氮,小於約2原子%氮或甚至小於約1原子%氮。在一些實施例中,純鍺膜包括小於約2原子%氧,較佳小於約1原子%氧或小於約0.5原子%氧且甚至小於約0.25原子% 氧。
在一些實施例中,利用包括氧之鍺前驅物,且鍺膜不包括氧或包括作為雜質之少量氧。在一些實施例中,使用包括氧之鍺前驅物沈積之鍺膜可包括小於約2原子%氧,小於約1原子%氧,小於約0.5原子%氧或甚至小於約0.25原子%氧。
在一些實施例中,在具有高縱橫比之結構上形成之鍺膜具有大於約50%,大於約80%,大於約90%,或甚至大於約95%的步階覆蓋。在一些實施例中,當將特徵之深度或高度與寬度比較時,高縱橫比結構具有大於約3:1之縱橫比。在一些實施例中,結構具有大於約5:1之縱橫比,或甚至10:1或更大之縱橫比。
鍺前驅物
若干不同鍺前驅物(Ge前驅物)可用於選擇性沈積製程。在一些實施例中,Ge前驅物為四價(亦即,Ge具有+IV之氧化態)。在一些實施例中,Ge前驅物不為二價(亦即,Ge具有+II之氧化態)。在一些實施例中,Ge前驅物可包括至少一烷氧化物配位體。在一些實施例中,Ge前驅物可包括至少一胺或烷基胺配位體。在一些實施例中,Ge前驅物為金屬有機或有機金屬化合物。在一些實施例中,Ge前驅物包括至少一鹵化物配位體。在一些實施例中,Ge前驅物不包括鹵化物配位體。
在一些實施例中,Ge前驅物包括Ge-O鍵(鍺-氧鍵)。在一些實施例中,Ge前驅物包括Ge-N鍵(鍺-氮鍵)。在一些實施例中,Ge前驅物包括Ge-C鍵。在一些實施例中,Ge前驅物不包括Ge-H鍵。在一些實施例中,Ge前驅物之每一個Ge原子包括 等於或小於兩個Ge-H鍵。
在一些實施例中,Ge前驅物在室溫(例如,約20℃)下不為固體。
舉例而言,在一些實施例中,可使用來自以下式(1)至式(9)之Ge前驅物。
(1)GeOR4
其中R可獨立地自由烷基及經取代之烷基所構成之族群中選出;
(2)GeRxA4-x
其中x為1至4之整數;R為有機配位體且可獨立地自由以下各者所構成之族群中選出:烷氧化物、烷基矽烷基、烷基、經取代之烷基、烷基胺;且A可獨立地自由以下各者所構成之族群中選出:烷基、經取代之烷基、烷氧化物、烷基矽烷基、烷基、烷基胺、鹵化物以及氫。
(3)Ge(OR)xA4-x
其中x為1至4之整數;R可獨立地自由烷基及經取代之烷基所構成之族群中選出;且A可獨立地自由以下各者所構成之族群中選出:烷基、烷氧化物、烷基矽烷基、烷基、經取代之烷基、烷基胺、鹵化物以及氫。
(4)Ge(NRIRII)4
其中RI可獨立地自由氫、烷基以及經取代之烷基所構成之族群中選出;且RII可獨立地自由烷基及經取代之烷基所構成之族群中選出;
(5)Ge(NRIRII)xA4-x
其中x為1至4之整數;RI可獨立地自由氫、烷基以及經取代之烷基所構成之族群中選出;且RII可獨立地自由烷基及經取代之烷基所構成之族群中選出;A可獨立地自由以下各者所構成之族群中選出:烷基、烷氧化物、烷基矽烷基、烷基、經取代之烷基、烷基胺、鹵化物以及氫。
(6)Gen(NRIRII)2n+2
其中n為1至3之整數;RI可獨立地自由氫、烷基以及經取代之烷基所構成之族群中選出;且RII可獨立地自由烷基及經取代之烷基所構成之族群中選出;
(7)Gen(OR)2n+2
其中n為1至3之整數;且其中R可獨立地自由烷基及經取代之烷基所構成之族群 中選出;
(8)GenR2n+2
其中n為1至3之整數;且R為有機配位體且可獨立地自由以下各者所構成之族群中選出:烷氧化物、烷基矽烷基、烷基、經取代之烷基、烷基胺。
(9)A3-xRxGe-GeRyA3-y
其中x為1至3之整數;y為1至3之整數;R為有機配位體且可獨立地自由以下各者所構成之族群中選出:烷氧化物、烷基矽烷基、烷基、經取代之烷基、烷基胺;且A可獨立地自由以下各者所構成之族群中選出:烷基、烷氧化物、烷基矽烷基、烷基、經取代之烷基、烷基胺、鹵化物以及氫。
對於所有式,R之較佳選項包含(但不限於)甲基、乙基、丙基、異丙基、正丁基、異丁基、第三丁基,更佳為乙基及甲基。在一些實施例中,R之較佳選項包含(但不限於)C3至C10烷基、烯基與炔基以及彼等之經取代形式,更佳為C3至C6烷基、烯基與炔基以及彼等之經取代形式。
在一些實施例中,Ge前驅物包括一種或多於一種鹵化物。舉例而言,前驅物可包括1、2或3種鹵化物配位體。然而,如上所述,在一些實施例中,Ge前驅物不包括鹵化物。
在一些實施例中,不使用鍺烷(GeHx)。在一些實施例中, 可使用包括Ge及氫之化合物。在一些實施例中,可使用鍺烷,包括(但不限於)GeH4及Ge2H6中之一或多者。
在一些實施例中,可使用烷氧化物Ge前驅物,包含(但不限於)Ge(OMe)4、Ge(OEt)4、Ge(OiPr)4、Ge(OnPr)4以及Ge(OtBu)4中之一或多者。在一些實施例中,Ge前驅物為TDMAGe。在一些實施例中,Ge前驅物為TDEAGe(四(二乙基胺)鍺)。在一些實施例中,Ge前驅物為TEMAGe(四(乙基甲基胺)鍺)。
金屬或金屬氧化物在介電質上之選擇性沈積
如上所述,在一些實施例中,將金屬或金屬氧化物材料相對於基板之第二不同表面(諸如導電表面、金屬表面或H終端表面)選擇性地沈積於同一基板之第一親水性表面上。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的金屬或金屬氧化物沈積為至少約90%選擇性的,至少約95%選擇性的,至少約96%、97%、98%或99%或更大選擇性的。在一些實施例中,金屬或金屬氧化物沈積僅發生在第一表面上且並不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的金屬或金屬氧化物沈積為至少約80%選擇性的,其可對於一些特定應用具有足夠選擇性。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沈積為至少約50%選擇性的,其可對於一些特定應用具有足夠選擇性。
在一些實施例中,第二表面經處理或鈍化,以抑制金屬或金屬氧化物在其上的沈積。舉例而言,金屬表面可藉由氧化而處理以提供金屬氧化物表面。在一些實施例中,Cu、Ru、Al、Ni、 Co或其他貴金屬表面經氧化以促進相對於Cu、Ru、Al、Ni、Co或其他貴金屬表面在介電質表面上的選擇性沈積。在一些實施例中,第二表面包括個別地選自Cu、Ni、Co、Al、Ru以及其他貴金屬的金屬。在一些實施例中,第二表面為Cu表面。在一些實施例中,第二表面為Ni表面。在一些實施例中,第二表面為Co表面。在一些實施例中,第二表面為Al表面。在一些實施例中,第二表面為Ru表面。在一些實施例中,第二表面包括貴金屬。
在一些實施例中,導電表面包括諸如CuOx、NiOx、CoOx或RuOx或另一貴金屬氧化物之氧化物。在一些實施例中,導電表面可在其被處理之後不再導電。舉例而言,導電表面可在選擇性沈積製程之前或在選擇性沈積製程開始時經處理(諸如藉由氧化),且經處理表面可不再導電。
在一些實施例中,第二表面並非為親水性表面。在一些實施例中,親水性表面可經處理使得其不再為親水性表面。在一些實施例中,第二表面為Si表面。在一些實施例中,第二表面為H終端表面。在一些實施例中,第二表面(例如)藉由與提供-SiH3終端表面之化學品接觸而經處理。在一些實施例中,Si表面在將金屬或金屬氧化物沈積於第一表面上之前經處理。
在一些實施例中,第二金屬表面在將金屬或金屬氧化物沈積於第一表面上之前被氧化。在一些實施例中,第二金屬表面在沈積製程開始時(例如,在沈積循環之第一階段期間)被氧化。在一些實施例中,第二金屬表面在沈積循環之第一階段之前被氧化。
在一些實施例中,第二表面可經鈍化以抑制在其上之沈積。在一些實施例中,例如,第二表面可藉由烷基矽烷基團鈍化。舉例而言,在一些實施例中,第二表面經鈍化以使得其包括烷基矽烷基團,以便促進相對於第二表面在介電質表面上的選擇性沈積。鈍化可促進相對於經處理之金屬表面在介電質表面上的選擇性沈積。舉例而言,可藉由鈍化而抑制氧化物在金屬表面上之沈積。在一些實施例中,鈍化並不包含在金屬表面上形成SAM或具有長碳鏈之類似單層。
在一些實施例中,相對於第二表面選擇性地沈積於基板之第一表面上的材料為金屬。在一些實施例中,相對於第二表面選擇性地沈積於基板之第一表面上的材料為金屬氧化物。在一些實施例中,選擇性地沈積之金屬為Fe。在一些實施例中,選擇性地沈積之金屬氧化物為Ni、Fe或Co氧化物。在一些實施例中,選擇性地沈積之金屬為Ni。在一些實施例中,選擇性地沈積之金屬為Co。在一些實施例中,金屬氧化物之選擇性沈積可藉由氧化選擇性沈積之金屬而達成。在一些實施例中,金屬首先經選擇性地沈積且隨後經氧化以形成金屬氧化物。在一些實施例中,金屬在經選擇性地沈積之後不被氧化。
原子層沈積類型選擇性沈積製程(諸如如圖1中所示及上文所描述之製程)可用以將金屬或金屬氧化物相對於第二表面選擇性地沈積於基板之第一表面上。在一些實施例中,第一前驅物為第一金屬前驅物。在一些實施例中,第一前驅物為第一金屬氧化物前驅物。在一些實施例中,第二反應物包括氧源。在一些 實施例中,第二反應物包括如本文關於介電質在介電質上之選擇性沈積所描述的氧源。
在一些實施例中,用於用於將Fe相對於第二表面沈積在基板之第一表面上的選擇性沈積製程之第一前驅物為Fe前驅物。在一些實施例中,Fe前驅物為Cp2Fe或其衍生物。在一些實施例中,Fe前驅物為Fe(acac)2。在一些實施例中,Fe前驅物為Fe烷氧化物,諸如第三丁醇鐵(III)(Fe2(OtBu)6)。在一些實施例中,Fe前驅物為五羰鐵(0)(Fe(CO)5)。
介電質在介電質上的選擇性沈積
如上所述,在一些實施例中,將介電質材料相對於基板之第二不同表面(諸如導電表面、金屬表面或H終端表面)選擇性地沈積在同一基板之第一介電質表面上。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的介電質沈積為至少約90%選擇性的,至少約95%選擇性的,至少約96%、97%、98%或99%或更大選擇性的。在一些實施例中,介電質沈積僅發生在第一表面上且並不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的介電質沈積為至少約80%選擇性的,其可對於一些特定應用具有足夠選擇性。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沈積為至少約50%選擇性的,其可對於一些特定應用具有足夠選擇性。
在一些實施例中,第二表面經處理或鈍化,以抑制介電質在其上的沈積。舉例而言,可藉由氧化而處理金屬表面以提供 金屬氧化物表面。在一些實施例中,Cu、Ru、Al、Ni、Co或其他貴金屬表面經氧化以促進相對於Cu、Ru、Al、Ni、Co或其他貴金屬表面在介電質表面上的選擇性沈積。在一些實施例中,第二表面包括個別地選自Cu、Ni、Co、Al、Ru以及其他貴金屬的金屬。在一些實施例中,第二表面為Cu表面。在一些實施例中,第二表面為Ni表面。在一些實施例中,第二表面為Co表面。在一些實施例中,第二表面為Al表面。在一些實施例中,第二表面為Ru表面。在一些實施例中,第二表面包括貴金屬。
在一些實施例中,導電表面包括諸如CuOx、NiOx、CoOx或RuOx或另一貴金屬氧化物之氧化物。在一些實施例中,導電表面可在其被處理之後不再導電。舉例而言,導電表面可在選擇性沈積製程之前或在選擇性沈積製程開始時經處理(諸如藉由氧化),且經處理表面可不再導電。
在一些實施例中,第二金屬表面在介電質沈積於第一表面上之前被氧化。在一些實施例中,第二金屬表面在沈積製程開始時(例如,在沈積循環之第一階段期間)被氧化。在一些實施例中,第二金屬表面在沈積循環之第一階段之前被氧化。在一些實施例中,第二金屬表面是藉由氧源有目的地氧化。在一些實施例中,第二金屬表面在環境空氣中氧化及/或含有原生氧化物。在一些實施例中,第二金屬表面含有已沈積之氧化物。
在一些實施例中,第二表面可經鈍化以抑制在其上之沈積。在一些實施例中,例如,第二表面可藉由烷基矽烷基團鈍化。舉例而言,在一些實施例中,第二表面經鈍化以使得其包括烷基 矽烷基團,以便促進相對於第二表面在介電質表面上的選擇性沈積。鈍化可促進相對於經處理之金屬表面在介電質表面上的選擇性沈積。舉例而言,氧化物在第一金屬表面上之沈積可藉由鈍化而抑制。在一些實施例中,鈍化並不包含在金屬表面上形成SAM或具有長碳鏈之類似單層。
GeO 2 在介電質上的選擇性沈積
可藉由原子層沈積類型製程將二氧化鍺(GeO2)相對於基板之第二不同表面沈積在同一基板之第一介電質表面上。在一些實施例中,第二表面可為導電表面、金屬表面或H終端表面。在一些實施例中,藉由如2013年3月13日申請之美國申請案第13/802,393號中所描述的方法而沈積GeO2,所述案特此以引用的方式併入本文中。在一些實施例中,介電質表面為親水性OH終端表面。舉例而言,介電質表面可為SiO2表面、低介電常數表面(較佳地包括OH基團)或GeO2表面。第二表面可為(例如)Cu、Ru、Al、Ni、Co或其他貴金屬表面。在一些實施例中,第二表面包括個別地選自Cu、Ni、Co、Al、Ru以及其他貴金屬的金屬。在一些實施例中,第二表面為Cu表面。在一些實施例中,第二表面為Ni表面。在一些實施例中,第二表面為Co表面。在一些實施例中,第二表面為Al表面。在一些實施例中,第二表面為Ru表面。在一些實施例中,第二表面包括貴金屬。如上文所論述,在一些實施例中,介電質表面可經處理以增加表面上OH基團之數量。在一些實施例中,第二表面可為氧化物。在一些實施例中,第二表面可為已氧化之金屬表面。
在一些實施例中,導電表面包括諸如CuOx、NiOx、CoOx或RuOx或另一貴金屬氧化物之氧化物。在一些實施例中,導電表面可在其被處理之後不再導電。舉例而言,導電表面可在選擇性沈積製程之前或在選擇性沈積製程開始時經處理(諸如藉由氧化),且經處理表面可不再導電。
在一些實施例中,第二金屬表面是藉由氧源有目的地氧化。在一些實施例中,第二金屬表面已在環境空氣中氧化及/或含有原生氧化物。在一些實施例中,第二金屬表面含有已沈積之氧化物。
如先前論述,在一些實施例中,金屬表面在沈積之前被氧化以便促進GeO2相對於金屬表面在介電質表面上的選擇性沈積。在一些實施例中,選擇性沈積製程中之第二反應物可用以氧化金屬表面。因此,在一些實施例中,首先在初始原子層沈積循環中,或在第一原子層沈積循環之前提供第二反應物。在一些實施例中,金屬表面在開始選擇性沈積製程之前被氧化。
在一些實施例中,金屬表面在沈積之前被鈍化以便促進GeO2相對於金屬表面在介電質表面上的選擇性沈積。舉例而言,金屬表面可具備烷基矽烷基團。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的GeO2沈積為至少約90%選擇性的,至少約95%選擇性的,至少約96%、97%、98%或99%或更大選擇性的。在一些實施例中,GeO2沈積僅發生在第一表面上且不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的 GeO2沈積為至少約80%選擇性的,其可對於一些特定應用具有足夠選擇性。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沈積為至少約50%選擇性的,其可對於一些特定應用具有足夠選擇性。
參看圖4及根據較佳實施例,在步驟410處提供包括第一表面及第二表面之基板,且介電質(此處GeO2)是藉由包括多個循環之原子層沈積類型製程而選擇性地沈積於基板之第一表面上,每一循環包括:在步驟430處,使基板表面與氣化的第一前驅物(此處Ge-烷基醯胺)接觸;在步驟440處,自表面去除過量第一前驅物及反應副產物(若存在);在步驟450處,使基板表面與第二氣化反應物(此處水(H2O))接觸;在步驟460處,自表面去除過量第二反應物及形成於基板之第一表面上的第一前驅物層與第二反應物之間的反應中的任何氣體副產物,以及;在步驟470處,重複接觸及去除步驟直至已在基板之第一表面上形成所要厚度之介電質(此處GeO2)薄膜為止。
如上所述,在一些實施例中,基板之一或多個表面可在開始沈積製程400之前經處理,以便相對於一或多個不同表面增強在一表面上的沈積。在圖4中,此藉由步驟420指示,在步驟420中第二金屬表面在介電質(此處GeO2)沈積之前(諸如)藉 由鈍化或氧化而經鈍化。
在一些實施例中,自交替且依序地使基板與Ge前驅物及第二反應物(諸如,水、臭氧、氧電漿、氧自由基或氧原子)接觸而沈積氧化鍺(germanium oxide)(較佳GeO2)。在一些實施例中,第二反應物並非為水。Ge前驅物較佳包括Ge(OEt)4或TDMAGe。
原子層沈積類型製程中所使用的Ge前驅物在標準條件(室溫及大氣壓)下可為固體、液體或氣體材料,其限制條件為Ge前驅物在其與基板表面接觸之前是在氣相中。使基板表面與氣化前驅物接觸意謂在有限時間週期內前驅物蒸氣與基板表面接觸。接觸時間通常為約0.05秒至約10秒。然而,視基板類型及其表面積而定,接觸時間可甚至高於約10秒。
在一些實施例中,可藉由停止第二反應物之流動同時繼續惰性載氣之流動而自基板表面去除反應物及反應副產物。在一些實施例中,移動基板以使得不同反應物交替且依序地以所要順序在所要時間內接觸基板表面。在一些實施例中,不執行去除步驟140及去除步驟160。在一些實施例中,無反應物可被從腔室之各個部分中去除。在一些實施例中,基板自含有第一前驅物之腔室之一部分移動至含有第二反應物之腔室的另一部分。在一些實施例中,基板自第一反應室移動至第二不同反應室。
較佳地,對於單一晶圓原子層沈積反應器中之300毫米晶圓,基板表面與Ge前驅物接觸持續約0.05秒至約10秒、更佳持續約0.1秒至約5秒且最佳持續約0.3秒至約3.0秒。基板表面 與第二前驅物的接觸較佳持續約0.05秒至約10秒,更佳持續約0.1秒至約5秒,最佳持續約0.2秒至約3.0秒。然而,在一些情況下,接觸時間可為約數分鐘。熟習此項技術者可基於特定情形容易地判定最佳接觸時間。
如上所述,在一些實施例中,Ge前驅物為Ge(OEt)4或TDMAGe。下文描述可用於一些實施例中之其他可能的鍺前驅物。在一些實施例中,Ge前驅物為Ge(OMe)4。在一些實施例中,Ge前驅物並非為鹵化物。在一些實施例中,Ge前驅物可在至少一個配位體而非全部配位體中包括鹵素。
在某些較佳實施例中,藉由原子層沈積類型製程(包括多個循環)將GeO2相對於基板之第二不同表面選擇性地沈積於基板之第一表面上,每一循環包括交替且依序地使基板與氣相Ge烷基醯胺及包括水之第二反應物接觸。
在某些較佳實施例中,藉由包括多個循環之原子層沈積類型製程將GeO2相對於基板之第二不同表面選擇性地沈積於基板之第一表面上,每一循環包括交替且依序地使基板與具有式Ge(NRIRII)4的氣相Ge前驅物及包括水之第二反應物接觸,其中RI可獨立地選自由氫、烷基以及經取代之烷基構成之族群,其中RI可較佳地獨立地選自由以下各者構成之族群:C1至C3烷基,諸如甲基、乙基、正丙基以及異丙基,最佳甲基或乙基;且其中RII可獨立地選自由以下各者構成之族群:烷基及經取代之烷基,其中RII可較佳地獨立地選自由以下各者構成之族群:C1至C3烷基,諸如甲基、乙基、正丙基以及異丙基,最佳甲基或乙基。
第二反應物可為含氧氣體脈衝,且可為氧氣與惰性氣體(諸如氮氣或氬氣)之混合物。在一些實施例中,第二反應物可為含分子氧氣體。第二反應物氣體之較佳氧含量為約10%至約25%。因此,在一些實施例中,第二反應物可為空氣。在一些實施例中,第二反應物為分子氧。在一些實施例中,第二反應物包括經活化或激發之氧物質。在一些實施例中,第二反應物包括臭氧。第二反應物可為純臭氧或臭氧、分子氧以及另一氣體(例如,惰性氣體(諸如氮氣或氬氣))之混合物。臭氧可由臭氧產生器產生,且其最佳藉助於某種惰性氣體(諸如氮氣)或藉助於氧氣而引入反應空間中。在一些實施例中,提供濃度為約5體積%至約40體積%,且較佳約15體積%至約25體積%的臭氧。在其他實施例中,第二反應物為氧電漿。
在一些實施例中,使基板表面與臭氧或臭氧與另一氣體之混合物接觸。在其他實施例中,(例如)藉由將含氧氣體引導穿過電弧而將臭氧形成於反應器內部。在其他實施例中,將含氧電漿形成於反應器中。在一些實施例中,電漿可在基板頂上或緊密接近基板處就地形成。在其他實施例中,在反應室上游在遠端電漿產生器中形成電漿,且將電漿產物導引至反應室中以與基板接觸。如熟習此項技術者應瞭解,在遠端電漿之情況下,可使通向基板之路徑最佳化,以在到達基板之前使電中性物質增到最大且使離子之殘存減至最少。
在一些實施例中,第二反應物為除水以外之第二反應物。因此,在一些實施例中,不在用於選擇性地沈積GeO2之任何 原子層沈積循環中提供水。
若干不同Ge前驅物可用於選擇性沈積製程。在一些實施例中,Ge前驅物為四價(亦即,Ge具有+IV之氧化態)。在一些實施例中,Ge前驅物不為二價(亦即,Ge具有+II之氧化態)。在一些實施例中,Ge前驅物可包括至少一烷氧化物配位體。在一些實施例中,Ge前驅物可包括至少一胺或烷基胺配位體。在一些實施例中,Ge前驅物為金屬有機或有機金屬化合物。在一些實施例中,Ge前驅物包括至少一鹵化物配位體。在一些實施例中,Ge前驅物不包括鹵化物配位體。
舉例而言,來自如先前在上文論述的式(1)至式(9)之Ge前驅物可用於一些實施例。
在一些實施例中,Ge前驅物包括至少一個胺或烷基胺配位體,諸如在式(2)至式(6)及式(8)及式(9)中存在之彼等配位體,且氧前驅物包括水。
在一些實施例中,Ge前驅物包括至少一烷氧基、胺或烷基胺配位體。在一些實施例中,藉由使用水及Ge-烷基胺前驅物之原子層沈積製程而沈積GeO2。在一些實施例中,Ge前驅物為Ge(NMe2)4或Ge(NEt2)4或Ge(NEtMe)4
如上所論述,在開始沈積膜之前,通常將基板加熱至合適之生長溫度。較佳之沈積溫度可視多個因素而變化,諸如(但不限於)反應物前驅物、壓力、流率、反應器之配置以及包含基板上待沈積材料之性質的基板之組成。
處理時間視將產生之層之厚度及膜之生長率而定。在原 子層沈積中,薄膜之生長率經判定為每一循環之厚度增加量。一個循環由前驅物之接觸步驟及去除步驟組成,且一個循環之持續時間通常在約0.2秒與約30秒之間,更佳在約1秒與約10秒之間,但在一些情況下其可為約數分鐘或更大,例如,在存在大表面積及體積的情況下。
在一些實施例中,形成之GeO2膜為純GeO2膜。較佳地,除微量雜質以外,膜中不存在其他金屬或半金屬元素。在一些實施例中,膜包括小於1原子%的Ge以外之金屬或半金屬。在一些實施例中,GeO2膜為化學計量的。在一些實施例中,純GeO2膜包括小於約5原子%的氫以外之任何雜質,較佳包括小於約3原子%的氫以外之任何雜質,且更佳包括小於約1原子%的氫以外之任何雜質。
在一些實施例中,在具有高縱橫比之結構上形成之GeO2膜具有大於約80%,更佳大於約90%,且最佳大於約95%的步階覆蓋。在一些實施例中,當將特徵之深度或高度與寬度比較時,高縱橫比結構具有大於約3:1之縱橫比。在一些實施例中,結構具有大於約5:1之縱橫比,或甚至10:1或更大之縱橫比。
SiO 2 在介電質上的選擇性沈積
可藉由原子層沈積類型製程相對於基板之第二表面將二氧化矽(SiO2)沈積於同一基板之第一介電質表面上。在一些實施例中,介電質表面為親水性OH終端表面。舉例而言,介電質表面可為SiO2表面、低介電常數表面(較佳地包括OH基團)或GeO2表面。在一些實施例中,第二表面可為導電表面、金屬表面 或H終端表面。第二表面可為(例如)Cu、Ru、Al、Ni、Co或其他貴金屬表面。在一些實施例中,第二表面包括個別地選自Cu、Ni、Co、Al、Ru以及其他貴金屬的金屬。在一些實施例中,第二表面為Cu表面。在一些實施例中,第二表面為Ni表面。在一些實施例中,第二表面為Co表面。在一些實施例中,第二表面為Al表面。在一些實施例中,第二表面為Ru表面。在一些實施例中,第二表面包括貴金屬。如上文所論述,在一些實施例中,介電質表面可經處理以增加表面上OH基團之數量。
在一些實施例中,導電表面包括諸如CuOx、NiOx、CoOx或RuOx或另一貴金屬氧化物之氧化物。在一些實施例中,導電表面可在其被處理之後不再導電。舉例而言,導電表面可在選擇性沈積製程之前或在選擇性沈積製程開始時經處理(諸如藉由氧化),且經處理表面可不再導電。
在一些實施例中,第二金屬表面是藉由氧源有目的地氧化。在一些實施例中,第二金屬表面已在環境空氣中氧化及/或含有原生氧化物。在一些實施例中,第二金屬表面含有已沈積之氧化物。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的SiO2沈積為至少約90%選擇性的,至少約95%選擇性的,至少約96%、97%、98%或99%或更大選擇性的。在一些實施例中,SiO2沈積僅發生在第一表面上且不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的SiO2沈積為至少約80%選擇性的,其可對於一些特定應用具有足 夠選擇性。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沈積為至少約50%選擇性的,其可對於一些特定應用具有足夠選擇性。
在較佳實施例中,藉由將胺基矽烷用作Si前驅物及將臭氧用作第二反應物的原子層沈積類型製程來選擇性地沈積SiO2。在一些實施例中,藉由使用臭氧及胺基矽烷(諸如,雙(二乙胺基)矽烷前驅物)之原子層沈積製程而沈積SiO2。此等方法是在此項技術中已知且可用以相對於金屬選擇性地在介電質材料上沈積。
在一些實施例中,金屬表面在沈積之前經氧化以便促進相對於金屬表面SiO2在介電質表面上的選擇性沈積。在一些實施例中,選擇性沈積製程中之氧源可用以氧化金屬表面。因此,在一些實施例中,首先在初始原子層沈積循環中,或在第一原子層沈積循環之前提供第二反應物。在一些實施例中,金屬表面在開始選擇性沈積製程之前被氧化。
在一些實施例中,金屬表面在沈積之前經鈍化以便促進相對於金屬表面SiO2在介電質表面上的選擇性沈積。舉例而言,金屬表面可具備烷基矽烷基團。
參看圖5及根據較佳實施例,在步驟510處提供包括第一表面及第二表面之基板,且介電質(此處SiO2)是藉由包括多個循環之原子層沈積類型製程而選擇性地沈積於基板之第一表面上,每一循環包括:在步驟530處,使基板表面與氣化的第一前驅物(此處胺基矽烷)接觸; 在步驟540處,自表面去除過量第一前驅物及反應副產物(若存在);在步驟550處,使基板表面與第二氣化反應物(此處臭氧)接觸;在步驟560處,自表面去除過量第二反應物及形成於基板之第一表面上的第一前驅物層與第二反應物之間的反應中的任何氣體副產物,以及;在步驟570處,重複接觸及去除步驟直至所要厚度之介電質(此處SiO2)薄膜已形成於基板之第一表面上為止。
如上所述,在一些實施例中,基板之一或多個表面可在開始沈積製程500之前經處理,以便相對於一或多個不同表面增強在一表面上的沈積。在圖5中此藉由步驟520指示,在步驟520中第二金屬表面在介電質(此處SiO2)沈積之前(諸如)藉由鈍化或氧化而經鈍化。
在一些實施例中,沈積製程500是在低於450℃之溫度下操作。在一些實施例中,沈積製程在400℃下操作。在一些實施例中,整個沈積製程是在相同溫度下進行。
在一些實施例中,SiO2選擇性沈積可在廣的壓力條件範圍下進行,但較佳在減壓下操作所述製程。反應室中之壓力通常為約0.01毫巴至約500毫巴或更大。然而,在一些情況下,壓力將高於或低於此範圍,如可由熟習此項技術者容易地判定。單一晶圓反應器中之壓力較佳維持在約0.01毫巴與50毫巴之間,更佳在約0.1毫巴與10毫巴之間。批量原子層沈積反應器中之壓力較 佳維持在約1毫托與500毫托之間,更佳在約30毫托與200毫托之間。
在一些實施例中,SiO2沈積溫度保持足夠低以防止氣體源化學品之熱分解。另一方面,沈積溫度保持足夠高以提供用於表面反應之活化能,以防止源材料之物理吸附並最小化反應空間中氣體反應物之冷凝。視反應物及反應器而定,沈積溫度通常為約20℃至約500℃,較佳約150℃至約350℃,更佳約250℃至約300℃。
矽源溫度較佳設定在沈積或基板溫度以下。此是基於以下事實:若源化學品蒸氣之分壓超過基板溫度下的冷凝限制,則薄膜之受控逐層生長受損。在一些實施例中,矽源溫度為約30℃至約150℃。在一些實施例中,矽源溫度在沈積期間大於約60℃。在一些實施例中,在需要較大劑量的情況下(例如在批量原子層沈積中),矽源溫度為約90℃至約200℃,較佳約130℃至約170℃。
在一些實施例中,可藉由停止第二反應物之流動同時繼續惰性載氣之流動而自基板表面去除反應物及反應副產物。在一些實施例中,移動基板以使得不同反應物交替且依序地以所要順序在所要時間內接觸基板表面。在一些實施例中,不執行去除步驟140及去除步驟160。在一些實施例中,無反應物可被從腔室之各個部分中去除。在一些實施例中,基板自含有第一前驅物之腔室之一部分移動至含有第二反應物之腔室的另一部分。在一些實施例中,基板自第一反應室移動至第二不同反應室。
在一些實施例中,SiO2是使用如本文所描述之原子層沈積類型製程而選擇性地沈積。
在一些實施例中,包括二氧化矽之薄膜之生長率較佳在0.7埃/循環以上。在其他實施例中,生長率在0.8埃/循環以上且在另其他實施例中生長率在1.0埃/循環以上,且較佳在1.0埃/循環至1.2埃/循環範圍內。
在一些實施例中,選擇性沈積之二氧化矽具有作為雜質之小於2原子%氮氣。在其他實施例中,SiO2包括作為雜質的小於1原子%氮氣,或甚至小於0.5原子%氮氣。類似地,在一些實施例中,SiO2包括作為雜質的小於1原子%碳,及在一些情況下作為雜質的小於0.5原子%碳。
在一些實施例中,選擇性沈積之氧化矽具有大於80%,在其他實施例中較佳大於90%以及在其他實施例中較佳大於95%的步階覆蓋。
在某些較佳實施例中,藉由包括多個循環之原子層沈積類型製程相對於基板之第二不同表面將SiO2選擇性地沈積於基板之第一表面上,每一循環包括交替且依序地使基板與氣相BDEAS及包括臭氧之第二反應物接觸。
矽前驅物
在一些實施例中,矽前驅物可包括矽烷、矽氧烷或矽氮烷化合物。在一些實施例中,SiO2是使用如美國專利第7,771,533號中所描述的前驅物而沈積,所述專利特此以引用的方式併入本文中。舉例而言,來自以下式(1)至式(3)之Si前驅物可用於 一些實施例中。
(1)SimL2m+2
(2)SiyOy-1L2y+2
(3)SiyNHy-1L2y+2
其中L可獨立地自由以下各者所構成的族群中選出:F、Cl、Br、I、烷基、芳基、烷氧基、乙烯基、氰基、胺基(amino)、矽烷基、烷基矽烷基、烷氧基矽烷基、亞矽烷基以及烷基矽氧烷。在一些實施例中,烷基及烷氧基可為直鏈或分支鏈並含有至少一取代基。在一些實施例中,烷基及烷氧基含有1至10個碳原子,較佳地1至6個碳原子。
在一些實施例中,矽前驅物可較佳包括胺基經取代之矽烷及矽氮烷,諸如3-胺基烷基三烷氧基矽烷,例如3-胺基丙基三乙氧基矽烷NH2-CH2CH2CH2-Si(O-CH2CH3)3(AMTES)及3-胺基丙基三甲氧基矽烷NH2-CH2CH2CH2-Si(O-CH3)3(AMTMS)以及己烷基二矽氮烷(CH3)3Si-NH-Si(CH3)3(HMDS)。
在一些實施例中,SiO2是使用如美國專利第8,501,637號中所描述的前驅物而沈積,所述專利特此以引用的方式併入本文中。在一些實施例中,矽前驅物較佳為二矽烷並具有Si-Si鍵(矽-矽鍵)。具有Si-Si鍵及直接附接至矽(一或多個矽原子)抑或附接至與矽附接之碳鏈的NHx基團的有機化合物被用於一些實施例。在一些實施例中,使用有機矽化合物,其可包括或可不包括Si-Si鍵。更佳地,矽化合物具有式:RIII 3-x(RIIRIN)x-Si-Si-(N-RIRII)yRIII 3-y,(I)
其中,x選自1至3;y選自1至3;RI自由以下各者所構成的族群中選出;氫、烷基以及經取代之烷基;RII自由以下各者所構成的族群中選出:烷基及經取代之烷基;且RIII自由以下各者所構成的族群中選出:氫、氫氧根(-OH)、胺基(-NH2)、烷氧基、烷基以及經取代之烷基;且其中每一x、y、RIII、RII以及RI可被彼此獨立地選擇。
在一些實施例中,矽化合物為六(單烷胺基)二矽烷:(RII-NH)3-Si-Si-(NH-RII)3 (II)
在其他實施例中,矽化合物為六(乙胺基)二矽烷:(Et-NH)3-Si-Si-(NH-Et)3 (II)
在其他實施例中,矽化合物為(CH3-O)3-Si-Si-(O-CH3)3(IV)
在一些實施例中,矽化合物為六(單烷胺基)二矽烷(RII-NH)3-Si-Si-(NH-RII)3且RII是自由以下各者所構成的族群中選出:烷基及經取代烷基。
在一些實施例中,SiO2是使用如美國公開案第2009/0232985號中所描述的前驅物而沈積,所述公開案特此以引用的方式併入本文中。在一些實施例中,在0.1托至100托(13帕至13300帕)之操作壓力的情況下,沈積溫度可低至室溫且高 達500℃。較佳在0.1托至10托(13帕至1330帕)之間的壓力下在200℃與400℃之間沈積具有極低碳及氫含量之高品質膜。
在一些實施例中,Si前驅物可包括小於100百萬分率H2且可選自由以下各者構成的族群:DSO二矽氧烷 (SiH3)2O
雙(二乙胺基)矽烷 SiH2(NEt2)2
BDMAS雙(二甲胺基)矽烷 SiH2(NMe2)2
TriDMAS三(二乙胺基)矽烷 SiH(NMe2)3
雙(三甲基矽烷胺基)矽烷 SiH2(NHSiMe3)2
TEAS四(乙胺基)矽烷 Si(NHEt)4
TEOS四(乙氧基)矽烷 Si(OEt)4
BTESE雙(三乙氧基矽烷基)乙烷 (EtO)3Si-CH2-CH2-Si(OEt)3
在一些實施例中,Si前驅物為通式(R1R2N)nSiH4-x之胺基矽烷,其中x包含在1與4之間,其中R1及R2獨立地為H或C1至C6直鏈、分支鏈或環狀碳鏈。較佳地,Si前驅物為通式(R1R2N)nSiH2之胺基矽烷,其中R1及R2較佳獨立地選自C1至C4直鏈、分支鏈或環狀碳鏈。
在一些實施例中,烷基胺基矽烷為雙(二乙胺基)矽烷(BDEAS)、雙(二甲胺基)矽烷(BDMAS)或三(二甲胺基)矽烷(TriDMAS)。
在一些實施例中,Si前驅物為通式(SiH3)xR之矽烷(矽烷、二矽烷、三矽烷、三矽烷胺),其中x可在1至4之範圍內變化且其中R選自(包括)H、N、O、CH2、CH2-CH2、SiH2、SiH、 Si,其中在原子層沈積狀況中可使用催化劑。較佳地矽烷為游離C矽烷。最佳地,矽烷為三矽烷胺。在一些實施例中,極小數量(<1%)催化劑可引入反應器中。上文所描述之矽烷可難以在原子層沈積條件中使用,因為其在矽晶圓上的吸附是不利的。在一些實施例中,催化劑之使用有助於矽烷吸附在基板之第一表面或下伏層上。在一些實施例中,催化劑之引入是與矽烷同時。在一些實施例中,催化劑為胺或含金屬分子,較佳地含前過渡金屬之分子,最佳含鉿分子,諸如Hf(NEt2)4。在一些實施例中,催化劑為游離C(C-free)。
在一些實施例中,SiO2是使用如美國公開案第2007/0275166號中所描述的前驅物而沈積,所述公開案特此以引用的方式併入本文中。
在一些實施例中,用於選擇性沈積製程之Si前驅物為有機胺基矽烷前驅物且其表示為如下式A:
在此類別之化合物中,R及R1是自由以下各者構成之族群中選出:C2-C10烷基、直鏈、分支鏈、或環狀、飽和或不飽和、芳香族、烷胺基團、雜環、氫、矽烷基團(在存在或不存在取代基的情況下),且R及R1亦可組合成環狀基團。代表性取代基為烷基且特定言之C1-4烷基(諸如乙基、丙基以及丁基,包含其異構體形式)、環狀基團(諸如環丙基、環戊基以及環己基)。此類別內的一些較佳化合物之說明性化合物表示為式:
其中n為1至6,較佳4或5。
在一些實施例中,矽前驅物為如表示為式B的具有自單一氮原子附掛之兩個矽烷基團的有機胺基矽烷。
如同A類別化合物之R基團,R是自由以下各者所構成的族群中選出:C2至C10烷基、直鏈、分支鏈、或環狀、飽和或不飽和、芳香族、烷胺基團及雜環。特定R基團包含甲基、乙基、丙基、烯丙基、丁基、二甲胺基團以及環狀基團(諸如環丙基、環戊基以及環己基)。說明性化合物表示為下式:
但是,已發現儘管以上有機胺基矽烷適合於在基板之第一表面上製備氧化矽膜,但式A之有機胺基矽烷是較佳的。
在一些實施例中,矽前驅物可在原子層沈積類型沈積製程期間形成。在一些實施例中,形成新的氣相矽前驅物,其接著亦能夠吸附至基板之第一表面上。此可被稱作矽前驅物之就地形成。在一些實施例中,就地形成之矽前驅物可為(例如)具有式SiL1L2L3L4之矽烷化合物,其中L1表示胺基(諸如烷基胺基團)且L2至L4表示烷基或烷氧基。例如,當在350℃至450℃下在0.1毫巴至50毫巴之壓力下使基板之第一表面與己烷基二矽氮烷接觸時,形成此矽烷化合物。
第二反應物
在一些實施例中,如先前所揭露用於GeO2選擇性沈積製程之第二反應物可與上文所提及Si前驅物一起使用。在一些實施例中,第二反應物為臭氧。在一些實施例中,第二反應物為分子氧。在一些實施例中,第二反應物為以下化合物中之一或多者:氮氧化物,諸如一氧化二氮(N2O)、一氧化氮(NO)以及二氧化氮(NO2);鹵氧化物化合物,例如二氧化氯(ClO2)及全氯酸(HClO4);過酸,例如過苯甲酸及過氧乙酸;醇,諸如甲醇及乙醇;各種自由基,例如氧自由基(O)或羥基自由基(OH);以及 過氧化氫(H2O2)。
在一些實施例中,氧前驅物並非為電漿。在一些實施例中,氧前驅物包括氧自由基。如上文所論述,在一些實施例中,本文中所揭露之選擇性沈積製程不利用電漿,諸如,直接電漿,因為直接電漿可損害基板之第二表面。然而,在一些情況下,選擇性沈積製程可利用藉由電漿製備的能量不過高之自由基作為反應物,例如不破壞或降級基板表面的藉由電漿製備的氧自由基。
根據一些實施例,在使基板之第一表面與另一化合物及/或至少一含氧氣體接觸之前,至少一化合物或至少一含氧氣體在所述表面上。
在一些實施例中,使基板表面與每一化合物及/或含氧氣體接觸後以自基板表面去除化合物及/或含氧氣體,例如藉由注入淨化氣體(諸如惰性氣體)至反應室中,而在一些實施例中,重複基板表面與化合物及/或氣體的接觸直至獲得所要SiO2膜厚度為止。反應室內部之壓力較佳地應低於100托,更佳低於2托。較佳地,選擇性沈積之SiO2膜中的H含量小於8.1021原子/立方厘米。
在一些實施例中,含臭氧氣體為包括氧氣及臭氧之氣體混合物,其中O3/O2比率在30體積%以下,較佳在5體積%與20體積%之間。較佳地,氧氣/臭氧氣體混合物被稀釋至惰性氣體(較佳氮氣)中。
氧化鎂在介電質上的選擇性沈積
氧化鎂(MgO)可藉由原子層沈積類型製程相對於基板 之第二表面沈積於同一基板之第一介電質表面上。在一些實施例中,介電質表面為親水性OH終端表面。舉例而言,介電質表面可為SiO2、低介電常數或GeO2表面。在一些實施例中,第二表面可為導電表面、金屬表面或H終端表面。第二表面可為(例如)Cu、Ru、Al、Ni、Co或其他貴金屬表面。在一些實施例中,第二表面包括個別地選自Cu、Ni、Co、Al、Ru以及其他貴金屬的金屬。在一些實施例中,第二表面為Cu表面。在一些實施例中,第二表面為Ni表面。在一些實施例中,第二表面為Co表面。在一些實施例中,第二表面為Al表面。在一些實施例中,第二表面為Ru表面。在一些實施例中,第二表面包括貴金屬。如上文所論述,在一些實施例中,介電質表面可經處理以增加表面上OH基團之數量。
在一些實施例中,導電表面包括諸如CuOx、NiOx、CoOx或RuOx或另一貴金屬氧化物之氧化物。在一些實施例中,導電表面可在其被處理之後不再導電。舉例而言,導電表面可在選擇性沈積製程之前或在選擇性沈積製程開始時經處理(諸如藉由氧化),且經處理表面可不再導電。
在一些實施例中,第二金屬表面是藉由氧源有目的地氧化。在一些實施例中,第二金屬表面已在環境空氣中氧化及/或含有原生氧化物。在一些實施例中,第二金屬表面含有已沈積之氧化物。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的MgO沈積為至少約90%選擇性的,至少約95%選擇性 的,至少約96%、97%、98%或99%或更大選擇性的。在一些實施例中,MgO沈積僅發生在第一表面上且不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的MgO沈積為至少約80%選擇性的,其可對於一些特定應用具有足夠選擇性。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沈積為至少約50%選擇性的,其可對於一些特定應用具有足夠選擇性。
在一些實施例中,MgO是藉由使用(例如)Mg(Cp)2作為鎂反應物及使用水、臭氧或水與臭氧之組合作為氧反應物的原子層沈積類型製程而選擇性地沈積。在一些實施例中,MgO是藉由使用(例如)Mg(thd)2作為Mg反應物及使用水、臭氧或水與臭氧之組合作為氧反應物的原子層沈積類型製程而選擇性地沈積。用於藉由原子層沈積沈積MgO之方法是此項技術中已知的,且可用以將MgO相對於金屬表面選擇性地沈積於介電質表面上。
在一些實施例中,MgO是藉由如材料化學雜誌(J.Mater.Chem.)2000.10:1857-1861頁,Putkonen等人之增強氧化鎂薄膜之原子層磊晶法沈積之生長率(Enhanced Growth Rate in Atomic Layer Epitaxy Deposition of Magnesium Oxide Thin Films)中所描述的方法而沈積,其特此以引用的方式併入本文中。
如先前論述,在一些實施例中,金屬表面可經處理以促進MgO的相對於金屬表面在介電質表面上的選擇性沈積。在一些實施例中,金屬表面在沈積之前被氧化以便促進MgO的相對於金屬表面在介電質表面上的選擇性沈積。詳言之,在一些實施例中, 金屬表面經氧化且MgO是自鎂前驅物(諸如Mg(Cp)2)及水而沈積。在一些實施例中,MgO沈積製程中之水可用以氧化金屬表面。因此,在一些實施例中,首先在初始原子層沈積循環中,或在第一原子層沈積循環之前提供水。
在一些實施例中,金屬表面經氧化且MgO是自鎂前驅物(諸如Mg(Cp)2)及臭氧而沈積。在一些實施例中,MgO沈積製程中之臭氧可用以氧化金屬表面。因此,在一些實施例中,首先在初始原子層沈積循環中,或在第一原子層沈積循環之前提供臭氧。
如先前論述,在一些實施例中,金屬表面在沈積之前被鈍化以便促進MgO的相對於金屬表面在介電質表面上的選擇性沈積。舉例而言,金屬表面可具備烷基矽烷基團。詳言之,在一些實施例中,金屬表面經鈍化且MgO是自鎂前驅物(諸如Mg(Cp)2)及水而沈積。
在一些實施例中,MgO是藉由原子層沈積類型製程而沈積於基板之第一表面上。參看圖6及根據較佳實施例,在步驟610處提供包括第一表面及第二表面之基板,且介電質(此處MgO)是藉由包括多個循環之原子層沈積類型製程而選擇性地沈積於基板之第一表面上,每一循環包括:在步驟630處,使基板表面與氣化的第一前驅物(此處Mg(Cp)2)接觸;在步驟640處,自表面去除過量第一前驅物及反應副產物(若存在);在步驟650處,使基板表面與第二氣化反應物(此處為 H2O)接觸。
在步驟660處,自表面去除過量第二反應物及形成於基板之第一表面上的第一前驅物層與第二反應物之間的反應中的任何氣體副產物,以及;在步驟670處,重複接觸及去除步驟直至所要厚度之介電質(此處MgO)薄膜已形成於基板之第一表面上為止。
如上所述,在一些實施例中,基板之一或多個表面可在開始沈積製程600之前經處理,以便相對於一或多個不同表面增強在一表面上的沈積。在圖6中,此藉由步驟620指示,在步驟620中第二金屬表面在介電質(此處MgO)沈積之前(諸如)藉由鈍化或氧化而經鈍化。
在一些實施例中,如上文所描述,將載氣用於原子層沈積類型沈積製程。在一些實施例中,Mg前驅物是藉由N2載氣而輸送至反應空間中。在一些實施例中,N2載氣以60標準立方厘米/分鐘之速率流動。
在一些實施例中,可藉由停止第二反應物之流動同時繼續惰性載氣之流動而自基板表面去除反應物及反應副產物。在一些實施例中,移動基板以使得不同反應物交替且依序地以所要順序在所要時間內接觸基板表面。在一些實施例中,不執行去除步驟140及去除步驟160。在一些實施例中,無反應物可被從腔室之各個部分中去除。在一些實施例中,基板自含有第一前驅物之腔室之一部分移動至含有第二反應物之腔室的另一部分。在一些實施例中,基板自第一反應室移動至第二不同反應室。
在一些實施例中,Mg前驅物經加熱至50℃並經由加熱線路輸送至反應空間中。在一些實施例中,在不使用額外起泡系統的情況下在保持在30℃下之圓柱體中產生水蒸汽。在一些實施例中,將Mg(Cp)2用作Mg反應物且將水、臭氧或水與臭氧之組合用作氧反應物。在一些實施例中,移動基板以使得不同反應物交替且依序地以所要順序在所要時間內接觸基板表面。在一些實施例中,基板自第一反應室移動至第二不同反應室。在一些實施例中,基板在第一反應室內移動。
微孔密封層之選擇性沈積
在一些實施例中,藉由本文中所描述的方法將GeO2層作為微孔密封層相對於金屬表面選擇性地沈積在多孔低介電常數膜上。在一些實施例中,多孔低介電常數膜及金屬表面可為雙鑲嵌結構之部分。在一些實施例中,MgO層被用作微孔密封層並可如本文中所描述而沈積。
當製造積體電路時,沈積並圖案化絕緣、導電以及半導體材料之層以產生所要結構。「後端」或金屬化製程包含接觸件形成及金屬線或導線形成。接觸件形成穿過絕緣層垂直地連接導電層。習知地,接觸通孔或開口形成於絕緣層中,絕緣層通常包括某形式的諸如硼磷矽玻璃(borophosphosilicate glass;BPSG)之氧化物或由正矽酸四乙酯(tetraethylorthosilicate;TEOS)前驅物形成的氧化物。通孔接著以導電材料填充,藉此互連在絕緣層上方及下方的電裝置與佈線。由垂直接觸件互連之層通常包含在積體電路上延伸的水平金屬線。此等線習知地藉由在絕緣層上沈積 金屬層,以所要佈線圖案遮蔽金屬層,以及蝕刻掉所要導線或導電線之間的金屬而形成。
鑲嵌處理涉及以所要線之圖案形成溝槽,藉由金屬或其他導電材料填充或過填充溝槽,以及接著回蝕過量金屬至絕緣層。導線因此留在溝槽內,在所要圖案中彼此隔離。回蝕製程避免了習知金屬線界定之更困難的光微影遮罩及蝕刻製程。
在鑲嵌處理之延伸中,稱為雙鑲嵌之製程涉及形成通常由蝕刻停止材料分開的兩個絕緣層,及在上部絕緣層中形成溝槽,如上文針對鑲嵌處理所描述。在溝槽已被蝕刻之後,將另一遮罩用以蝕刻出向下穿過溝槽之底部及下部絕緣層的接觸通孔以暴露需要接觸件之下部導電元件。
更特定而言,在一些實施例中,將選擇性沈積法應用於形成於多孔「低介電常數」材料中的金屬化結構。在上文提及的絕緣層之高度保形自飽和形成之前,首先將密封層選擇性地沈積於暴露之多孔表面上,從而阻擋微孔。保形自飽和製程接著不能穿透微孔且低介電常數介電質維持其所要性質。沈積製程之選擇性性質確保所沈積之密封層不干擾在通孔底部的導電表面。
選擇性沈積方法經選擇以在不填充微孔至低介電常數材料中之任何顯著深度的情況下阻擋、填塞或密封在暴露表面處的多孔低介電常數材料之微孔。完全填充低介電常數材料之微孔(即使是藉由絕緣材料)將藉由升高材料之介電常數抵消多孔低介電常數材料之優點。
本文中所揭露之選擇性沈積製程的選擇性性質使得能夠 在不在溝槽之導電底部上沈積的情況下在雙金屬鑲嵌製程中沈積微孔密封層。藉由相對於同一基板上之第二導電表面僅在第一多孔低表面上選擇性地沈積,減少了向下蝕刻穿過溝槽之底部以暴露下部導電元件的需要。在一些實施例中,無微孔密封層沈積於基板之第二導電表面上,藉此消除對於暴露導電表面之蝕刻的需要。在一些實施例中,某一數量微孔密封層可沈積於基板之第二導電表面上,然而,其可在不需要額外蝕刻步驟的情況下藉由(例如)對導電表面之H2電漿處理容易地去除。在一些實施例中,在開始沈積製程之前處理導電表面。在一些實施例中,在沈積製程開始時處理導電表面。在一些實施例中,導電表面之處理包括氧化導電表面。在一些實施例中,導電表面可在其被處理之後不再導電。舉例而言,導電表面可在選擇性沈積製程之前或在選擇性沈積製程開始時經處理(諸如藉由氧化),且經處理表面可不再導電。
在所說明之實施例中,阻擋是藉由如圖7A中所示以密封層730來鑲襯多孔低介電常數層710、多孔低介電常數層720中之開口同時無沈積發生在溝槽之導電底部740上而實現。更特定而言,密封層730是藉由不具有足夠高保形性以廣泛地穿過低介電常數絕緣體(層710、層720)之厚度填充微孔的方法而沈積於多孔低介電常數層之開口中。在一些實施例中,密封層是相對於通孔之底部740處的導電材料選擇性地沈積於多孔低介電常數層之開口中。較佳地,在不沈積於基板之導電表面上的情況下,選擇性沈積以進入低介電常數絕緣體中之深度不超過三個微孔深度的 方式填充或填塞微孔,其中微孔深度是藉由平均孔徑界定。更佳地,選擇性沈積不會連續地鑲襯微孔超出進入低介電常數絕緣體中之三個微孔深度的深度。最佳地,選擇性沈積填充微孔的深度不超過進入低介電常數材料中之一個微孔深度,連續地鑲襯微孔的深度不超過進入低介電常數材料中之兩個微孔深度,且不充分地鑲襯微孔達進入層中之三個微孔深度以在所沈積材料導電的情況下傳導電。
如圖7B中所示,無微孔被完全填充。第一微孔760(向通孔750(圖7A)敞開)基本上被密封層730之材料填充。然而,歸因於不完全保形性,密封層730已在完全填充之前夾斷第一微孔760之開口,從而留下第一微孔760內之空隙770。第二微孔762經展示有在一些情況下可為連續的微孔壁之非常薄塗層772。第三微孔764僅具有不連續沈積物774(若存在)。類似地,第四微孔766(其亦表示依據自低介電常數材料之外部(通孔)表面穿過微孔之距離的第三微孔深度)僅具有不連續沈積物776(若存在)。在所說明之實施例中,第四微孔768(表示距離表面(沿不同路徑)的第四微孔深度)不具有明顯的沈積物。
在一些實施例中,密封層730藉由如上文所描述之原子層沈積類型製程相對於第二導電表面(通孔的底部740)選擇性地形成於基板之第一多孔低介電常數表面上。有利地,用於阻擋低介電常數材料之微孔的「低保形性」原子層沈積類型製程可就地繼之以具有最小均勻厚度的高保形性原子層沈積層,以實現層(例如,黏著、障壁、電鍍晶種層)之目標,因此保存空間以供更多 導電材料填充開口。
在一些實施例中,使基板之表面交替且依序地與第一反應物及第二反應物接觸。在一些實施例中,反應物在惰性載氣中經脈動進入反應室中。在第一步驟中,使基板之表面與Ge源氣體接觸,基板表面是以含Ge物質鑲襯。另外,Ge源氣體能夠藉由擴散滲透進入多孔絕緣層中。必要時,第一接觸階段與後續原子層沈積製程相比可延長,從而確保金屬源氣體滲透進入多孔絕緣層中。
若干不同Ge前驅物可用於本文中所描述的選擇性微孔密封層沈積製程。如上文所描述用於GeO2選擇性製程的Ge前驅物可用於選擇性微孔密封層沈積製程。舉例而言,如上文所描述的式(1)至式(9)之Ge前驅物可用於選擇性微孔密封層沈積製程。如上所述,在一些實施例中,Ge前驅物為Ge(OEt)4或TDMAGe。在一些實施例中,Ge前驅物為Ge(OMe)4。在一些實施例中,Ge前驅物並非為鹵化物。在一些實施例中,Ge前驅物可在至少一個配位體而非全部配位體中包括鹵素。較佳地,Ge前驅物為Ge烷基醯胺。
在第一接觸階段之後,例如藉由以惰性氣體之脈衝自反應室淨化而自基板表面去除Ge源氣體。在本發明之一些實施例中,去除步驟不足以自微孔中去除全部金屬源氣體且一些仍被截留在絕緣材料之微孔中。去除步驟之持續時間可等效於經最佳化以自溝槽及通孔中淨化反應物但未經最佳化以淨化乾淨微孔的原子層沈積製程之持續時間。或者,去除步驟可經縮短以確保金屬 源氣體保持在絕緣材料之微孔內。
在第一反應物去除之後,使基板表面與第二反應物接觸。較佳地,第二反應物為氧源氣體。如上文關於GeO2之選擇性沈積所概述,可利用若干不同氧源。較佳地,第二反應物為H2O。第二反應物可為含氧氣體脈衝且可為氧氣與惰性氣體(諸如氮氣或氬氣)之混合物。在一些實施例中,第二反應物可為含分子氧的氣體脈衝。氧源氣體之較佳氧含量為約10%至約25%。因此,一種氧源可為空氣。在一些實施例中,第二反應物為分子氧。在一些實施例中,第二反應物包括經活化或激發之氧物質。在一些實施例中,第二反應物包括臭氧。第二反應物可為純臭氧或臭氧、分子氧以及另一氣體(例如,惰性氣體(諸如氮氣或氬氣))之混合物。臭氧可由臭氧產生器產生,且其最佳藉助於某種惰性氣體(諸如氮氣)或藉助於氧氣而引入反應空間中。在一些實施例中,提供濃度為約5體積%至約40體積%,且較佳約15體積%至約25體積%的臭氧。在其他實施例中,第二反應物為氧電漿。
第二反應物與選擇性地吸附於多孔低介電常數材料之表面上的含Ge物質之單層反應。另外,第二反應物擴散進入絕緣材料,在其中第二反應物與保持在微孔內之Ge源氣體反應。此為化學氣相沈積型(CVD型)反應,從而導致在微孔內沈積遠大於一個GeO2單層。第二反應物將與其遇到的第一Ge源氣體反應且因此其進入微孔之擴散將有限,從而導致進入絕緣層之耗盡效應。耗盡效應之結果將為大部分GeO2沈積在第一微孔之頸部,其中GeO2沈積隨進入絕緣材料之距離而減少。此將使第一微孔之頸部 變窄,從而進一步限制在後續原子層沈積循環期間進入多孔絕緣材料之擴散。因此,交替製程在相對可到達的區域中以選擇性原子層沈積模式操作,且在相對更被限制區域(諸如微孔)中以具有伴隨的耗盡效應之CVD(化學氣相沈積)模式操作。自圖7A及圖7B,將清楚第一低介電常數材料之頂部表面區域為最可到達區域,低介電常數材料之溝槽或側壁區域具有減少的可達性,可達性隨至頂部表面內之距離增加而減少,且微孔區域具有最低可達性。可更一般地只要存在此等可達性差異便應用所述製程。
原子層沈積循環之重複將藉由增加所沈積層之厚度而進一步使第一微孔之頸部變窄,且最終將產生封堵微孔之連續密封層。CVD耗盡效應與進入微孔之擴散的所得減小的組合將允許此製程在不減小材料之絕緣性質的情況下密封多孔絕緣材料。密封微孔所需的重複之數目將取決於孔徑,且可由熟習此項技術者經由常規實驗來判定。
如熟習此項技術者鑒於以上揭露內容將瞭解,此交替沈積製程可藉由適當選擇反應物接觸持續時間及分離(例如,去除步驟持續時間)以獲得所要位準之保形性來最佳化。此「低保形性」修改有利地達成沿純原子層沈積製程(其中反應物接觸為自飽和的且彼此很好分離以避免CVD反應)之接近完美等形覆蓋與純CVD製程(其中沈積速率受溫度及/或反應物濃度強烈影響)之相對不佳保形性之間的連續區的保形性。有利地,熟習此項技術者可經由對變化的反應物接觸步驟持續時間及分離進行常規實驗容易地定製適合於非保形層之給定幾何形狀及給定用途的保形 性。鑒於本文中揭露內容,應瞭解對接觸步驟持續時間及分離之選擇可涉及每一循環中的沈積階段中之一或多者,且每一循環可包含兩個、三個或大於三個反應物接觸步驟。
在美國專利第6,759,325號中描述多孔低介電常數膜之密封,所述專利以引用的方式併入本文中。詳言之,描述微孔結構且本文中描述可經修改以利用選擇性GeO2沈積製程的用於(諸如)藉由沈積製程填塞微孔之各種機制。舉例而言,原子層沈積製程可經修改以提供某一重疊且因此提供一些氣相相互作用。另外,可歸因於未在反應物之供應之間去除的殘餘反應物而在微孔中發生一些類似CVD反應。
在一些實施例中,在通孔之底部上的金屬表面(諸如Cu或CuO或氧終端的Cu表面)上不沈積大量GeO2的情況下將GeO2微孔密封層沈積於低介電常數膜上。在一些實施例中,相對於金屬表面,GeO2沈積針對低介電常數膜為90%、95%、95%、97%、98%、99%或更大選擇性的。在一些實施例中,無GeO2形成於金屬表面上。在一些實施例中,金屬表面保持導電。
在一些實施例中,微孔密封層在不顯著改變膜之低介電常數性質的情況下沈積於多孔低介電常數膜上。舉例而言,可在不顯著增加有效k值的情況下沈積微孔密封層。
在一些實施例中,多孔低介電常數膜可經處理以增強前驅物吸附,諸如藉由以含氮電漿、氮自由基或氮原子進行的處理。
在一些實施例中,密封層密封約3奈米直徑之微孔。在一些實施例中,密封層密封約3奈米或更小直徑之微孔。在一些 實施例中,微孔密封層為(例如)約5奈米或更小、約3奈米或更小、約2奈米或更小或甚至約1奈米或更小的薄GeO2層。
在一些實施例中,微孔密封層可提供反應部位(諸如OH)以用於後續藉由原子層沈積來沈積Cu障壁層。
修復受損壞介電質膜及後續在雙鑲嵌結構中選擇性沈積微孔密封層
本發明之一些實施例提供用於修復藉由處理介電質膜產生的形成於基板上之介電質膜之製程相關損壞的方法,其中介電質膜在處理之前具有第一介電常數,且受損壞之介電質膜具有高於第一介電常數之第二介電常數,所述方法包括: (i)藉由將受損壞介電質膜之表面暴露於含矽之第一恢復氣體而在所述表面上吸附第一氣體,以在無反應物質存在的情況下在不沈積膜的情況下藉由第一氣體恢復表面,其中經表面恢復的介電質膜具有低於第二介電常數之第三介電常數;(ii)藉由N2電漿(及視情況H2O)處理表面,且藉由將經表面恢復的介電質膜之經電漿處理多孔低介電常數表面暴露於含Ge之第二氣體而在表面上選擇性地吸附所述第二氣體,繼之以應用第二反應物至介電質膜之吸附第二氣體的表面,以在其上形成GeO2之單層,其中步驟(i)中將表面暴露於第一氣體的持續時間比步驟(ii)中將表面暴露於第二氣體之持續時間長;以及(iii)重複步驟(ii)以選擇性地沈積單層GeO2以覆蓋經表面恢復的介電質膜之表面。
在一些實施例中,步驟(i)對應於製程期間損壞的多孔 表面之恢復步驟,其中第一氣體吸附於多孔表面上。通常,損壞發生在多孔介電質膜內部,且需要經由具有奈米級大小之微孔擴散並吸附第一氣體(其可被稱作「恢復氣體」)。由於多孔表面具有大表面積並具有低傳導性,因此與氣體經吸附於平坦表面上的情況相比,氣體花費更多時間到達全部表面並在其上飽和。由於此製程為自限性吸附反應製程,所以所沈積氣體分子之數目是由反應表面部位(亦即,具有OH基團之經損壞表面)之數目判定,且獨立於飽和之後的氣體暴露,且氣體之供應是這樣以使得反應表面部位藉此飽和,且形成自組單層。在一些實施例中,經損壞表面暴露於第一氣體之持續時間(氣體供應之持續時間)可基於常規實驗藉由損壞程度(例如,與在損壞之前介電質膜之介電常數相比較,介電常數增加0.1、0.2、0.3、0.4)、膜之孔隙率(例如,在約10%至約60%範圍內)、第一氣體之流率(例如,在約0.5標準立方厘米/分鐘至約20標準立方厘米/分鐘範圍內)等等來判定。通常,經損壞表面暴露於第一氣體之持續時間可為約2秒至約120秒(例如,包含約3、5、10、20、40、80以及前述任何兩個數之間的值,通常約5秒或更長)。
在一些實施例中,接著借助於曝露於反應物質而處理經恢復多孔低介電常數表面。反應物質為藉由電漿或其他能量自反應物氣體產生的物質。在一些實施例中,反應物氣體可自由下列各者所構成之族群中選出:氦He、氬Ar、NH3、H2以及N2。較佳地,反應物氣體為N2,且反應物質由氮電漿組成。在一些實施例中,反應物質包括N2電漿。在一些實施例中,多孔低介電常數 表面被用反應物質處理,使得隨後應用之Ge前驅物可與經電漿處理之多孔低介電常數表面協調。
在一些實施例中,經恢復多孔低介電常數表面在暴露於反應物質之後被用H2O處理,以便在多孔低介電常數表面上形成Si-OH部位以用於後續GeO2生長。
在一些實施例中,GeO2密封層藉由如上文所描述之原子層沈積類型製程相對於基板之第二表面選擇性地沈積於多孔低介電常數表面上。
在某些較佳實施例中,GeO2藉由原子層沈積類型製程(包括多個循環)相對於基板之第二不同表面選擇性地沈積於基板之多孔低介電常數表面上,每一循環包括交替且依序地使基板與氣相Ge烷基醯胺及包括水之第二反應物接觸。在一些實施例中,Ge烷基醯胺是作為Ge前驅物來提供且與第一低介電常數表面反應。在一些實施例中,接著提供H2O作為第二反應物以與選擇性吸附之Ge前驅物反應以選擇性地沈積GeO2
在一些實施例中,MgO是根據上文所論述之用於MgO選擇性沈積的製程而選擇性地沈積為微孔密封層。在一些實施例中,氧化鎂(MgO)是自MgCp2及水蒸汽而沈積,因為咸信MgCp2對於氧化銅(CuO)表面為非反應性的且其與水蒸汽反應。
在某些較佳實施例中,藉由包括多個循環之原子層沈積類型製程相對於基板之第二不同表面將MgO選擇性地沈積於基板之第一表面上,每一循環包括交替且依序地使基板與氣相Mg(Cp)2及包括水之第二反應物接觸。
在一些實施例中,第二表面為Cu。在一些實施例中,第二表面為CuO。在一些實施例中,第二Cu表面以氧源有目的地氧化以形成CuO表面。在一些實施例中,第二Cu表面已在環境空氣中氧化及/或含有原生氧化物。在一些實施例中,第二Cu表面含有已沈積之氧化物。
如先前論述,在一些實施例中,Cu表面在沈積之前被氧化以便促進將GeO2相對於Cu表面選擇性沈積於介電質表面上。在一些實施例中,選擇性沈積製程中之第二反應物可用以氧化Cu表面。因此,在一些實施例中,首先在初始原子層沈積循環中,或在第一原子層沈積循環之前提供第二反應物。在一些實施例中,Cu表面在開始選擇性沈積製程之前被氧化。
在一些實施例中,在製程流程期間Cu表面被保持氧化為CuO表面。在一些實施例中,在已完成較佳數目個沈積循環之後,可以H2電漿還原CuO表面且可沈積Cu障壁。在一些實施例中,CuO表面可以有機還原劑(諸如HCOOH、甲醇或乙醇)或以分子氫H2或以含氫自由基或氫原子來還原。
有利地,如本文所描述之GeO2微孔密封層可提供(例如)用於原子層沈積障壁沈積的良好反應部位(Ge-OH)。另外,由於GeO2是使用水作為第二反應物來選擇性地沈積,所以不會造成對低介電常數表面的進一步損壞。
在處理(諸如包括電漿或自由基之處理)亦可在側壁上形成反應部位的情況下,上述原子層沈積類型選擇性沈積製程提供GeO2層之保形生長。GeO2亦可提供呈Ge-OH表面終端形式的 良好反應部位以用於後續原子層沈積障壁層沈積。在一些實施例中,GeO2密封層可充當障壁層。
在一些實施例中,GeO2微孔密封層可相對於第二Cu表面選擇性地沈積於包括第一介電質表面之基板表面上。介電質表面可為多孔低介電常數表面,諸如基於氧化矽之多孔低介電常數表面。在一些實施例中,Cu表面可在開始選擇性沈積製程之前經氧化且可在整個製程中保持氧化。在一些實施例中,可自原子層沈積類型製程(諸如圖4中所展示之包括多個GeO2沈積循環的製程)選擇性地沈積GeO2,每一循環包括交替且依序地使基板與氣相TDMAGe及包括水之第二反應物接觸。
在一些實施例中,GeO2選擇性沈積製程可在175℃之沈積溫度下進行。在一些實施例中,第一接觸步驟可包括將TDMAGe之氣相脈衝引入至反應室中。在-些實施例中,脈衝時間為約3秒。在一些實施例中,去除步驟可為淨化步驟。淨化意謂(諸如)藉由以真空泵抽空腔室及/或藉由以諸如氬氣或氮氣之惰性氣體替代反應器內部之氣體而自基板表面去除氣相前驅物及/或氣相副產物。在一些實施例中,第一去除步驟可具有約6秒之淨化時間。在一些實施例中,第二接觸步驟包括使基板與H2O接觸。在一些實施例中,第二接觸步驟包括提供H2O之氣相脈衝至反應室中。在一些實施例中,脈衝時間為約3秒。在一些實施例中,第二去除步驟可為類似於第一去除步驟之淨化步驟。在一些實施例中,第二去除步驟具有約6秒之淨化時間。
實例
GeO2微孔密封層之選擇性生長是部分地基於在CuO上缺乏自Ge烷基醯胺及H2O沈積的GeO2之生長(對於LEIS結果,參見以下表1)。甚至在Ge烷基醯胺及H2O之20個GeO2沈積循環之後,在CuO表面上仍沒有發現GeO2
即使某些GeO2沈積於CuO表面上,其仍很可能可被去除,這是由於氧化鍺(且特別言之Ge(II)O)在金屬表面上不穩定且可在對CuO表面之H2電漿處理期間被去除。

Claims (30)

  1. 一種用於選擇性地沈積介電質材料的方法,所述方法為相對於基板之第二金屬表面在同一所述基板之第一介電質表面上選擇性地沈積介電質材料,所述用於選擇性地沈積介電質材料的方法包括:至少一沈積循環,其包括交替且依序地使所述基板與第一前驅物及第二反應物接觸,其中所述第一前驅物包括Ge、Si或Mg,且其中所述介電質材料選自GeO2、SiO2以及MgO。
  2. 如申請專利範圍第1項所述之用於選擇性地沈積介電質材料的方法,其中在所述至少一沈積循環之前,所述第二金屬表面經處理以抑制所述介電質材料在其上的沈積。
  3. 如申請專利範圍第2項所述之用於選擇性地沈積介電質材料的方法,其中所述第二金屬表面在所述至少一沈積循環之前經氧化。
  4. 如申請專利範圍第2項所述之用於選擇性地沈積介電質材料的方法,其中所述第二金屬表面在所述至少一沈積循環之前經鈍化。
  5. 如申請專利範圍第1項所述之用於選擇性地沈積介電質材料的方法,其中所述第一介電質表面包括SiO2、GeO2或低介電常數表面。
  6. 如申請專利範圍第1項所述之用於選擇性地沈積介電質材料的方法,其中所述第一前驅物包括鍺-烷基胺,且所述第二反應物為水。
  7. 如申請專利範圍第1項所述之用於選擇性地沈積介電質材料的方法,其中所述第一前驅物包括胺基矽烷前驅物,且所述第二反應物包括臭氧。
  8. 如申請專利範圍第1項所述之用於選擇性地沈積介電質材料的方法,其中所述第一前驅物包括Mg(Cp)2,且所述第二反應物選自水、臭氧以及水與臭氧之組合。
  9. 如申請專利範圍第1項所述之用於選擇性地沈積介電質材料的方法,其中將所述介電質材料相對於所述第二金屬表面以至少90%之選擇率選擇性地沈積於所述第一介電質表面上。
  10. 如申請專利範圍第9項所述之用於選擇性地沈積介電質材料的方法,其中所述選擇率在多達20個所述沈積循環中保持。
  11. 如申請專利範圍第1項所述之用於選擇性地沈積介電質材料的方法,其中選擇性沈積之所述介電質材料為GeO2或MgO的微孔密封層,且其中基板之所述第一介電質表面包括多孔低介電常數膜。
  12. 如申請專利範圍第11項所述之用於選擇性地沈積介電質材料的方法,其中所述第二金屬表面包括Cu。
  13. 如申請專利範圍第11項所述之用於選擇性地沈積介電質材料的方法,其中所述第二金屬表面在所述至少一沈積循環之前經氧化。
  14. 如申請專利範圍第13項所述之用於選擇性地沈積介電質材料的方法,其中所述第二金屬表面在所述至少一沈積循環之前包括CuO表面。
  15. 如申請專利範圍第11項所述之用於選擇性地沈積介電質材料的方法,其中將所述GeO2或MgO相對於所述第二金屬表面以大於90%之選擇率沈積於所述低介電常數膜上。
  16. 如申請專利範圍第15項所述之用於選擇性地沈積介電質材料的方法,其中所述選擇率在多達20個所述沈積循環中保持。
  17. 如申請專利範圍第11項所述之用於選擇性地沈積介電質材料的方法,其中所述GeO2或MgO的微孔密封層是在不顯著增加有效k值的情況下沈積於所述低介電常數膜上。
  18. 如申請專利範圍第11項所述之用於選擇性地沈積介電質材料的方法,其中所述微孔密封層將直徑為約3奈米或更小之微孔密封。
  19. 一種用於選擇性地沈積選自Sb及Ge之材料的方法,所述方法為在基板之第一金屬表面上選擇性地沈積選自Sb及Ge之材料,所述第一金屬表面相對於同一所述基板的第二表面為不同,其中所述第二表面包括OH終端,所述用於選擇性地沈積選自Sb及Ge之材料的方法包括:至少一沈積循環,其包括交替且依序地使所述基板與第一金屬前驅物及第二反應物接觸,其中所述第一金屬前驅物包括Sb或Ge。
  20. 如申請專利範圍第19項所述之用於選擇性地沈積選自Sb及Ge之材料的方法,其中所述第一金屬表面包括Ni、Co、Cu、Al或Ru。
  21. 如申請專利範圍第19項所述之用於選擇性地沈積選自Sb及Ge之材料的方法,其中所述第二表面為介電質表面。
  22. 如申請專利範圍第20項所述之用於選擇性地沈積選自Sb及Ge之材料的方法,其中所述第二表面經處理以提供所述OH終端。
  23. 如申請專利範圍第20項所述之用於選擇性地沈積選自Sb及Ge之材料的方法,其中所述第二表面經鈍化。
  24. 如申請專利範圍第19項所述之用於選擇性地沈積選自Sb及Ge之材料的方法,其中所述第一金屬前驅物包括具有式SbX3之Sb反應物,其中X為鹵素。
  25. 如申請專利範圍第19項所述之用於選擇性地沈積選自Sb及Ge之材料的方法,其中將所述材料相對於所述第二表面以至少90%之選擇率選擇性地沈積於所述第一金屬表面上。
  26. 如申請專利範圍第25項所述之用於選擇性地沈積選自Sb及Ge之材料的方法,其中所述選擇率至少被保持直到沈積了多達5奈米厚度之材料。
  27. 一種用於選擇性地沈積金屬或金屬氧化物材料的方法,所述方法為在基板之第一介電質表面上選擇性地沈積金屬或金屬氧化物材料,所述第一介電質表面相對於同一所述基板之第二不同表面為不同,所述用於選擇性地沈積金屬或金屬氧化物材料的方法包括:至少一沈積循環,其包括交替且依序地使所述基板與第一前驅物及第二反應物接觸,其中所述第一前驅物包括Ni、Fe或Co且其中所述金屬或金屬氧化物選自Ni、Fe、Co、NiOx、FeOx以及CoOx
  28. 如申請專利範圍第27項所述之用於選擇性地沈積金屬或金屬氧化物材料的方法,其中所述第二不同表面在所述至少一沈積循環之前經處理以抑制介電質材料在其上之沈積。
  29. 如申請專利範圍第27項所述之用於選擇性地沈積金屬或金屬氧化物材料的方法,其中所述第一介電質表面包括SiO2、GeO2或低介電常數表面。
  30. 如申請專利範圍第27項所述之用於選擇性地沈積金屬或金屬氧化物材料的方法,其中將所述金屬或金屬氧化物材料相對於所述第二不同表面以至少90%之選擇率選擇性地沈積於所述第一介電質表面上。
TW104103504A 2014-02-04 2015-02-03 金屬、金屬氧化物與介電質的選擇性沈積 TWI661072B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461935798P 2014-02-04 2014-02-04
US61/935,798 2014-02-04

Publications (2)

Publication Number Publication Date
TW201534749A TW201534749A (zh) 2015-09-16
TWI661072B true TWI661072B (zh) 2019-06-01

Family

ID=53754049

Family Applications (3)

Application Number Title Priority Date Filing Date
TW108112792A TWI686499B (zh) 2014-02-04 2015-02-03 金屬、金屬氧化物與介電質的選擇性沉積
TW104103504A TWI661072B (zh) 2014-02-04 2015-02-03 金屬、金屬氧化物與介電質的選擇性沈積
TW109102051A TWI739285B (zh) 2014-02-04 2015-02-03 金屬、金屬氧化物與介電質的選擇性沉積

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW108112792A TWI686499B (zh) 2014-02-04 2015-02-03 金屬、金屬氧化物與介電質的選擇性沉積

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW109102051A TWI739285B (zh) 2014-02-04 2015-02-03 金屬、金屬氧化物與介電質的選擇性沉積

Country Status (3)

Country Link
US (4) US9895715B2 (zh)
KR (3) KR102281464B1 (zh)
TW (3) TWI686499B (zh)

Families Citing this family (379)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9895715B2 (en) * 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US20160049293A1 (en) * 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
EP3191494B1 (en) * 2014-09-11 2019-07-03 King Abdullah University Of Science And Technology Fabrication of metal organic framework materials using a layer-by-layer spin coating approach
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9508545B2 (en) * 2015-02-09 2016-11-29 Applied Materials, Inc. Selectively lateral growth of silicon oxide thin film
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6346115B2 (ja) * 2015-03-24 2018-06-20 東芝メモリ株式会社 パターン形成方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10316406B2 (en) * 2015-10-21 2019-06-11 Ultratech, Inc. Methods of forming an ALD-inhibiting layer using a self-assembled monolayer
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
WO2017111870A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Selective hard mask processing based on low-valency group iv heterocyclic precursors
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP6661487B2 (ja) * 2016-07-13 2020-03-11 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6728087B2 (ja) 2017-02-22 2020-07-22 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6742287B2 (ja) * 2017-02-28 2020-08-19 東京エレクトロン株式会社 半導体製造方法及びプラズマ処理装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
JP6832776B2 (ja) * 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10256144B2 (en) 2017-04-26 2019-04-09 Applied Materials, Inc. Process integration approach of selective tungsten via fill
US11404313B2 (en) 2017-04-26 2022-08-02 Applied Materials, Inc. Selective tungsten deposition at low temperatures
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
WO2018213018A1 (en) * 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
TW202401527A (zh) 2017-06-14 2024-01-01 美商應用材料股份有限公司 用於達成無缺陷自組裝單層的晶圓處理
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) * 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
TWI804369B (zh) 2017-07-14 2023-06-01 荷蘭商Asm Ip控股公司 用於將自組裝單層沈積於基板之表面上的設備
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6873007B2 (ja) 2017-08-09 2021-05-19 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
JP6832808B2 (ja) 2017-08-09 2021-02-24 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
JP7279024B2 (ja) * 2017-09-12 2023-05-22 アプライド マテリアルズ インコーポレイテッド 化学エッチングによる選択的堆積の欠陥除去
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP6929209B2 (ja) 2017-12-04 2021-09-01 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10424845B2 (en) * 2017-12-06 2019-09-24 At&T Intellectual Property I, L.P. Method and apparatus for communication using variable permittivity polyrod antenna
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) * 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7019837B2 (ja) * 2018-04-13 2022-02-15 アプライド マテリアルズ インコーポレイテッド 選択的原子層堆積方法
JP7146690B2 (ja) * 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US10304744B1 (en) 2018-05-15 2019-05-28 International Business Machines Corporation Inverse tone direct print EUV lithography enabled by selective material deposition
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7085929B2 (ja) 2018-07-13 2022-06-17 東京エレクトロン株式会社 成膜方法
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10643889B2 (en) * 2018-08-06 2020-05-05 Lam Rasearch Corporation Pre-treatment method to improve selectivity in a selective deposition process
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10615037B2 (en) 2018-08-17 2020-04-07 International Business Machines Corporation Tone reversal during EUV pattern transfer using surface active layer assisted selective deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11450525B2 (en) 2018-09-14 2022-09-20 Applied Materials, Inc. Selective aluminum oxide film deposition
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
WO2020077112A1 (en) * 2018-10-10 2020-04-16 Tokyo Electron Limited Method for filling recessed features in semiconductor devices with a low-resistivity metal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10692755B2 (en) 2018-10-24 2020-06-23 International Business Machines Corporation Selective deposition of dielectrics on ultra-low k dielectrics
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN113039486A (zh) 2018-11-14 2021-06-25 朗姆研究公司 可用于下一代光刻法中的硬掩模制作方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) * 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11738366B2 (en) * 2019-01-25 2023-08-29 The Regents Of The University Of California Method of coating an object
JP7090568B2 (ja) 2019-01-30 2022-06-24 東京エレクトロン株式会社 成膜方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11081566B2 (en) 2019-03-15 2021-08-03 International Business Machines Corporation Self-aligned contacts for vertical field effect transistors
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11075266B2 (en) 2019-04-29 2021-07-27 International Business Machines Corporation Vertically stacked fin semiconductor devices
CN113785381A (zh) * 2019-04-30 2021-12-10 朗姆研究公司 用于极紫外光刻抗蚀剂改善的原子层蚀刻及选择性沉积处理
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10790157B1 (en) * 2019-07-16 2020-09-29 University Of Maryland, College Park Achieving etching selectivity for atomic layer etching processes by utilizing material-selective deposition phenomena
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
US11094588B2 (en) 2019-09-05 2021-08-17 Applied Materials, Inc. Interconnection structure of selective deposition process
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102156663B1 (ko) * 2019-09-25 2020-09-21 솔브레인 주식회사 박막 제조 방법
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11637036B2 (en) 2020-01-30 2023-04-25 International Business Machines Corporation Planarization stop region for use with low pattern density interconnects
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
US11532517B2 (en) 2020-02-04 2022-12-20 Tokyo Electron Limited Localized etch stop layer
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11152299B2 (en) 2020-03-03 2021-10-19 International Business Machines Corporation Hybrid selective dielectric deposition for aligned via integration
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11562908B2 (en) 2020-04-28 2023-01-24 International Business Machines Corporation Dielectric structure to prevent hard mask erosion
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
TW202212605A (zh) * 2020-09-22 2022-04-01 荷蘭商Asm Ip私人控股有限公司 用於沉積含鍺硫族化合物之層的系統、裝置和方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US11515154B2 (en) * 2020-10-27 2022-11-29 Applied Materials, Inc. Selective deposition of a passivation film
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
JP2021040159A (ja) * 2020-11-30 2021-03-11 東京エレクトロン株式会社 選択成長方法
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102366555B1 (ko) * 2021-01-05 2022-02-23 주식회사 이지티엠 핵성장 지연을 이용한 영역 선택적 박막 형성 방법
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023219400A1 (ko) * 2022-05-10 2023-11-16 주성엔지니어링(주) 반도체 소자의 전극 형성 방법 및 반도체 소자의 전극

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040219746A1 (en) * 2003-04-29 2004-11-04 Micron Technology, Inc. Systems and methods for forming metal oxide layers
US20100248473A1 (en) * 2009-03-31 2010-09-30 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US20130095664A1 (en) * 2011-10-12 2013-04-18 ASM International. N.V. Atomic layer deposition of antimony oxide films

Family Cites Families (282)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US31605A (en) * 1861-03-05 Wood-saw house
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) * 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) * 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
US5582880A (en) * 1992-03-27 1996-12-10 Canon Kabushiki Kaisha Method of manufacturing non-single crystal film and non-single crystal semiconductor device
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
KR100274317B1 (ko) * 1997-08-26 2001-01-15 정명식 화학증착에의한개구충전방법
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
KR100319888B1 (ko) * 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
WO2001012731A1 (en) 1999-08-19 2001-02-22 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
JP4333900B2 (ja) 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. 磁気メモリセル、磁気構造体及び磁気素子の製造方法、並びに磁気構造体用金属層の成長方法
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR102220703B1 (ko) * 2002-11-15 2021-02-26 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
CN101061253B (zh) 2004-11-22 2010-12-22 应用材料股份有限公司 使用批式制程腔室的基材处理装置
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070071888A1 (en) * 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) * 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7790631B2 (en) * 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20150139628A (ko) 2007-09-14 2015-12-11 시그마 알드리치 컴퍼니 엘엘씨 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2009076590A (ja) * 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
US20100297474A1 (en) 2007-11-06 2010-11-25 Hcf Partners, Lp. Atomic Layer Deposition Process
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
CN102113132B (zh) * 2008-07-16 2013-09-25 应用材料公司 使用掺杂层屏蔽的混合异质结太阳能电池制造
CN102132422A (zh) 2008-08-27 2011-07-20 应用材料股份有限公司 利用印刷介电阻障的背接触太阳能电池
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
KR20110103988A (ko) * 2008-12-01 2011-09-21 이 아이 듀폰 디 네모아 앤드 캄파니 유기 전자 소자용 애노드
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9011706B2 (en) * 2008-12-16 2015-04-21 City University Of Hong Kong Method of making foraminous microstructures
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
MX2012001115A (es) 2009-07-31 2012-03-21 Akzo Nobel Chemicals Int Bv Proceso para la preparacion de un sustrato recubierto, el sustrato recubierto, y uso del mismo.
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) * 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) * 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
US8716130B2 (en) 2010-07-01 2014-05-06 Tokyo Electron Limited Method of manufacturing semiconductor device
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP5562434B2 (ja) * 2010-11-19 2014-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR101995602B1 (ko) 2011-06-03 2019-07-02 노벨러스 시스템즈, 인코포레이티드 상호접속을 위한 캡핑층들을 함유하는 금속 및 실리콘
KR20130007059A (ko) * 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) * 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9090641B2 (en) * 2012-03-09 2015-07-28 Applied Materials, Inc. Precursors and methods for the selective deposition of cobalt and manganese on metal surfaces
US8815344B2 (en) * 2012-03-14 2014-08-26 Applied Materials, Inc. Selective atomic layer depositions
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
KR20150036114A (ko) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) * 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20150372205A1 (en) 2013-01-31 2015-12-24 Dai Nippon Printing Co., Ltd. Electron beam curable resin composition, reflector resin frame, reflector, semiconductor light-emitting device, and molded article production method
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
WO2014209390A1 (en) 2013-06-28 2014-12-31 Intel Corporation Selective epitaxially grown iii-v materials based devices
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
CN104347476B (zh) * 2013-07-23 2018-06-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
MX2016002656A (es) 2013-09-20 2016-06-06 Baker Hughes Inc Materiales compuestos para uso en operaciones de estimulacion y control de arena.
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
WO2015047345A1 (en) 2013-09-27 2015-04-02 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
KR102131379B1 (ko) 2013-12-19 2020-07-08 인텔 코포레이션 자기 정렬 게이트 에지 및 로컬 상호접속 및 그 제조 방법
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9895715B2 (en) * 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
EP3122918A4 (en) 2014-03-27 2018-03-14 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
US9853107B2 (en) 2014-03-28 2017-12-26 Intel Corporation Selective epitaxially grown III-V materials based devices
US10047435B2 (en) * 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
CN107429330A (zh) 2015-03-18 2017-12-01 新日铁住金株式会社 钛合金、分隔件、及固体高分子型燃料电池
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US9911591B2 (en) 2015-05-01 2018-03-06 Applied Materials, Inc. Selective deposition of thin film dielectrics using surface blocking chemistry
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US10464959B2 (en) 2015-06-18 2019-11-05 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) * 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
CN109844930B (zh) 2016-10-02 2024-03-08 应用材料公司 以钌衬垫改善铜电迁移的经掺杂选择性金属覆盖
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
WO2018213018A1 (en) 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric
US10900120B2 (en) * 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) * 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) * 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040219746A1 (en) * 2003-04-29 2004-11-04 Micron Technology, Inc. Systems and methods for forming metal oxide layers
US20100248473A1 (en) * 2009-03-31 2010-09-30 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US20130095664A1 (en) * 2011-10-12 2013-04-18 ASM International. N.V. Atomic layer deposition of antimony oxide films

Also Published As

Publication number Publication date
US20180243787A1 (en) 2018-08-30
US20150217330A1 (en) 2015-08-06
KR102586705B1 (ko) 2023-10-10
TW202024387A (zh) 2020-07-01
US20200122191A1 (en) 2020-04-23
KR20210095603A (ko) 2021-08-02
KR102281464B1 (ko) 2021-07-27
US11213853B2 (en) 2022-01-04
US10456808B2 (en) 2019-10-29
TWI686499B (zh) 2020-03-01
TW201534749A (zh) 2015-09-16
US20220193720A1 (en) 2022-06-23
TW201930635A (zh) 2019-08-01
TWI739285B (zh) 2021-09-11
US9895715B2 (en) 2018-02-20
KR20230145284A (ko) 2023-10-17
KR20150092022A (ko) 2015-08-12

Similar Documents

Publication Publication Date Title
TWI661072B (zh) 金屬、金屬氧化物與介電質的選擇性沈積
KR102367720B1 (ko) 이중 선택적 퇴적
KR102524573B1 (ko) SiOCN 박막들의 형성
KR102104390B1 (ko) GeO2의 원자층 증착
TWI718308B (zh) 將薄膜選擇性地沈積於基底上的製程
KR101599488B1 (ko) 배리어 표면들 상의 코발트 증착
KR20170017779A (ko) 알루미늄 및 질소 함유 물질의 선택적 퇴적
KR20100137582A (ko) 구리 표면 상에 선택적인 코발트 증착
CN109087885B (zh) 金属膜的选择性沉积
KR20040040376A (ko) 금속 막의 원자층 증착을 위한 방법
JP2022504574A (ja) 半導体デバイス内の凹状特徴部を低抵抗率金属で充填する方法
TW202403076A (zh) 有機材料之選擇性沉積