KR102367720B1 - 이중 선택적 퇴적 - Google Patents

이중 선택적 퇴적 Download PDF

Info

Publication number
KR102367720B1
KR102367720B1 KR1020210102581A KR20210102581A KR102367720B1 KR 102367720 B1 KR102367720 B1 KR 102367720B1 KR 1020210102581 A KR1020210102581 A KR 1020210102581A KR 20210102581 A KR20210102581 A KR 20210102581A KR 102367720 B1 KR102367720 B1 KR 102367720B1
Authority
KR
South Korea
Prior art keywords
substrate
deposition
metal
precursor
dielectric
Prior art date
Application number
KR1020210102581A
Other languages
English (en)
Other versions
KR20210099547A (ko
Inventor
수비 하우카
라이야 마테로
에바 토이스
안티 니스카넨
마르코 투오미넨
한누 후오타리
빌야미 제이. 포레
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20210099547A publication Critical patent/KR20210099547A/ko
Priority to KR1020220022740A priority Critical patent/KR20220030970A/ko
Application granted granted Critical
Publication of KR102367720B1 publication Critical patent/KR102367720B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/408Oxides of copper or solid solutions thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

기판의 제1 표면 상에 제1 물질을 그리고 동일한 기판의 다른 제2 표면 상에 제2 물질을 이중 선택적 퇴적하는 방법들이 제공된다. 선택적으로 퇴적된 물질들은 예를 들어, 금속, 금속 산화물, 또는 유전체 물질들일 수 있다.

Description

이중 선택적 퇴적{Dual selective deposition}
본 출원은 기판의 상이한 두 표면들 위에 두 물질들을 선택적으로 퇴적시키는 것에 관한 것이다. 보다 구체적으로, 제 1 물질은 기판의 제 1 표면 위에 제 2 표면에 대하여 선택적으로 퇴적되고, 제 2 물질은 기판의 상기 제 2 표면 위에 상기 제 1 표면에 대하여 선택적으로 퇴적된다.
<관련 출원의 상호 참조>
본 출원은 "이중 선택적 퇴적(DUAL SELECTIVE DEPOSITION)" 제하로 2014년 4월 16일에 제출된 미합중국 임시출원 제61/980,373호의 이익을 주장하며, 상기 출원은 그 전체가 여기에 참조되어 통합된다.
집적 회로들은 반도체 기판 위에 다양한 물질의 층들이 소정의 배열에 따라 순차적으로 건조되는 정교한 공정에 의하여 현재 제조된다.
반도체 기판 상의 상기 물질들의 소정의 배열은, 마스크 층을 퇴적시키고 그에 이어서 선택적 식각 공정을 하는 것과 같이, 전체 기판 표면 위에 물질을 퇴적시키고 그에 이어서 상기 기판의 소정 영역으로부터 그 물질을 제거함으로써 종종 이루어진다.
일부 경우들에 있어서, 기판 상의 집적된 표면을 제조하는 데 수반되는 단계들의 수는 이중 선택적 퇴적 공정을 이용함으로써 감소될 수 있다. 후속 공정을 수행할 필요 없이 또는 그 필요를 줄이면서 여기서 제 1 물질은 기판의 제 1 표면 위에 제 2 표면에 대하여 선택적으로 퇴적되고, 제 2 물질은 기판의 상기 제 2 표면 위에 상기 제 1 표면에 대하여 선택적으로 퇴적된다. 여기서는 기판의 제 1 표면 위에 제 2 표면에 대한 제 1 물질 및 제 2 표면 위에 제 1 표면에 대한 제 2 물질의 이중 선택적 퇴적의 방법들이 개시된다.
본 개시의 일부 태양들에 따라, 기판의 제 1 표면 위에 제 1 물질을, 그리고 동일한 기판의 제 2 표면 위에 제 2 물질을 퇴적시키기 위하여 선택적 퇴적이 사용될 수 있다. 일부 실시예들에 있어서, 선택적 퇴적을 위하여 원자층 증착(atomic layer deposition, ALD) 타입의 공정들이 사용된다. 일부 실시예들에 있어서, 선택적 퇴적을 위하여 화학 기상 증착(chemical vapor deposition, CVD) 타입의 공정들이 사용된다. 일부 실시예들에 있어서, 기판의 제 1 표면 위에 금속성 물질이 선택적으로 퇴적되고, 그리고 동일한 기판의 제 2 표면 위에 유전체 물질이 선택적으로 퇴적된다. 일부 실시예들에 있어서, 기판의 제 1 표면 위에 제 1 금속성 물질이 선택적으로 퇴적되고, 그리고 동일한 기판의 제 2 표면 위에 제 2 금속성 물질이 선택적으로 퇴적된다.
일부 실시예들에 있어서, 제 1 물질의 선택적 퇴적과 제 2 물질의 선택적 퇴적 사이에 에어브레이크(airbreak)가 개입됨이 없이 기판의 제 1 표면 위에 제 1 물질이 선택적으로 퇴적되고, 동일한 기판의 제 2 표면 위에 제 2 물질이 선택적으로 퇴적된다. 일부 실시예들에 있어서, 동일한 반응기 내에서 기판의 제 1 표면 위에 제 1 물질이 선택적으로 퇴적되고, 동일한 기판의 제 2 표면 위에 제 2 물질이 선택적으로 퇴적된다. 일부 실시예들에 있어서, 제 1 물질의 선택적 퇴적과 제 2 물질의 선택적 퇴적 사이에 추가적인 처리 없이 기판의 제 1 표면 위에 제 1 물질이 선택적으로 퇴적되고, 동일한 기판의 제 2 표면 위에 제 2 물질이 선택적으로 퇴적된다.
일부 실시예들에 있어서, 제 1 물질의 선택적 퇴적에 대하여 적어도 80%의 선택도를 가지면서 기판의 제 1 표면 위에 제 1 물질이 선택적으로 퇴적되고, 동일한 기판의 제 2 표면 위에 제 2 물질이 선택적으로 퇴적된다. 일부 실시예들에 있어서, 제 2 물질의 선택적 퇴적에 대하여 적어도 80%의 선택도를 가지면서 기판의 제 1 표면 위에 제 1 물질이 선택적으로 퇴적되고, 동일한 기판의 제 2 표면 위에 제 2 물질이 선택적으로 퇴적된다.
일부 실시예들에 있어서, 제 1 물질의 선택적 퇴적은 상기 기판을 제 1 금속 전구체 및 제 2 반응물과 교호하여 그리고 순차적으로 접촉시키는 단계를 포함하는 퇴적 사이클을 적어도 하나 포함한다. 일부 실시예들에 있어서, 제 2 물질의 선택적 퇴적은 상기 기판을 제 2 전구체 및 제 2 반응물과 교호하여 그리고 순차적으로 접촉시키는 단계를 포함하는 퇴적 사이클을 적어도 하나 포함한다. 일부 실시예들에 있어서, 기판의 제 1 표면 위에 제 1 물질이 선택적으로 퇴적되고, 동일한 기판의 제 2 표면 위에 제 2 물질이 선택적으로 퇴적되며, 상기 제 1 물질을 선택적으로 퇴적시키기 위하여 1 회 내지 50 회까지의 퇴적 사이클들이 수행된다. 일부 실시예들에 있어서, 기판의 제 1 표면 위에 제 1 물질이 선택적으로 퇴적되고, 동일한 기판의 제 2 표면 위에 제 2 물질이 선택적으로 퇴적되며, 상기 제 2 물질을 선택적으로 퇴적시키기 위하여 1 회 내지 50 회까지의 퇴적 사이클들이 수행된다.
일부 실시예들에 있어서, 상기 제 1 표면은 Cu, Si-H, W, Ni, Co, Ru, 또는 다른 귀금속(noble metal)을 포함한다. 일부 실시예들에 있어서, 제 2 유전체 물질을 선택적으로 퇴적시키기에 앞서 상기 제 1 표면은 유전체 물질이 그 위에 퇴적되는 것이 억제되도록 처리된다. 일부 실시예들에 있어서, 상기 제 1 표면은 산화된다. 일부 실시예들에 있어서, 상기 제 1 표면은 패시베이션된다. 일부 실시예들에 있어서, 상기 제 1 표면은 금속 또는 반도체 물질을 포함한다.
일부 실시예들에 있어서, 상기 제 2 표면은 OH, NHx, 또는 SHx ?? 종결들을 포함한다. 일부 실시예들에 있어서, 상기 제 2 표면은 유전체 물질의 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 동일한 기판의 제 1 표면 위에 제 1 물질을 선택적으로 퇴적시키기에 앞서 상기 제 2 표면 위에 상기 제 1 물질이 퇴적되는 것이 억제되도록 처리된다. 일부 실시예들에 있어서, 상기 제 2 표면은 그 위에 OH, NHx, 또는 SHx ?? 종결들을 제공하도록 처리된다.
일부 실시예들에 있어서, 상기 제 1 물질은 Sb, Ge, Ru, 귀금속, W, Cu, Al, Ni, 및 Co로부터 선택된다; 상기 제 2 물질은 SbOx, GeO2, BiOx, MgO, SiO2, AlO2, 및 TiO2로부터 선택된다. 일부 실시예들에 있어서, 상기 제 1 물질은 Ni, Ge, Fe, Co, 또는 TiO2를 포함한다; 상기 제 2 물질은 Al 또는 Cu이다.
일부 실시예들에 있어서, 기판의 제 1 표면 위에 제 1 물질이 선택적으로 퇴적되고, 동일한 기판의 제 2 표면 위에 제 2 물질이 선택적으로 퇴적되고, 제 2 물질을 선택적으로 퇴적시키는 단계는 패시베이션 전구체를 이용하는 단계를 포함한다. 일부 실시예들에 있어서, 패시베이션 화합물은 HCOOH, 알킬아민 패시베이션 화합물, 또는 이들 둘 모두 중에서 선택된다. 일부 실시예들에 있어서, 패시베이션은 상기 제 2 물질의 모든 퇴적 사이클 동안 일어날 수 있다.
본 발명은 발명의 상세한 설명 및 첨부된 도면들로부터 더 잘 이해될 수 있을 것이다. 발명의 상세한 설명과 첨부된 도면들은 예시 목적이며, 본 발명을 제한하지 않는다.
도 1a는 기판의 제 1 표면 위에 제 1 물질을, 그리고 동일한 기판의 제 2 표면 위에 제 2 물질을 형성하는 이중 선택적 퇴적의 공정 흐름을 나타낸다.
도 1b는 일부 실시예들에 따라 제 1 금속 표면 위에 금속성 물질을, 그리고 상이한 제 2 표면 위에 유전체 물질을 형성하는 이중 선택적 퇴적을 나타낸다.
도 2는 기판의 제 1 표면 위에서 동일한 기판의 상이한 표면인 제 2 표면에 대하여 물질을 선택적으로 퇴적시키기 위한 공정 흐름을 나타낸다.
도 3a는 일실시예에 따라 기판의 제 1 표면 위에 Ru을, 그리고 동일한 기판의 제 2 표면 위에 GeO2를 이중 선택적 퇴적시키는 것을 나타낸다.
도 3b는 일실시예에 따라 기판의 제 1 표면 위에 Ru을, 그리고 동일한 기판의 제 2 표면 위에 SiO2를 이중 선택적 퇴적시키는 것을 나타낸다.
도 4는 일실시예에 따라 기판의 제 1 표면 위에 Cu 또는 CuO를, 그리고 동일한 기판의 제 2 표면 위에 GeO2를 이중 선택적 퇴적시키는 것을 나타낸다.
도 5는 일실시예에 따라 기판의 제 1 표면 위에 Sb를, 그리고 동일한 기판의 제 2 표면 위에 W을 이중 선택적 퇴적시키는 것을 나타낸다.
도 6은 일실시예에 따라 기판의 제 1 표면 위에 Ni 또는 NiO를, 그리고 동일한 기판의 제 2 표면 위에 GeO2을 이중 선택적 퇴적시키는 것을 나타낸다.
도 7a는 일실시예에 따라 기판의 제 1 표면 위에 Ni를, 그리고 동일한 기판의 제 2 표면 위에 W을 이중 선택적 퇴적시키는 것을 나타낸다.
도 7b는 다른 실시예에 따라 기판의 제 1 표면 위에 Ni를, 그리고 동일한 기판의 제 2 표면 위에 W을 이중 선택적 퇴적시키는 것을 나타낸다.
도 8은 일실시예에 따라 기판의 제 1 표면 위에 Al을, 그리고 동일한 기판의 제 2 표면 위에 SiO2를 이중 선택적 퇴적시키는 것을 나타낸다.
일부 상황들에 있어서는, 상이한 두 물질들을 동일한 기판의 상이한 두 표면 위에 선택적으로 퇴적시키는 것이 바람직하다. 예를 들면, 일부 상황들에 있어서 기판의 금속 표면 위에 금속이 퇴적될 예정이고 동일한 기판의 유전체 표면 위에는 유전체가 퇴적될 예정이다. 다른 상황들에 있어서, 기판의 금속 표면 위에 금속을 포함하는 물질이 퇴적되고 동일한 기판의 산화물 또는 유전체 표면 위에는 유전체가 퇴적된다. 다른 상황들에 있어서, 상이한 두 물질들이 OH 종결된 유전체 표면 또는 동일한 기판의 HF 식각된 Si 표면 (Si-H 또는 수소 종결된 실리콘 표면) 위에 퇴적될 예정이다. 퇴적될 상기 두 물질들은 동일한 기판의 인접한 표면들 위에 퇴적될 상이한 두 금속들일 수 있다. 각 물질을 적절한 표면 위에 선택적으로 퇴적시키는 능력은 보다 신속한 처리 시간과 비용 절감과 같은 장점들을 제공할 수 있다. 희생 텅스텐에 인접한 철을 포함하는 상이한 두 물질들의 선택적인 CVD를 위한 하나의 공정은 Bien et al, Multiple Self-Aligned Iron Nanowires by a Dual Selective Chemical Vapor Deposition Process, Electrochemical and Solid-State Letters, 10 (9) H251-H253 (2007)에 개시되어 있으며, 상기 문헌은 그 전체가 여기에 인용되어 통합된다.
여기에 개시된 바와 같은 이중 선택적 퇴적 공정들은 다양한 상황에서, 예를 들면, 캡핑층들, 배리어층들, 식각 정지층들, 희생 및/또는 보호층들을 형성하기 위하여 또는 다공성의 로우 k 물질들에서와 같이 기공들을 밀봉하기 위하여 사용될 수 있다. 일부 실시예들에 있어서, 기판의 제 1 표면 위에 금속성 물질이 동일한 기판의 유전체 표면과 같은 상이한 제 2 표면에 비하여 차별되어 선택적으로 퇴적될 수 있다; 그리고 유전체 물질은 상기 제 1 표면에 비하여 상기 제 2 표면 위에 선택적으로 퇴적될 수 있다. 일부 실시예들에 있어서, 금속성 물질의 퇴적이 먼저 진행되고, 다른 실시예들에 있어서 유전체 물질의 퇴적이 먼저 진행된다. 일부 실시예들에 있어서, 상기 제 1 표면 및 상기 제 2 표면은 기판 위에서 서로 인접한다.
하나 또는 그 이상의 상이한 표면들에 비하여 하나의 표면 위에서의 퇴적을 향상시키기 위하여 하나 이상의 표면들이 처리될 수 있다. 일부 실시예들에 있어서, 제 1 표면 위에서의 퇴적을 동일한 기판의 상이한 제 2 표면에 비하여 향상시키기 위하여 제 1 표면이 처리되거나 활성화된다. 일부 실시예들에 있어서, 제 2 표면 위에서의 퇴적을 동일한 기판의 상이한 제 1 표면에 비하여 감소시키기 위하여 제 2 표면이 처리되거나 비활성화된다. 일부 실시예들에 있어서, 제 1 표면은 퇴적이 향상되도록 처리되고 제 2 표면은 퇴적이 감소되도록 처리된다. 그에 의하여 상기 제 2 표면에 대한 상기 제 1 표면 위에서의 선택적 퇴적이 증가된다. 일부 실시예들에 있어서, 상기 비활성화 처리는 자기-조립된 모노레이어(self-assembled monolayer, SAM) 또는 긴 탄소 사슬을 갖는 유사한 모노레이어의 형성을 수반하지 않는다. 일부 실시예들에 있어서, 상기 비활성화 처리는 유기 작용제로 수행되는 처리가 아니다. 예를 들면, 일부 실시예들에 있어서, 상기 비활성화 처리는 표면의 산화, 환원, 또는 염소화와 같은 할로겐화일 수 있다. 비활성화는 유전체와 같은 상기 물질들 중의 하나를 퇴적시키기 위하여 사용된 전구체에 존재하는 유기 작용기를 이용하여 상기 반응기 내의 기상 반응물들로부터 인-시투로 패시베이션하는 것을 포함할 수 있다. 유기기들로 또는 SAM들로 인-시투 패시베이션을 하는 임의의 패시베이션의 경우에 있어서, 상기 패시베이션은 패시베이션을 제거하기 위한 별도의 단계를 제공함이 없이 패시베이션을 가능하게 하는 추가적인 화학작용으로 또는 막들을 퇴적시키기 위하여 사용되는 화학작용으로 퇴적 온도에서 바람직하게 제거된다. 예를 들면, 패시베이션은 제 2 물질을 성장시키기 위하여 사용되는 O3 펄스로 또는 추가적인 O3 펄스로 제거될 수 있다.
예를 들면, 일부 실시예들에 있어서, 유전체 물질이 기판의 유전체인 제 1 표면 위에, 동일한 기판의 도전성 표면, 금속 표면, 또는 H-종결된 표면과 같은 제 2 표면에 대하여 상대적으로 퇴적된다. 상기 제 2 표면은 상기 유전체 표면에 대하여 상대적으로 상기 제 2 표면 위에 상기 유전체 물질의 퇴적을 감소시키기 위하여 상기 유전체 물질의 퇴적의 시작 시에 또는 그 이전에 산화될 수 있다. 즉, 처리된 상기 제 2 표면에 대한 상기 유전체 표면 위에서의 선택적 퇴적이 증대된다. 일부 실시예들에 있어서, 상기 제 2 표면은 예를 들면 상기 표면이 알킬실릴기들을 포함하도록 처리하는 것에 의하여 패시베이션된다. 상기 패시베이션은 처리된 상기 제 2 표면에 대하여 상대적으로 상기 유전체 표면 위에서의 선택적 퇴적을 용이하게 할 수 있다. 예를 들면, 상기 제 2 표면 위에서의 산화물의 퇴적은 상기 패시베이션에 의하여 억제될 수 있다. 일부 실시예들에 있어서, 패시베이션은 상기 제 2 표면 위에 SAM 또는 긴 탄소 사슬을 갖는 유사한 모노레이어를 형성하는 것을 포함하지 않는다.
일부 실시예들에 있어서, 유전체 표면은 상이한 표면인 제 2 표면 위에서의 금속의 선택적 퇴적을 동일한 기판 위의 상기 유전체 표면에 대하여 상대적으로 용이하게 하기 위하여 처리될 수 있다. 예를 들면, 상기 유전체 표면은 친수성 OH-종결된 표면을 제공하기 위하여 처리될 수 있다. OH-종결된 표면은 어떤 전구체들과는 반응성을 갖지만 다른 전구체들은 이러한 종결과 반응하지 않을 수 있다. 예를 들면, OH-종결된 표면은 두 개의 시클로펜타디에닐 리간드들 (또는 그의 유도체)을 갖는 루테늄 화합물들 또는 Cu-아미디네이트와 같은 특정 화합물들의 흡착에 대하여 쉽게 반응하지 않을(passive) 수 있다. 따라서 일부 실시예들에 있어서, OH-종결은 유전체 표면 위에 금속의 퇴적을 상이한 표면인 제 2 표면에 대하여 상대적으로 억제하기 위하여 사용될 수 있다. 상기 제 2 표면은 예를 들면 H-종결된 표면의 도전성 표면, 금속 표면이다.
일부 실시예들에 있어서, 유전체 표면은 그 위에 금속이 퇴적되는 것을 억제하기 위하여 패시베이션될 수 있다. 예를 들면, 유전체 표면은 실릴화된(-Si-(CH3)x 또는 -Si(CH3)3) 표면 또는 할로겐화된 표면 또는 SiH3 표면을 제공하는 케미컬과 접촉될 수 있다. 일부 실시예들에 있어서, 상기 유전체 표면은 Si-Cl 표면과 같이 염소화되거나 불소화될 수 있다. 상기 표면을 CCl4 또는 금속 할로겐화물과 같은 할로겐화 케미컬로 처리함으로써 할로겐화된 표면을 얻을 수 있다. 상기 금속 할로겐화물은 WF6, NbF5, 또는 NbCl5와 같은 휘발성 금속 옥시할로겐화물들을 형성하여 상기 표면 위에 염소화물 또는 불소화물과 같은 할로겐화물을 남길 수 있다. 상기 패시베이션은 동일한 기판의 금속 표면에 대하여 상대적으로 유전체 표면 위에서의 금속의 퇴적을 억제하기 위하여 사용될 수 있다. 일부 실시예들에 있어서, 상기 패시베이션 케미컬은 트리메틸클로로실란(trimethylchlorosilane, TMCS) (CH3)3SiCl, 트리메틸디메틸아미노실란(trimethyldimethylaminosilane) (CH3)3SiN(CH3)2, 또는 R4-xSiXx의 화학식을 갖는 다른 종류의 알킬 치환된 실란 중 하나 이상일 수 있다. 여기서 x는 1 내지 3이고, R은 각각 독립적으로 메틸, 에틸, 프로필, 또는 부틸과 같은 C1-C5의 탄화수소가 되도록 선택될 수 있으며, 메틸인 것이 바람직하다. X는 할로겐화물이거나 또는 X는 알킬아미노기인 -NR1R2와 같이 OH-기와 반응할 수 있는 다른 작용기이다. 여기서 R1은 각각 독립적으로 수소 또는 C1-C5의 탄화수소, 바람직하게는 메틸 또는 에틸이 되도록 선택될 수 있으며, R2는 각각 독립적으로 C1-C5의 탄화수소, 바람직하게는 메틸 또는 에틸이 되도록 선택될 수 있다. X는 염소화물 또는 디메틸아미노기인 것이 바람직하다. 일부 실시예들에 있어서, 상기 패시베이션 케미컬은 비스(디에틸아미노)실란과 같은 적어도 하나의 알킬아미노기를 포함하는 실란 화합물 또는 SiH3기를 포함하는 실란 화합물, 또는 헥사메틸디실라잔(hexamethyldisilazane, HMDS)과 같은 실라잔일 수 있다.
일부 실시예들에 있어서, 제 1 물질을 포함하는 제 1 표면 및 상기 제 1 물질과 상이한 제 2 물질을 포함하는 제 2 표면을 갖는 반도체 기판이 제공된다. 일부 실시예들에 있어서, 상기 제 1 표면과 상기 제 2 표면은 서로 인접한다. 일부 실시예들에 있어서, 상기 제 1 표면은 친수성이고 OH-종결된 표면 또는 일정량의 OH-기들을 갖는 표면일 수 있다. 일부 실시예들에 있어서, 상기 제 1 표면은, 예를 들면, 로우-k 물질, SiO2, 또는 GeO2일 수 있지만 여기에 한정되는 것은 아니다. 일부 실시예들에 있어서, 상기 제 2 표면은 금속 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 도전체 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 H-종결된 표면이다. 예를 들면, 상기 제 2 표면은, 예를 들면, Cu, Ni, Co, Al, W, Ru 또는 다른 귀금속을 포함할 수 있다. 또는 Si-H 종들(수소-종결된 실리콘)을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 Cu, Ni, Co, Al, W, Ru 또는 다른 귀금속(noble metal)들로부터 개별적으로 선택된 금속을 포함한다. 일부 실시예들에 있어서, 상기 제 2 표면은 Cu 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Ni 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Co 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Al 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Ru 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 귀금속을 포함한다. 일부 실시예들에 있어서, 상기 도전성 표면은 CuOx, NiOx, CoOx 또는 RuOx와 같은 산화물 또는 다른 귀금속 산화물을 포함한다. 일부 실시예들에 있어서, 도전성 표면은 처리된 후에 더 이상 도전성이 아닐 수 있다. 예를 들면, 도전성 표면은 선택적 퇴적 공정의 이전에 또는 선택적 퇴적 공정의 시작 시에 산화 등에 의하여 처리될 수 있는데, 상기 처리된 표면은 더 이상 도전성이 아닐 수 있다. 본 개시의 목적에 있어서, Sb와 Ge는 금속인 것으로 고려된다. 일부 실시예들에 있어서, 상기 제 1 표면은 금속 표면, 도전성 표면, 또는 Si-H 표면이고 상기 제 2 표면은 유전체 표면과 같이 OH-기들을 포함하는 표면이다.
일부 실시예들에 있어서, 제 1 물질이 제 2 표면에 대하여 상대적으로 제 1 표면 위에 선택적으로 퇴적되고, 제 2 물질이 제 1 표면에 대하여 상대적으로 제 2 표면 위에 선택적으로 퇴적된다.
도 1a는 제 1 물질을 제 2 표면에 대하여 상대적으로 제 1 표면 위에 선택적으로 퇴적시키고, 제 2 물질을 동일한 기판의 제 1 표면에 대하여 상대적으로 제 2 표면 위에 선택적으로 퇴적시키기 위한 예시적인 공정 흐름을 나타낸다. 일부 실시예들에 있어서, 상기 제 1 표면은 금속 표면 또는 반도체 표면이고, 상기 제 1 물질은 금속성 물질이고, 상기 제 2 표면은 친수성 표면이고, 상기 제 2 물질은 유전체 물질이다. 일부 실시예들에 있어서, 상기 제 1 표면은 친수성 표면이고, 상기 제 1 물질은 유전체 물질이고, 상기 제 2 표면은 금속층이고, 상기 제 2 물질은 금속성 물질이다. 바꾸어 말하면, 상기 두 개의 퇴적들(금속성 및 유전체)은 둘 중 어느 하나의 순서에 따라 수행될 수 있다. 일부 실시예들에 있어서, 상기 제 1 물질 및/또는 상기 제 2 물질은 CVD에 의하여 퇴적될 수 있고, 선택성은 표면 위에서의 전구체의 선택적인 분해를 통해 달성될 수 있다. 일부 실시예들에 있어서, 상기 퇴적들 중 적어도 하나는 주기적인 기상 퇴적으로서, 특히 원자층 퇴적(atomic layer deposition, ALD)이고, 선택성은 반응물들 중의 하나의 선택적인 흡착을 통해 달성될 수 있다. 일부 실시예들에 있어서, 선택도가 유지된다면 상기 ALD 공정은 순수한 ALD 공정이 아니고 일부 CVD 반응들이 일어날 수 있다. 예를 들면, 반응 공간으로부터 반응물들의 완전한 퍼지(purge)가 필수적으로 필요하지 않을 수 있으며, 소정 정도의 기상 반응이 일어날 수 있으며 선택도도 계속 유지될 수 있다.
일부 실시예들에 있어서, 제 1 물질이 제 2 표면에 대하여 상대적으로 제 1 표면 위에 선택적으로 퇴적되고, 제 2 물질이 동일한 기판의 제 1 표면에 대하여 상대적으로 제 2 표면 위에 공기로의 노출이나 에어브레이크(airbreak) 없이 선택적으로 퇴적된다. 일부 실시예들에 있어서, 제 1 물질이 동일한 기판의 제 2 표면에 대하여 상대적으로 제 1 표면 위에 선택적으로 퇴적되고, 제 2 물질이 동일한 반응기 내의 동일한 기판의 제 1 표면에 대하여 상대적으로 제 2 표면 위에 선택적으로 퇴적된다. 일부 실시예들에 있어서, 이중 선택적 퇴적 공정은 선택적 퇴적 공정들 사이에 공기로의 노출이나 에어브레이크 없이 제 1 물질의 선택적 퇴적 및 제 2 물질의 선택적 퇴적을 포함할 수 있다. 일부 실시예들에 있어서, 이중 선택적 퇴적 공정은 제 1 물질이 제 1 표면 위에 퇴적되고 제 2 물질이 동일한 기판의 제 2 표면 위에 퇴적되는데, 상기 제 1 물질의 퇴적과 상기 제 2 물질의 퇴적 사이에 추가적인 공정 없이 수행된다. 일부 실시예들에 있어서, 이중 선택적 퇴적공정은 제 1 물질의 선택적 퇴적 및 제 2 물질의 선택적 퇴적을 그 사이에 추가적인 공정 없이 포함할 수 있다.
일부 실시예들에 있어서, 상기 공정은 상기 제 1 물질이 상기 제 2 표면에 대하여 상대적으로 상기 제 1 표면 위에 선택적으로 퇴적될 때 시작될 수 있다. 퇴적 이전에, 상기 제 1 물질이 상기 제 2 표면 위에 퇴적되는 것을 억제하기 위하여 상기 제 2 표면은, 예를 들면, 여기에 설명된 방법에 따라 패시베이션되거나 비활성화될 수 있다(100). 하지만, 일부 실시예들에 있어서는 그러한 비활성화가 채용되지 않는다. 상기 제 1 물질이 상기 제 1 표면 위에 퇴적되는 것을 촉진하기 위하여 상기 제 1 표면은, 예를 들면, 여기에 설명된 방법에 따라 활성화될 수 있다(110). 하지만, 일부 실시예들에 있어서 그러한 활성화는 채용되지 않는다. 그런 다음 상기 제 1 물질은 여기에 개시된 방법들에 따라 상기 제 2 표면에 대하여 상대적으로 상기 제 1 표면 위에 선택적으로 퇴적된다(120).
일부 실시예들에 있어서, 상기 제 1 물질의 상기 제 2 표면에 대한 상기 제 1 표면에서의 선택적인 퇴적은, 상기 기판이 교대로 그리고 순차적으로 제 1 반응물 및 제 2 반응물과 접촉되는 퇴적 사이클을 적어도 하나 갖는 기상 증착 공정을 포함한다.
일부 실시예들에 있어서, 상기 제 1 물질의 선택적 퇴적은 상기 제 1 표면 위에 제 1 물질이 원하는 두께로 얻어질 때까지 계속된다. 일부 실시예들에 있어서, 상기 제 1 물질의 선택적 퇴적은 원하는 횟수의 퇴적 사이클들이 완료될 때까지 계속된다. 예를 들면, 일부 실시예들에 있어서 상기 제 1 물질을 선택적으로 퇴적시키기 위하여 퇴적 사이클들이 약 1회 내지 50회까지 수행된다.
일부 실시예들에 있어서, 원하는 두께의 제 1 물질이 퇴적된 후 (또는 원하는 횟수의 사이클들이 완료된 후) 상기 제 2 표면으로부터 (필요하다면) 임의의 패시베이션이 제거될 수 있으며, 상기 제 2 표면은 활성화될 수 있다(130). 하지만 일부 실시예들에 있어서, 그러한 활성화는 채용되지 않는다. 상기 제 2 물질이 상기 제 1 표면 위에 퇴적되는 것을 억제하기 위하여 상기 제 1 표면은 예를 들면 여기에 설명된 바에 따라 패시베이션 또는 비활성화될 수 있다(140). 하지만 일부 실시예들에 있어서 그러한 비활성화는 채용되지 않는다. 그런 다음 상기 제 2 물질은 여기에 개시된 방법들에 따라 상기 제 1 표면에 대하여 상대적으로 상기 제 2 표면 위에 선택적으로 퇴적된다(150). 일부 실시예들에 있어서, 상기 제 1 표면으로부터 (필요하다면) 패시베이션이 선택적으로 제거될 수 있다(160).
일부 실시예들에 있어서, 상기 제 2 물질의 상기 제 1 표면에 대한 상기 제 2 표면에서의 선택적인 퇴적은, 상기 기판이 교대로 그리고 순차적으로 제 1 반응물 및 제 2 반응물과 접촉되는 퇴적 사이클을 적어도 하나 갖는 기상 증착 공정을 포함한다.
일부 실시예들에 있어서, 상기 제 2 물질의 선택적 퇴적은 상기 제 2 표면 위에 제 2 물질이 원하는 두께로 얻어질 때까지 계속된다. 일부 실시예들에 있어서, 상기 제 2 물질의 선택적 퇴적은 원하는 횟수의 퇴적 사이클들이 완료될 때까지 계속된다. 예를 들면, 일부 실시예들에 있어서 상기 제 2 물질을 선택적으로 퇴적시키기 위하여 퇴적 사이클들이 약 1회 내지 50회까지 수행된다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적, 및/또는 상기 기판의 상기 제 1 표면에 대한 상기 기판의 상기 제 2 표면에서의 상대적인 퇴적은 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, 상기 제 1 표면 위에서만 퇴적이 일어나며, 상기 제 2 표면 위에는 퇴적이 일어나지 않는다. 또는 상기 제 2 표면 위에서만 퇴적이 일어나며, 상기 제 1 표면 위에는 퇴적이 일어나지 않는다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적, 및/또는 상기 제 1 표면에 대한 상기 기판의 상기 제 2 표면에서의 상대적인 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다.
일부 실시예들에 있어서, 비선택적으로 퇴적된 물질을 제거하기 위하여 퇴적 도중에 또는 퇴적에 뒤이어 식각이 사용될 수 있다. 식각 단계의 부가는 대개 공정의 복잡도와 비용을 증가시킬 것이지만, 일부 상황들에 있어서는, 예를 들면 다른 옵션들에 비하여 전체적으로 비용이 덜 드는 것이라면, 상업적으로 바람직할 수 있다. 일부 실시예들에 있어서, 상기 식각 공정은 등방성인 것이 바람직하지만 습식 식각 공정일 수도 있고 건식 식각 공정일 수도 있다. 일부 실시예들에 있어서, 건식 식각이 바람직하다.
일부 ALD 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적, 또는 상기 제 1 표면에 대한 상기 제 2 표면에서의 상대적인 퇴적은 선택성을 잃기 전까지 약 500회까지의 퇴적 사이클들이, 또는 약 50회까지의 퇴적 사이클들이, 또는 약 20회까지의 퇴적 사이클들이, 또는 약 10회까지의 퇴적 사이클들이 또는 약 5회까지의 퇴적 사이클들이 선택성을 잃기 전까지 수행될 수 있다. 일부 실시예들에 있어서, 선택성을 잃기 전까지 1회 또는 2회의 사이클들의 퇴적도 유용할 수 있다.
선택성의 상실은 위에서 언급된 선택도가 더 이상 만족되지 않을 때 일어나는 것으로 이해될 수 있다. 특정 환경에 따라, 선택성의 상실은 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적, 또는 상기 제 1 표면에 대한 상기 제 2 표면에서의 상대적인 퇴적이 약 90% 미만으로 선택적이거나, 약 95% 미만으로 선택적이거나, 약 96%, 97%, 98%, 또는 99% 미만으로 선택적일 때 일어난 것으로 간주될 수 있다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적, 또는 상기 제 1 표면에 대한 상기 제 2 표면에서의 상대적인 퇴적이 선택성을 잃기 전까지 약 50 nm의 두께까지, 또는 약 10 nm까지, 또는 약 5 nm까지, 또는 약 3 nm까지, 또는 약 2 nm까지, 또는 선택성을 잃기 전까지 약 1 nm까지 수행될 수 있다. 일부 실시예들에 있어서, 선택성을 잃기 전까지 3Å 또는 5Å까지의 퇴적도 유용할 수 있다. 특정 환경에 따라, 선택성의 상실은 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적, 또는 상기 제 1 표면에 대한 상기 제 2 표면에서의 상대적인 퇴적이 약 90% 미만으로 선택적이거나, 약 95% 미만으로 선택적이거나, 약 96%, 97%, 98%, 또는 99% 또는 그보다 큰 백분율의 미만으로 선택적일 때 일어난 것으로 간주될 수 있다.
일부 실시예들에 있어서, 금속 산화물을 선택적으로 퇴적시키고, 뒤이어 상기 금속 산화물을 금속으로 환원시키는 것이 바람직할 수 있다. HCOOH와 같은 강력한 환원제를 사용하는 것과 같이 금속 산화물을 금속으로 환원시키기 위해 이용될 수 있는 방법들은 2013년 9월 17일에 발행된 미합중국 특허등록 제8,536,058호 및 2007년 7월 10일에 발행된 미합중국 특허등록 제7,241,677호에 설명되어 있으며, 이들 각각의 전체 개시 내용은 여기에 인용되어 통합된다. 일부 실시예들에 있어서, 기판의 제 1 표면 위에 금속 산화물이 선택적으로 퇴적되고, 동일한 상기 기판의 제 2 표면 위에 제 2 물질이 선택적으로 퇴적되기 전에 금속으로 환원된다. 일부 실시예들에 있어서, 제 1 물질이 기판의 제 1 표면 위에 선택적으로 퇴적되고, 상기 기판의 상이한 표면인 제 2 표면 위에 금속 산화물이 선택으로 퇴적된다. 그 후 상기 금속 산화물은 금속으로 환원될 수 있다. 일부 실시예들에 있어서, 기판의 제 1 표면 위에 금속 산화물이 선택적으로 퇴적되고, 상기 금속 산화물을 금속으로 환원시키기 전에 동일한 기판의 상이한 표면인 제 2 표면 위에 제 2 물질이 선택적으로 퇴적된다. 일부 실시예들에 있어서, HCOOH와 같은 상기 환원제는 표면을 패시베이션시키기 위하여도 이용될 수 있다.
도 1b는 기판(101)의 제 1 금속 표면(121), 예를 들면 Cu 표면, 위에 금속성의 제 1 물질(122)을, 그리고 동일한 기판(101)의 상이한 제 2 표면(151), 예를 들면 SiO2 또는 로우-k 표면, 위에 유전체인 제 2 물질(152)을 이중 선택적 퇴적시키는 예를 나타낸다.
ALD 타입 공정들
ALD 타입 공정들은 전구체 케미컬들의 제어되고 자기-제한적인 표면 반응들에 기초한다. 기상 반응들은 기판을 전구체들과 교대적으로 그리고 순차적으로 접촉시킴으로써 회피된다. 기상 반응물들은 예를 들면, 반응물 펄스들 사이에서 과량의 반응물들 및/또는 반응 부산물들을 반응 챔버로부터 제거함으로써 기판 표면 위에서 서로로부터 분리된다.
간단히 말해서, 제 1 표면 및 그와 상이한 표면인 제 2 표면을 포함하는 기판이 적절한 퇴적 온도로, 일반적으로는 하강된 압력에서 가열된다. 퇴적 온도들은 상기 반응물들의 열분해 온도보다 낮지만 반응물들의 응축을 방지하고 원하는 표면 반응들을 위한 활성화 에너지를 제공하기에 충분히 높은 온도로 일반적으로 유지된다. 물론 주어진 임의의 ALD 반응에 대하여 적절한 온도 윈도우(window)는 관련된 반응물 종들과 표면 종결에 의존할 것이다. 여기서 상기 온도는 퇴적되는 막의 타입에 따라 달라지며, 약 400℃ 또는 그 미만인 것이 바람직하고, 약 200℃ 또는 그 미만인 것이 더욱 바람직하고, 약 20℃ 내지 약 200℃인 것이 가장 바람직하다.
상기 기판의 표면은 기상의 제 1 반응물과 접촉된다. 일부 실시예들에 있어서, 기상의 제 1 반응물의 펄스가 상기 기판을 수용한 반응 공간으로 제공된다. 일부 실시예들에 있어서, 기판이 기상의 제 1 반응물을 수용한 반응 공간으로 이동된다. 상기 기판 표면 위에 상기 제 1 반응물의 모노레이어가 대략 하나보다 많지 않게 자기-제한적인 방식으로 흡착되도록 바람직하게 조건들이 선택된다. 적절한 접촉 시간들은 특정 환경들에 기초하여 통상의 기술자가 용이하게 결정할 수 있다. 과량의 제 1 반응물 및 반응 부산물들은, 만일 있다면, 예컨대 불활성 기체로 퍼지함으로써 또는 상기 기판을 상기 제 1 반응물의 존재로부터 제거함으로써 상기 기판 표면으로부터 제거된다.
퍼지는 진공 펌프로 챔버를 비워냄으로써 및/또는 아르곤 또는 질소와 같은 불활성 기체로 반응기의 내부의 가스를 대체함으로써 기상의 전구체들 및/또는 기상의 부산물들을 상기 기판의 표면으로부터 제거하는 것을 의미한다. 통상의 퍼지 시간은 약 0.05초 내지 약 20초이고, 더욱 바람직하게는 약 1초 내지 약 10초이고, 더더욱 바람직하게는 약 1초 내지 약 2초이다. 그러나, 극히 높은 종횡비를 갖는 구조물들 또는 복잡한 표면 모폴로지를 갖는 다른 구조물들 위에 고도로 콘포말(conformal)한 스텝 커버리지가 필요한 경우와 같이 다른 퍼지 시간들도 필요하다면 사용될 수 있다.
상기 기판의 표면은 기상의 제 2 가스 반응물과 접촉된다. 일부 실시예들에 있어서, 가스상 제 2 반응물의 펄스는 상기 기판을 수용하는 반응 공간에 제공된다. 일부 실시예들에 있어서, 기판이 기상의 제 2 반응물을 수용한 반응 공간으로 이동된다. 과량의 제 2 반응물 및 상기 표면 반응의, 기체인 반응 부산물들은, 만일 있다면, 상기 기판 표면으로부터 제거된다. 상기 접촉시키는 단계 및 제거하는 단계는 상기 기판의 제 1 표면 위에 원하는 두께의 박막이 선택적으로 형성될 때까지 반복되며, 각 사이클은 분자 모노레이어를 하나보다 많지 않게 잔존시킨다. 삼원계 물질들과 같이 더욱 복잡한 물질들을 형성하기 위하여 기판의 표면을 다른 반응물들과 교대적으로 및 순차적으로 접촉시키는 추가적인 단계들이 포함될 수 있다.
위에서 언급된 바와 같이, 각 사이클의 각 단계는 자기-제한적인 것이 바람직하다. 과량의 반응물 전구체들이 각 단계에서 공급되어 수용 가능한(susceptible) 구조물 표면들을 포화시킨다. 표면 포화는 (예를 들면 물리적인 크기 또는 "입체 장애" 제한들에 따라) 사용 가능한 모든 반응성 사이트들이 반응물로 점유되는 것을 보장하며, 따라서 우수한 스텝 커버리지를 보장한다. 통상 물질의 하나 미만의 분자층이 각 사이클에서 퇴적되나, 일부 실시예들에 있어서, 하나보다 많은 분자층이 사이클 동안 퇴적된다.
과량의 반응물들을 제거하는 단계는 반응 공간의 내용물의 일부를 비워내는 단계 및/또는 반응 공간을 헬륨, 질소, 또는 다른 불활성 가스로 퍼지하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 퍼지하는 단계는 상기 반응 공간으로의 불활성 캐리어 가스의 흐름을 계속하는 동안 상기 반응성 가스의 흐름을 차단하는 단계를 포함할 수 있다.
상기 ALD 타입 공정들에 채용된 전구체들은 기판 표면과 접촉되기 전까지 상기 전구체들이 기상일 수 있다면 표준 상태(실온 및 대기압)에서 고체, 액체, 또는 기체 물질들일 수 있다. 기판 표면을 기화된 전구체와 접촉시킨다는 것은 전구체의 증기가 제한된 시간 동안 상기 기판 표면과 접촉하는 것을 의미한다. 통상, 상기 접촉 시간은 약 0.05초 내지 약 10초이다. 그러나, 기판의 타입과 그의 표면적에 따라 상기 접촉 시간은 10초보다 훨씬 더 길 수 있다. 일부 경우들에 있어서는 접촉 시간이 분(分)의 단위(order)일 수 있다. 최적의 접촉 시간은 특정 환경들에 기초하여 통상의 기술자가 결정할 수 있다.
또한, 상기 전구체들의 질량 흐름 속도는 통상의 기술자에 의하여 결정될 수 있다. 일부 실시예들에 있어서, 금속 전구체들의 흐름 유속은 약 1 sccm 내지 약 1000 sccm 사이인 것이 바람직하고, 약 100 sccm 내지 약 500 sccm 사이인 것이 더욱 바람직하지만 여기에 한정되는 것은 아니다.
반응 챔버 내의 압력은 통상 약 0.01 mbar 내지 약 20 mbar이고, 약 1 mbar 내지 약 10 mbar인 것이 더욱 바람직하다. 그러나, 주어진 특정한 환경에 따라 통상의 기술자에 의하여 결정될 수 있는 바와 같이 일부 경우들에 있어서 상기 압력은 이러한 범위보다 더 높거나 더 낮을 것이다.
막의 퇴적을 개시하기 전, 상기 기판은 통상 적절한 성장 온도로 가열된다. 상기 성장 온도는 형성되는 박막의 타입, 전구체들의 물리적 성질들 등에 따라 변화한다. 상기 성장 온도들은 형성되는 박막의 각 유형을 참조하여 아래에서 더욱 상세하게 설명한다. 상기 성장 온도는, 비결정질의 박막이 형성되도록 퇴적되는 물질들에 대한 결정화 온도보다 낮거나, 또는 결정질의 박막이 형성되도록 결정화 온도보다 높을 수 있다. 바람직한 퇴적 온도는 반응물 전구체들, 압력, 흐름 속도, 반응기의 배열, 퇴적되는 박막의 결정화 온도, 및 기판 위에 퇴적될 물질의 성질을 포함한 기판의 조성과 같은 수많은 인자들에 의존하여 변화할 수 있지만 이들에 한정되는 것은 아니다. 구체적인 성장 온도는 통상의 기술자에 의하여 선택될 수 있다.
사용될 수 있는 적합한 반응기들의 예들은 애리조사주 피닉스의 ASM America, Inc., 일본 동경의 ASM Japan KK, 및 네덜란드 알미어(Almere)의 ASM Europe B.V.로부터 입수 가능한 F-120ㄾ 반응기, Eagleㄾ XP8, Pulsarㄾ 반응기 및 Advanceㄾ 400 Series 반응기와 같은 상업적으로 입수 가능한 ALD 장비를 포함한다. 이들 ALD 반응기들뿐만 아니라, 전구체들을 펄싱하기 위한 적절한 장비와 수단들을 장착한 CVD 반응기들을 포함하여 박막의 ALD 성장이 가능한 다른 많은 종류의 반응기들도 채용될 수 있다. 일부 실시예들에 있어서, 흐름 타입의 ALD 반응기가 사용된다. 전구체들에게 공유되는 라인이 최소화되도록 반응물들은 반응 챔버에 도달할 때까지 분리되는 것이 바람직하다. 그러나, 2001년 4월 16일에 출원된 미합중국 특허출원 제09/836,674호 및 2004년 8월 30일에 출원된 미합중국 특허출원 제10/929,348호에 설명되어 있는 바와 같은 예비-반응 챔버의 사용과 같이 다른 배열들도 가능하다. 이들 출원들에 개시된 내용들은 여기에 인용되어 통합된다.
선택적으로, 상기 성장 공정들은 클러스터 툴에 연결된 반응 공간 또는 반응기 내에서 수행될 수 있다. 클러스터 툴에서는 각 반응 공간이 한 종류의 공정에 전용되기 때문에 각 모듈에서의 반응 공간의 온도가 일정하게 유지될 수 있고, 각 런(run) 이전에 공정 온도까지 기판이 가열되는 반응기에 비하여 스루풋이 개선된다.
독립형(stand-alone) 반응기에 로드-락이 장착될 수 있다. 그러한 경우에 있어서, 각 런 사이에 반응 공간을 냉각시킬 필요가 없다.
도 2를 참조하면 일부 실시예들에 따라, 제 1 표면 및 제 2 표면을 포함하는 기판이 단계 (210)에서 제공되고, 여러 회의 사이클들을 포함하는 ALD 타입 퇴적 공정(200)에 의하여 물질이 제 2 표면에 대하여 상대적으로 상기 기판의 제 1 표면 위에 선택적으로 퇴적된다. 각 사이클은 다음 단계들을 포함한다:
단계 (230)에서 기판의 상기 표면을 기화된 제 1 전구체와 접촉시키는 단계;
단계 (240)에서 과량의 제 1 전구체 및, 있다면, 반응 부산물들을 상기 표면으로부터 제거하는 단계;
단계 (250)에서 상기 기판의 표면을 기화된 제 2 반응물과 접촉시키는 단계;
단계 (260)에서 상기 기판의 제 1 표면 위의 상기 제 1 전구체층과 상기 제 2 반응물 사이의 반응에서 생성된 임의의 기체인 부산물들 및 과량의 제 2 반응물을 상기 표면으로부터 제거하는 단계; 및
단계 (270)에서 선택적으로 퇴적된 물질을 포함하는 박막이 원하는 두께로 형성될 때까지 상기 접촉시키는 단계 및 상기 제거하는 단계를 반복하는 단계.
위에서 언급된 바와 같이, 일부 실시예들에 있어서, 상기 퇴적 공정(200)을 시작하기에 앞서 상기 기판의 하나 이상의 표면들은 한 표면 위에서의 퇴적을 하나 이상의 다른 표면들에 대하여 상대적으로 향상시키기 위하여 처리될 수 있다. 도 2에서 이것은 단계 (220)으로 표시된다.
도시된 퇴적 사이클은 기판의 표면을 제 1 전구체와 접촉시키는 단계로 시작하지만, 다른 실시예들에 있어서 상기 퇴적 사이클은 상기 기판의 표면을 제 2 반응물과 접촉시키는 단계로 시작한다. 상기 기판의 표면을 상기 제 1 전구체와 접촉시키는 단계 및 상기 기판의 표면을 상기 제 2 반응물과 접촉시키는 단계는 ALD 사이클에서 상호 대체 가능함을 통상의 기술자는 이해할 것이다.
일부 실시예들에 있어서, 상기 반응물들과 상기 반응 부산물들은 질소 또는 아르곤과 같은 불활성의 캐리어 가스의 흐름을 계속하면서 제 1 전구체의 흐름을 중지시킴으로써 상기 기판 표면으로부터 제거될 수 있다.
일부 실시예들에 있어서, 상기 반응물들과 반응 부산물들은 불활성의 캐리어 가스의 흐름을 계속하면서 제 2 반응물의 흐름을 중지시킴으로써 상기 기판 표면으로부터 제거될 수 있다. 일부 실시예들에 있어서, 원하는 시간 동안 원하는 순서로 상이한 반응물들이 교대적으로 그리고 순차적으로 상기 기판의 표면과 접촉하도록 상기 기판이 이동된다. 일부 실시예들에 있어서, 상기 제거하는 단계들 (240) 및 (260)은 수행되지 않는다. 일부 실시예들에 있어서, 챔버의 다양한 부분들로부터 반응물이 제거되지 않을 수 있다. 일부 실시예들에 있어서, 제 1 전구체를 수용하는 상기 챔버의 일부분으로부터 제 2 반응물을 수용하는 상기 챔버의 다른 부분으로 상기 기판이 이동된다. 일부 실시예들에 있어서, 상기 기판은 제 1 반응 챔버로부터 상이한 반응 챔버인 제 2 반응 챔버로 이동된다.
금속의 선택적 퇴적
위에서 언급된 바와 같이, 일부 실시예들에 있어서, 기판의 제 1 표면 위에 동일한 기판의 유전체 표면과 같이 상이한 표면인 제 2 표면에 대하여 상대적으로 금속이 선택적으로 퇴적된다. 일부 실시예들에 있어서, 상기 제 1 표면은 귀금속 표면이다. 일부 실시예들에 있어서, 상기 제 1 금속 표면은 Al, Cu, Ru, Ni, Co, 또는 다른 귀금속 표면이다. 일부 실시예들에 있어서, 상기 제 1 금속 표면은 Cu, Ni, Co, Al, W, Ru, 또는 다른 귀금속들로부터 개별적으로 선택된 금속을 포함한다. 일부 실시예들에 있어서, 상기 제 1 표면은 Cu 표면이다. 일부 실시예들에 있어서, 상기 제 1 표면은 Ni 표면이다. 일부 실시예들에 있어서, 상기 제 1 표면은 Co 표면이다. 일부 실시예들에 있어서, 상기 제 1 표면은 Al 표면이다. 일부 실시예들에 있어서, 상기 제 1 표면은 Ru 표면이다. 일부 실시예들에 있어서, 상기 제 1 표면은 귀금속을 포함한다.
일부 실시예들에 있어서, 상기 제 1 표면은 금속을 포함한다. 일부 실시예들에 있어서, 상기 제 1 표면은 도전성 표면이다. 일부 실시예들에 있어서, 상기 제 1 표면은 H-종결된 표면이다. 예를 들면, 상기 제 1 표면은 Si-H (수소-종결된 실리콘) 종을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 1 표면은 유전체 표면이 아니다. 일부 실시예들에 있어서, 상기 금속 표면은 CuOx, NiOx, CoOx, 또는 RuOx와 같은 산화물 또는 다른 귀금속 산화물을 포함한다. 일부 실시예들에 있어서, 금속 표면은 처리된 이후에는 더 이상 도전성이 아닐 수 있다. 예를 들면, 금속 표면은 선택적 퇴적 공정의 시작 시에 또는 그 이전에 산화 등에 의하여 처리될 수 있으며, 처리된 상기 표면은 더 이상 도전성이 아닐 수 있다. 일부 실시예들에 있어서, 비금속 표면인 상기 제 2 표면은 친수성의 OH-종결된 표면이거나 또는 약간의 OH-기들을 포함한다. 일부 실시예들에 있어서, 비금속인 상기 제 2 표면은 유전체 표면이다. 일부 실시예들에 있어서, 비금속인 상기 제 2 표면은 SiO2, GeO2, 또는 로우-k 물질이다.
일부 실시예들에 있어서, 비금속인 상기 제 2 표면은 예를 들면 금속 퇴적이 억제된 표면을 제공하기 위한 처리에 의하여 비활성화된다. 일부 실시예들에 있어서, 비활성화는 패시베이션 케미컬로 처리하는 것을 포함할 수 있다. 일부 실시예들에 있어서, 상기 비활성화 처리는 제 1 금속 표면 위에 금속이 퇴적되기 전에 수행될 수 있다. 일부 실시예들에 있어서, 상기 비활성화 처리는 인 시투(in situ) 비활성화 처리일 수 있다. 일부 실시예들에 있어서, 친수성 표면의 비활성화는 적어도 OH-기들을 다른 기들로 치환하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 비활성화는 비금속인 상기 제 2 표면 위의 OH-기의 양을 증가시키기 위한 처리를 포함할 수 있다.
일부 실시예들에 있어서, 상기 제 2 표면은 예를 들면 금속의 퇴적에 앞서 패시베이션에 의하여 비활성화된다. 일부 실시예들에 있어서, 상기 제 2 표면의 비활성화는 OH-기들의 적어도 일부를 다른 기들로 치환하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 패시베이션된 표면을 형성하기 위하여 상기 제 2 표면은 패시베이션 케미컬로 처리된다. 예를 들면, 상기 제 2 표면은 금속의 퇴적에 앞서 실릴화되거나, 또는 염화 또는 불화되는 것과 같이 할로겐화될 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 예를 들면 실릴화된 -Si-(CH3)x 또는 ??Si(CH3)3 표면과 같은 실릴화된 표면을 형성하도록 처리될 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 염화된 또는 불화된 표면과 같은 할로겐화된 표면을 형성하도록 처리될 수 있다. 예를 들면, 상기 할로겐화된 표면은 Si-Cl 표면일 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 예를 들면 -SiH3 표면과 같은 H-종결된 표면을 제공하도록 처리될 수 있다. 예를 들면, 일부 실시예들에 있어서, 상기 제 2 표면은 -SiH3 표면을 제공하는 케미컬과 접촉될 수 있다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, 상기 제 1 표면 위에서만 퇴적이 일어나며, 상기 제 2 표면 위에는 퇴적이 일어나지 않는다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다.
ALD에 의한 Sb의 선택적 퇴적
일부 실시예들에 있어서, 제 1 표면 및 이와 상이한 제 2 표면을 포함하는 기판 위에서 금속을 포함하는 제 1 표면 위에 Sb가 선택적으로 퇴적된다. 일부 실시예들에 있어서, 상기 제 1 표면은 친수성 표면이다. 일부 실시예들에 있어서, 상기 제 1 표면은 유전체 표면이다. 일부 실시예들에 있어서, 기판의 Cu, Ni, Co, Al, W, Ru, 또는 다른 귀금속 표면과 같은 제 1 표면 위에 동일한 기판의 상이한 표면인 제 2 표면에 대하여 상대적으로 Sb가 선택적으로 퇴적된다. 일부 실시예들에 있어서, Cu 표면 위에 상이한 표면인 제 2 표면에 대하여 상대적으로 Sb가 선택적으로 퇴적된다. 일부 실시예들에 있어서, Ni 표면 위에 상이한 표면인 제 2 표면에 대하여 상대적으로 Sb가 선택적으로 퇴적된다. 일부 실시예들에 있어서, Co 표면 위에 상이한 표면인 제 2 표면에 대하여 상대적으로 Sb가 선택적으로 퇴적된다. 일부 실시예들에 있어서, Al 표면 위에 상이한 표면인 제 2 표면에 대하여 상대적으로 Sb가 선택적으로 퇴적된다. 일부 실시예들에 있어서, Ru 표면 위에 상이한 표면인 제 2 표면에 대하여 상대적으로 Sb가 선택적으로 퇴적된다. 일부 실시예들에 있어서, 귀금속 표면 위에 상이한 표면인 제 2 표면에 대하여 상대적으로 Sb가 선택적으로 퇴적된다.
일부 실시예들에 있어서, 상기 제 1 표면은 금속을 포함한다. 일부 실시예들에 있어서, 상기 제 1 표면은 도전성 표면이다. 일부 실시예들에 있어서, 상기 제 1 표면은 H-종결된 표면이다. 예를 들면, 상기 제 1 표면은 Si-H (수소-종결된 실리콘) 종을 포함할 수 있다.
일부 실시예들에 있어서, 기판의 친수성인 제 1 표면 위에 동일한 기판의 상이한 제 2 표면에 대하여 상대적으로 Sb가 선택적으로 퇴적된다. 일부 실시예들에 있어서, 친수성의 상기 제 1 표면은 OH-기들을 적어도 일부 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 1 표면은 -NHx 종결된 표면이다. 일부 실시예들에 있어서, 상기 제 1 표면은 -SHx 종결된 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 유전체 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 SiO2, 로우-k 물질, 또는 GeO2이다. 일부 실시예들에 있어서, 상기 상이한 제 2 표면은 여기에 설명된 바와 같은 Si-H 표면 종결들을 포함하는 유전체 표면 또는 금속 표면을 포함한다.
일부 실시예들에 있어서, 상기 금속 표면은 CuOx, NiOx, CoOx, 또는 RuOx와 같은 산화물 또는 다른 귀금속 산화물을 포함한다. 일부 실시예들에 있어서, 금속 표면은 처리된 후에 더 이상 도전성이 아닐 수 있다. 예를 들면, 금속 표면은 상기 선택적 퇴적 공정의 시작 시에 또는 그에 앞서 예를 들면, 산화에 의해서 처리될 수 있으며, 처리된 상기 표면은 더 이상 도전성이 아닐 수 있다.
일부 실시예들에 있어서, 상기 제 2 표면은 친수성 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 OH-기들을 적어도 일부 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 -NHx 종결된 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 -SHx 종결된 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 유전체 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 SiO2, 로우-k 물질, 또는 GeO2를 포함할 수 있다.
위에서 논의된 바와 같이, 일부 실시예들에 있어서, 친수성 표면은 상기 친수성 표면에 대하여 Sb의 선택적 퇴적을 상대적으로 촉진시키기 위하여 처리된다. 예를 들면, 표면은 친수성의 OH-종결된 표면을 제공하기 위하여 처리될 수 있다. 일부 실시예들에 있어서, 친수성의 OH-종결된 표면은 상기 표면에서의 OH-기들의 양을 증가시키기 위하여 처리될 수 있다. 예를 들면, 상기 유전체 표면은 상기 표면에서의 OH-기들의 수를 증가시키기 위하여 H2O 증기에 노출될 수 있다. 또 다른 예는 25℃ 내지 40℃ 사이의 온도에서 버블러를 통해 유동된 캐리어 가스에 유전체 표면을 노출시키는 단계를 포함한다. 일부 실시예들에 있어서, 상기 유전체 표면은 OH-기들을 적어도 일부 포함하는 친수성 표면을 제공하기 위하여 공기에 노출된다. 일부 실시예들에 있어서, 친수성 표면은 퇴적 이전에는 처리되지 않는다.
일부 실시예들에 있어서, 상기 친수성 표면은 Sb의 퇴적에 앞서, 예를 들면, 패시베이션에 의하여 비활성화된다. 일부 실시예들에 있어서, 상기 친수성 표면의 비활성화는 적어도 OH-기들을 다른 기들로 대체하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 친수성의 상기 유전체 표면은 패시베이션된 표면을 형성하도록 패시베이션 케미컬로 처리된다. 예를 들면, 상기 친수성 표면은 Sb의 퇴적에 앞서, 염화되거나 불화되는 것과 같이 할로겐화되거나 또는 실릴화될 수 있다. 일부 실시예들에 있어서, 상기 친수성 표면은 예를 들면 실릴화된 -Si-(CH3)x 또는 -Si(CH3)3 표면과 같은 실릴화된 표면을 형성하도록 처리될 수 있다. 일부 실시예들에 있어서, 상기 친수성 표면은 염화된 또는 불화된 표면과 같은 할로겐화된 표면을 형성하도록 처리될 수 있다. 예를 들면, 상기 할로겐화된 표면은 Si-Cl 표면일 수 있다. 일부 실시예들에 있어서, 상기 친수성 표면은 예를 들면 -SiH3 표면과 같은 H-종결된 표면을 제공하도록 처리될 수 있다. 예를 들면, 일부 실시예들에 있어서, 상기 친수성 표면은 H-종결된 표면을 제공하는 케미컬과 접촉될 수 있다. 일부 실시예들에 있어서, 상기 친수성 표면은 H-종결된 표면을 제공하는 HF와 접촉될 수 있다.
일부 실시예들에 있어서, 상기 패시베이션 케미컬은 트리메틸클로로실란(trimethylchlorosilane, TMCS) (CH3)3SiCl, 트리메틸디메틸아미노실란(trimethyldimethylaminosilane) (CH3)3SiN(CH3)2, 또는 R4-xSiXx의 화학식을 갖는 다른 종류의 알킬 치환된 실란 중 하나 이상일 수 있다. 여기서 x는 1 내지 3이고, R은 각각 독립적으로 메틸, 에틸, 프로필, 또는 부틸과 같은 C1-C5의 탄화수소가 되도록 선택될 수 있으며, 메틸인 것이 바람직하다. X는 할로겐화물이거나 또는 X는 알킬아미노기인 -NR1R2와 같이 OH-기와 반응할 수 있는 다른 작용기이다. 여기서 R1은 각각 독립적으로 수소 또는 C1-C5의 탄화수소, 바람직하게는 메틸 또는 에틸이 되도록 선택될 수 있으며, R2는 각각 독립적으로 C1-C5의 탄화수소, 바람직하게는 메틸 또는 에틸이 되도록 선택될 수 있다. X는 염소화물 또는 디메틸아미노기인 것이 바람직하다. 일부 실시예들에 있어서, 상기 패시베이션 케미컬은 비스(디에틸아미노)실란과 같은 적어도 하나의 알킬아미노기를 포함하는 실란 화합물 또는 SiH3기를 포함하는 실란 화합물, 또는 헥사메틸디실라잔(hexamethyldisilazane, HMDS)과 같은 실라잔일 수 있다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 Sb 퇴적은 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, 상기 제 1 표면 위에서만 Sb 퇴적이 일어나며, 상기 제 2 표면 위에는 퇴적이 일어나지 않는다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 Sb 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다.
일부 실시예들에 있어서, Sb는 ALD 타입의 공정에 의하여 선택적으로 퇴적된다. 일부 실시예들에 있어서, Sb는 플라스마의 사용 없이 선택적으로 퇴적된다. 일부 실시예들에 있어서, 예를 들면, 미합중국 특허공개 제2012/0329208호(미합중국 특허출원 제13/504,079호)에 설명된 바와 같이 퇴적이 수행될 수 있다. 상기 문헌은 여기에 인용되어 통합된다.
일부 실시예들에 있어서, 제 1 표면 및 제 2 표면을 갖는 기판이 제공되며, 금속이, 여기서는 Sb가, 여러 회의 사이클들을 포함하는 ALD 타입의 퇴적 공정에 의하여 기판의 제 1 표면 위에 선택적으로 퇴적된다. 각 사이클은 다음 단계들을 포함한다:
기판의 상기 표면을 기화된 제 1 금속 전구체, 예를 들면, SbCl3와 접촉시키는 단계;
과량의 금속 전구체 및, 있다면, 반응 부산물들을 상기 표면으로부터 제거하는 단계;
상기 기판의 표면을 기화된 제 2 반응물, 예를 들면 Sb(SiEt3)3와 접촉시키는 단계;
상기 기판의 제 1 표면 위의 상기 금속 전구체층과 상기 제 2 반응물 사이의 반응에서 생성된 임의의 기체인 부산물들 및 과량의 제 2 반응물을 상기 표면으로부터 제거하는 단계; 및
금속 박막, 여기서는 Sb 박막이 원하는 두께로 형성될 때까지 상기 접촉시키는 단계 및 상기 제거하는 단계를 반복하는 단계.
위에서 언급된 바와 같이, 일부 실시예들에 있어서, 상기 기판의 하나 이상의 표면들은 퇴적 공정의 시작에 앞서 하나의 표면 위에서의 퇴적을 하나 이상의 상이한 표면들에 대하여 상대적으로 향상시키기 위하여 처리될 수 있다. 일부 실시예들에 있어서, 비금속인 상기 제 2 표면은 금속, 여기서는 Sb의 퇴적에 앞서 OH-종결된 표면을 제공하도록 처리되거나, 또는 예를 들면 패시베이션에 의하여 비활성화될 수 있다.
상기 예시된 Sb 퇴적 사이클은 상기 기판의 표면을 제 1 Sb 전구체와 접촉시키는 단계로 시작하지만, 다른 실시예들에 있어서 상기 퇴적 사이클은 상기 기판의 표면을 제 2 반응물과 접촉시키는 단계로 시작한다. 상기 기판의 표면을 상기 제 1 Sb 전구체와 접촉시키는 단계 및 상기 기판의 표면을 상기 제 2 반응물과 접촉시키는 단계는 ALD 사이클에서 상호 대체 가능함을 통상의 기술자는 이해할 것이다.
일부 실시예들에 있어서, 상기 반응물들과 상기 반응 부산물들은 질소 또는 아르곤과 같은 불활성의 캐리어 가스의 흐름을 계속하면서 제 1 전구체의 흐름을 중지시킴으로써 상기 기판 표면으로부터 제거될 수 있다.
일부 실시예들에 있어서, 상기 반응물들과 상기 반응 부산물들은 질소 또는 아르곤과 같은 불활성의 캐리어 가스의 흐름을 계속하면서 제 2 반응물의 흐름을 중지시킴으로써 상기 기판 표면으로부터 제거될 수 있다.
일부 실시예들에 있어서, 원하는 시간 동안 원하는 순서로 상이한 반응물들이 교대적으로 그리고 순차적으로 상기 기판의 표면과 접촉하도록 상기 기판이 이동된다. 일부 실시예들에 있어서, 상기 제거하는 단계들은 수행되지 않는다. 일부 실시예들에 있어서, 챔버의 다양한 부분들로부터 반응물이 제거되지 않을 수 있다. 일부 실시예들에 있어서, 제 1 전구체를 수용하는 상기 챔버의 일부분으로부터 제 2 반응물을 수용하는 상기 챔버의 다른 부분으로 상기 기판이 이동된다. 일부 실시예들에 있어서, 상기 기판은 제 1 반응 챔버로부터 상이한 반응 챔버인 제 2 반응 챔버로 이동된다.
일부 실시예들에 있어서, 상기 제 2 반응물은 Sb 전구체를 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 제 2 Sb 전구체이다. 일부 실시예들에 있어서, 상기 제 2 반응물은 상기 제 1 Sb 전구체와 상이한 제 2 Sb 전구체이다.
일부 실시예들에 있어서, 상기 제 1 Sb 전구체는 SbX3의 화학식을 갖는다. 여기서 X는 할로겐 원소이다. 일부 실시예들에 있어서, 상기 제 1 Sb 전구체는 SbCl3, SbBr3, 또는 SbI3이다.
일부 실시예들에 있어서, 상기 제 2 반응물은 산소 소스가 아니다. "산소 소스"의 용어는 금속 산화물들을 퇴적시키기 위하여 ALD에서 통상적으로 사용되는 물, 오존, 알코올, 산소 원자들, 산소 플라스마 및 산소 라디칼들과 같은 산소를 포함하는 반응물들을 지칭한다. 일부 실시예들에 있어서, 상기 제 2 반응물은 물, 오존, 또는 알코올이 아니다.
일부 실시예들에 있어서, 여기에 개시된 상기 Sb 전구체들과 결합되어 사용될 상기 제 2 반응물은 테트라알킬아미노저머늄과 같은 알킬아미노저머늄 전구체, 또는 유기 텔러륨 전구체가 아니다. 일부 실시예들에 있어서, 여기에 개시된 상기 Sb 전구체들과 결합되어 사용될 상기 제 2 반응물은 칼코겐화물 전구체가 아니다. 일부 실시예들에 있어서, 여기에 개시된 상기 Sb 전구체들과 결합되어 사용될 상기 제 2 반응물은 플라스마 또는 여기된 종들을 포함하지 않는다. 일부 실시예들에 있어서, 여기에 개시된 상기 Sb 전구체들과 결합되어 사용될 상기 제 2 반응물은 질소를 포함하지 않는다. 일부 실시예들에 있어서, 여기에 개시된 상기 Sb 전구체들과 결합되어 사용될 상기 제 2 반응물은 알콕사이드 치환된 전구체가 아니다. 일부 실시예들에 있어서, 여기에 개시된 상기 Sb 전구체들과 결합되어 사용될 상기 제 2 반응물은 아미노 치환된 전구체가 아니다. 일부 실시예들에 있어서, 여기에 개시된 상기 Sb 전구체들과 결합되어 사용될 상기 제 2 반응물은 알킬 치환된 전구체가 아니다. 일부 실시예들에 있어서, 여기에 개시된 상기 Sb 전구체들과 결합되어 사용될 상기 제 2 반응물은 직접적인 Sb-C 결합을 포함하지 않는다.
여기에 개시된 상기 Sb 전구체의 Sb 중심 원자들은 Si, Ge, 또는 Sn 원자들에 결합될 수 있다. Sb는 Si, Ge, 또는 Sn보다 전기음성도가 더 크고, 이는 결합 내에서 극성을 생성하여, 여기에 개시된 Sb 전구체들의 Sb 중심 원자들 상에서의 부분 음전하(partial negative charge)를 생기게 한다. 일부 실시예들에 있어서, 상기 Sb 중심 원자들은 음의 산화 상태를 가질 수 있다. 어느 이론에 한정되는 것은 아니지만, 여기에 개시된 전구체들의 중심 원자의 약간의 부분 음전하, 예를 들면 Sb(SiEt3)3의 Sb에서의 약간의 부분 음전하는 다른 전구체 내의 중심 원자의 부분 음전하, 예를 들면, SbCl3의 Sb에서의 부분 음전하와 결합되어 전구체 결합을 성공적으로 만들고 또한 막의 퇴적을 가능하게 하는 것으로 믿어진다.
일부 실시예들에 있어서, 여기에 개시된 상기 Sb 전구체들과 결합되어 사용될 상기 제 2 반응물은 수소, H2/플라스마, 아민, 이민, 히드라진, 실란, 실릴 칼코겐화물, 저메인(germane), 암모니아, 알칸, 알켄, 또는 알킨과 같은 환원제가 아니다. 여기서 사용될 때, 환원제는 대개는 ALD 공정에서 막 내에 퇴적될 원자인 다른 반응물의 원자를 때로는 원소 형태로 환원시킬 수 있는 화합물을 지칭한다. 동시에, 상기 환원제는 산화될 수 있다. 퇴적될 원자의 형식 산화 상태가 다른 전구체에서 음수라면, 산화성 화학작용으로, 예를 들면, 산화제로 원소의 막들을 제조하는 것도 가능함을 유의할 수 있다. 일부 실시예들에 있어서, 여기에 개시된 상기 Sb 전구체들은 ALD 공정에서 환원제로서 작용한다.
일부 실시예들에 있어서, 여기에 개시된 Sb 전구체들과 결합되어 사용될 제 2 반응물은 SbCl3와 같은 산화성 전구체이다. 상기 산화성 전구체는 물, 알코올, 또는 오존이 아닌 것이 바람직하다. 여기서 사용될 때, 산화성 전구체는, SbCl3의 경우에는 Sb와 같이, 분자의 중심 원자에 부분 양전하를 갖는 전구체이고, 따라서 중심 원자들은 양의 산화 상태들을 갖는 것으로 간주될 수 있다. 상기 산화성 전구체들의 부분 양전하는 퇴적되는 막 내에서 감소할 것이다. 즉, 실제로 어떠한 산화 상태의 증가도 일어나지 않았지만 상기 분자의 중심 원자는 약간 환원된 것으로 간주될 수 있다. 일부 실시예들에 있어서, 안티몬의 퇴적 사이클은 오직 두 개의 반응성 화합물들을 사용한다.
바람직하게, 상기 제 2 반응물은 Sb(SiR1R2R3)3의 화학식을 갖는 Sb 전구체이다. 여기서 R1, R2, 및 R3는 하나 이상의 탄소 원자를 포함하는 알킬기이다. 상기 R1, R2, 및 R3 알킬기는 휘발성, 증기압, 독성 등과 같은 전구체의 원하는 물리적 성질들에 근거하여 선택될 수 있다.
일부 실시예들에 있어서, 제 1 Sb 전구체는 SbCl3이고, 제 2 Sb 전구체는 Sb(SiEt3)3이다.
Sb 박막의 선택적 퇴적 동안 상기 기판 온도는 250℃ 미만인 것이 바람직하고, 200℃ 미만인 것이 더욱 바람직하고, 150℃ 미만인 것이 더더욱 바람직하다.
상기 반응기의 압력은 상기 퇴적에 사용되는 반응기에 크게 의존하여 변화할 수 있다. 통상 반응기 압력들은 보통의 주위 압력 미만이다.
통상의 기술자는 선택된 전구체들의 성질에 기초하여 최적의 반응물 기화 온도들을 결정할 수 있다. 여기에 설명된 방법들에 의하여 합성될 수 있는 Sb(SiEt3)3, 또는 Sb(SiMe3)3와 같은 상기 제 2 Sb 전구체에 대한 기화 온도들은 통상적으로 각각 약 85℃ 또는 실온이다. SbCl3와 같은 상기 제 1 Sb 전구체에 대한 기화 온도는 통상 약 30℃ 내지 약 50℃이다.
통상의 기술자는 선택된 전구체들의 성질들 및 퇴적되는 Sb 박막의 원하는 성질들에 기초한 일상적인 실험을 통하여 최적의 반응물 접촉 시간을 결정할 수 있다. 상기 제 1 Sb 반응물 및 상기 제 2 Sb 반응물은, 바람직하게는 약 0.05초 내지 약 10초 동안, 더욱 바람직하게는 약 0.2초 내지 약 4초 동안, 그리고 가장 바람직하게는 약 1초 내지 약 2초 동안 접촉된다. 과량의 반응물 및, 있다면, 반응 부산물들이 제거되는 제거 단계들은 바람직하게는 약 0.05초 내지 약 10초 동안, 더욱 바람직하게는 약 0.2초 내지 약 4초 동안, 그리고 가장 바람직하게는 약 1초 내지 약 2초 동안 수행된다.
원소인 Sb 박막들의 성장 속도는 반응 조건들에 따라 변화할 것이다. 뒤에서 설명되는 바와 같이, 초기 실험들에서 상기 성장 속도는 약 0.3Å/사이클 내지 약 0.5Å/사이클 사이에서 변화하였다.
위에서 논의된 바와 같이, 일부 실시예들에 있어서, 상기 기판의 제 1 표면 위에서의 Sb의 퇴적은 상기 기판의 제 2 표면에 대하여 상대적으로 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, Sb의 퇴적은 상기 제 1 표면 위에서만 일어나며, 상기 제 2 표면 위에서는 일어나지 않는다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 Sb 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다.
Sb 전구체들
여기에 개시된 Sb를 위한 ALD 타입 선택적 퇴적 공정들에서 제 1 반응물 또는 제 2 반응물로서 사용될 수 있는 전구체들이 이하에서 논의된다.
일부 실시예들에 있어서, 여기에 개시된 Sb 전구체들은 상기 제 1 Sb 전구체일 수 있다. 일부 실시예들에 있어서, 여기에 개시된 Sb 전구체들은 상기 제 2 반응물일 수 있다. 일부 실시예들에 있어서, 여기에 개시된 Sb 전구체들은 상기 제 1 Sb 전구체 또는 상기 제 2 반응물일 수 있다. 일부 실시예들에 있어서, 여기에 개시된 Sb 전구체들은 상기 제 1 Sb 전구체 및 상기 제 2 반응물일 수 있다. 일부 실시예들에 있어서, 상기 제 1 Sb 전구체는 여기에 개시된 Sb 전구체이고, 상기 제 2 반응물은 여기에 개시된 상이한 제 2 Sb 전구체이다.
일부 실시예들에 있어서, 상기 제 1 Sb 전구체, 상기 제 2 반응물, 또는 이들 둘 모두로서 사용될 수 있는 Sb 전구체들은 SbCl3 및 SbI3와 같은 Sb 할로겐화물들, Sb(OEt)3와 같은 Sb 알콕사이드류, 및 Sb 아미드류를 포함한다.
일부 실시예들에 있어서, Sb 전구체는 적어도 하나의 실리콘 원자에, 바람직하게는 적어도 두 개의 실리콘 원자에, 그리고 더욱 바람직하게는 세 개의 실리콘 원자에 결합된 Sb를 갖는다. 예를 들면, Sb(AR1R2R3)3의 일반식을 가질 수 있다. 여기서, A는 Si 또는 Ge이고, R1, R2, 및 R3는 하나 이상의 탄소 원자들을 포함하는 알킬기들이다. R1, R2, 및 R3 리간드들의 각각은 서로 독립적으로 선택될 수 있다. 상기 R1, R2, 및 R3 알킬기들은 휘발성, 증기압, 독성 등과 같은 전구체의 원하는 물리적 성질들에 근거하여 각 리간드에서 서로 독립적으로 선택될 수 있다. 일부 실시예들에 있어서, R1, R2, 및/또는 R3는 수소, 알케닐, 알키닐 또는 아릴기들일 수 있다. 일부 실시예들에 있어서, R1, R2, R3는 N, O, F, Si, P, S, Cl, Br 또는 I와 같은 이종 원자들을 포함하는 임의의 유기기들일 수 있다. 일부 실시예들에 있어서, R1, R2, R3는 할로겐 원자들일 수 있다. 일부 실시예들에 있어서, 상기 Sb 전구체는 Sb(SiR1R2R3)3의 일반식을 가질 수 있다. 여기서, R1, R2, 및 R3는 하나 이상의 탄소 원자들을 포함하는 알킬기들이다. 일부 실시예들에 있어서, R1, R2, 및/또는 R3는 메틸 또는 에틸기들과 같이 치환되지 않거나 또는 치환된 C1-C2 알킬들일 수 있다. 상기 R1, R2, 및 R3 알킬기들은 휘발성, 증기압, 독성 등과 같은 전구체의 원하는 물리적 성질들에 근거하여 각 리간드에서 서로 독립적으로 선택될 수 있다. 일부 실시예들에 있어서, 상기 Sb 전구체는 Sb(SiMe2tBu)3의 일반식을 가질 수 있다. 다른 실시예들에 있어서, 상기 전구체는 Sb(SiEt3)3 또는 Sb(SiMe3)3이다. 더욱 바람직한 실시예들에 있어서, 상기 전구체는 Sb-Si 결합을 갖고, 가장 바람직하게는 세 개의 Sb-Si 결합 구조를 갖는다.
일부 실시예들에 있어서, Sb 전구체는 Sb[A1(X1R1R2R3)3][A2(X2R4R5R6)3][A3(X3R7R8R9)3]의 일반식을 갖는다. 여기서, A1, A2, A3는 Si 또는 Ge가 되도록 독립적으로 선택될 수 있고, R1, R2, R3, R4, R5, R6, R7, R8, 및 R9는 알킬, 수소, 알케닐, 알키닐, 또는 아릴기들이 되도록 독립적으로 선택될 수 있다. 일부 실시예들에 있어서, R1, R2, R3, R4, R5, R6, R7, R8, 및 R9는 N, O, F, Si, P, S, Cl, Br 또는 I와 같은 이종 원자들도 함유하는 임의의 유기기들일 수 있다. 일부 실시예들에 있어서, R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 중 하나 이상은 할로겐 원자들일 수 있다. 일부 실시예들에 있어서, X1, X2, 및 X3는 Si, Ge, N, 또는 O일 수 있다. 일부 실시예들에 있어서, X1, X2, 및 X3는 상이한 원소들이다. 실시예들에 있어서, X가 Si일 때, Si는 세 개의 R 기들에 결합될 것이며, 예를 들면, Sb[Si(SiR1R2R3)3][Si(SiR4R5R6)3][Si(SiR7R8R9)3]와 같다. 실시예들에 있어서, X가 N일 때, 질소는 두 개의 R 기들에만 결합될 것이며, 예를 들면, Sb[Si(NR1R2)3][Si(NR3R4)3][Si(NR5R6)3]와 같다. 실시예들에 있어서, X가 O일 때, 상기 산소는 하나의 R 기에만 결합될 것이며, 예를 들면, Sb[Si(OR1)3][Si(OR2)3][Si(OR3)3]와 같다. R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 기들은 휘발성, 증기압, 독성 등과 같은 전구체의 원하는 물리적 성질들에 근거하여 각 리간드에서 서로 독립적으로 선택될 수 있다.
금속 위에서의 Ge의 선택적 퇴적
일부 실시예들에 있어서, 제 1 표면 위에 동일한 기판의 상이한 표면에 대하여 상대적으로 Ge가 선택적으로 퇴적된다. 일부 실시예들에 있어서, 패시베이션된 표면과 같은 표면에 대하여 상대적으로 Ge가 Ni, Co, Cu, Al, Ru, 또는 다른 귀금속 표면과 같이 동일한 기판의 상이한 표면인 금속 표면 위에 선택적으로 퇴적된다. 일부 실시예들에 있어서, 상기 제 1 표면은 친수성 표면이다. 일부 실시예들에 있어서, 상기 제 1 표면은 유전체 표면이다. 일부 실시예들에 있어서, Cu 표면 위에서 Ge가 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, Ni 표면 위에서 Ge가 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, Co 표면 위에서 Ge가 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, Al 표면 위에서 Ge가 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, Ru 표면 위에서 Ge가 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, 귀금속 위에서 Ge가 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다.
일부 실시예들에 있어서, 상기 금속 표면은 CuOx, NiOx, CoOx 또는 RuOx 또는 다른 귀금속 산화물과 같은 산화물을 포함한다. 일부 실시예들에 있어서, 금속 표면은 처리된 후에 있어서 더 이상 도전성이 아닐 수 있다. 예를 들면, 금속 표면은 예를 들면, 산화에 의하여 선택적 퇴적 공정의 시작 시에 또는 그 이전에 처리될 수 있고, 처리된 상기 표면은 더 이상 도전성이 아닐 수 있다.
일부 실시예들에 있어서, Ni, Co, Cu, Al, Ru, 또는 다른 귀금속과 같은 금속을 포함하는 표면 위에서 Ge는 동일한 기판의 상이한 표면에 대하여 상대적으로 선택적 퇴적된다. 그러나, 바람직한 실시예들에 있어서, 유전체인 OH-종결된 표면 위에서 가령 Ge 알콕사이드 전구체의 분해를 통하여 Ge가 동일한 기판의 Si-H 종결된 표면에 대하여 상대적으로 선택적 퇴적된다. 상기 친수성 표면은 적어도 약간의 OH-기들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 친수성 표면은 유전체 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 Si-OH 또는 Ge-OH 표면기들, SiO2, 로우 k 물질, 또는 GeO2를 포함할 수 있다. 일부 실시예들에 있어서, Ge는 사이클 퇴적 공정에 의하여 선택적으로 퇴적된다. 예를 들면, 상기 기판은 저머늄 알콕사이드 또는 알킬아민과 같은 저머늄 반응물 및 NH3와 같은 질소 반응물과 같은 제 2 반응물과 교대하여 및 순차적으로 접촉될 수 있다. 일부 실시예들에 있어서, 상기 Ge 반응물은 Ge(OEt)4, Ge(OMe)4, Ge(OiPr)4 또는 Ge(OtBu)4의 하나이다.
일부 실시예들에 있어서, 상기 제 1 표면은 금속을 포함한다. 일부 실시예들에 있어서, 상기 제 1 표면은 도전성 표면이다. 일부 실시예들에 있어서, 상기 제 1 표면은 H-종결된 표면이다. 예를 들면, 상기 제 1 표면은 Si-H 종들을 포함할 수 있다(수소 종결된 실리콘).
일부 실시예들에 있어서, 2013년 12월 19일에 출원된 미합중국 특허출원 제14/135,383호에 설명된 공정과 같은 공정에 의하여 Ge가 선택적으로 퇴적된다. 상기 특허출원은 여기에 인용되어 통합된다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 Ge의 상대적인 퇴적은 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, 상기 제 1 표면 위에서만 Ge 퇴적이 일어나며, 상기 제 2 표면 위에는 Ge 퇴적이 일어나지 않는다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 Ge 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 Ge 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다.
일부 실시예들에 있어서, 상기 제 2 표면은 친수성 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 적어도 약간의 OH-기들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 ??NHx 종결된 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 ??SHx 종결된 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 유전체 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 SiO2, 로우 k 물질, 또는 GeO2를 포함할 수 있다. 일부 실시예들에 있어서, Ge는 ALD 타입의 퇴적 공정에 의하여 선택적으로 퇴적된다. 예를 들면, 상기 기판은 저머늄 알콕사이드 또는 알킬아민과 같은 저머늄 반응물 및 NH3와 같은 질소 반응물과 같은 제 2 반응물과 교대하여 및 순차적으로 접촉될 수 있다.
위에서 논의된 바와 같이, 일부 실시예들에 있어서, 상기 제 2 표면은 금속 표면 위에서의 Ge의 선택적 퇴적이 상기 제 2 표면에 대하여 상대적으로 용이하게 되도록 처리된다. 예를 들면, 상기 제 2 표면은 친수성의 OH-종결된 표면을 제공하도록 처리될 수 있다. 일부 실시예들에 있어서, 친수성의 OH-종결된 표면은 상기 표면 위의 OH-기들의 양을 증가시키기 위하여 처리될 수 있다. 예를 들면, 상기 표면에서의 OH-기들의 수를 증가시키기 위하여 상기 유전체 표면은 H2O 증기에 노출될 수 있다. 또 다른 예는 25℃ 내지 40℃의 온도에서 버블러를 통하여 유동된 캐리어 가스에 유전체 표면을 노출시키는 것을 포함한다. 일부 실시예들에 있어서, 적어도 약간의 OH-기들을 포함하는 친수성 표면을 제공하기 위하여 상기 유전체 표면은 공기에 노출된다. 일부 실시예들에 있어서, 친수성 표면은 퇴적 이전에 처리되지 않는다.
일부 실시예들에 있어서, 상기 친수성 표면은 Ge의 퇴적에 앞서 예를 들면 패시베이션에 의하여 비활성화된다. 일부 실시예들에 있어서, 상기 친수성 표면의 비활성화는 적어도 OH-기들을 다른 기들로 치환하는 것을 포함할 수 있다. 일부 실시예들에 있어서, 상기 친수성 유전체 표면은 패시베이션된 표면을 형성하기 위하여 패시베이션 케미컬로 처리된다. 예를 들면, 상기 친수성 표면은 Ge의 퇴적에 앞서 예를 들면 염화되거나 불화되는 것과 같이 할로겐화되거나, 또는 실릴화될 수 있다. 일부 실시예들에 있어서, 상기 친수성 표면은 실릴화된 -Si-(CH3)x 또는 -Si(CH3)3 표면과 같이 실릴화된 표면을 형성하기 위하여 처리될 수 있다. 일부 실시예들에 있어서, 상기 친수성 표면은 염화되거나 불화된 표면과 같이 할로겐화된 표면을 형성하기 위하여 처리될 수 있다. 예를 들면, 상기 할로겐화된 표면은 Si-Cl 표면일 수 있다. 일부 실시예들에 있어서, 상기 친수성 표면은, 예를 들면, -SiH3 표면과 같이 H-종결된 표면을 제공하기 위하여 처리될 수 있다. 예를 들면, 일부 실시예들에 있어서, 상기 친수성 표면은 H-종결된 표면을 제공하는 케미컬과 접촉될 수 있다.
위에서 언급된 바와 같이, 여기에 설명된 공정들은 저머늄을 선택적으로 퇴적시키기 위하여 ALD 타입 퇴적 기술을 사용하는 것을 가능하게 한다. 상기 ALD 타입 퇴적 공정은 대부분은 (상기 제 1 기판 표면에서의 제어된 반응들에 기초하여) 표면-제어되고, 따라서 비교적 저온에서도 높은 콘포말 성질(conformality)을 제공하는 장점을 갖는다. 그러나, 일부 실시예들에 있어서, 상기 저머늄 전구체는 적어도 부분적으로 분해될 수 있다. 따라서, 일부 실시예들에 있어서, 여기에 설명된 상기 ALD 타입 공정은 전구체들의 분해가 관찰되지 않는 순수한 ALD 공정이다. 다른 실시예들에 있어서, 전구체의 분해가 일어나지 않고 순수한 ALD 공정이 달성되도록 반응 온도와 같은 반응 조건들이 선택된다.
상이한 화합물들의 분해 온도들이 다양하기 때문에, 임의의 주어진 실시예에서의 실제 반응 온도는 구체적으로 선택된 전구체들에 기초하여 선택될 수 있다. 일부 실시예들에 있어서, 상기 퇴적 온도는 약 600℃ 이하이다. 일부 실시예들에 있어서, 상기 퇴적 온도는 약 500℃ 이하이다. 일부 실시예들에 있어서, 상기 퇴적 온도는 약 450℃ 이하이다. 일부 실시예들에 있어서, 상기 퇴적 온도는 약 400℃ 이하인 것이 바람직하고, 일부 경우들에 있어서는, 약 375℃ 이하에서 더욱 바람직하다.
일부 실시예들에 있어서, Ge는 기판의 제 1 표면 위에 ALD 타입 공정에 의하여 상기 기판의 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 상기 ALD 타입 공정은 상기 기판을 제 1 Ge 전구체 및 제 2 반응물과 교대하면서 그리고 순차적으로 접촉시키는 단계를 포함한다.
일부 실시예들에 있어서, 제 1 표면 및 제 2 표면을 포함하는 기판이 제공되고, 금속이, 여기서는 Ge가, 다수의 사이클들을 포함하는 ALD 타입 퇴적 공정에 의하여 기판의 제 1 표면 위에 선택적으로 퇴적된다. 상기 각 사이클은 다음 단계들을 포함한다:
기판의 표면을 기화된 제 1 금속 전구체와, 예를 들면 TDMAGe와 접촉시키는 단계;
과량의 금속 전구체와, 있다면, 반응 부산물들을 상기 표면으로부터 제거하는 단계;
상기 기판의 표면을 기화된 제 2 반응물과, 예를 들면 NH3와 접촉시키는 단계;
상기 기판의 제 1 표면 위의 상기 금속 전구체층과 상기 제 2 반응물 사이의 반응에서 형성된 임의의 기체 부산물들 및 과량의 제 2 반응물을 상기 표면으로부터 제거하는 단계; 및
원하는 두께의 금속 박막, 여기서는 Ge 박막이 형성될 때까지 상기 접촉시키는 단계 및 제거하는 단계를 반복하는 단계.
위에서 언급된 바와 같이, 일부 실시예들에 있어서, 상기 퇴적 공정의 개시에 앞서 상기 기판의 한 표면 위에서의 퇴적을 하나 이상의 상이한 표면들에 대하여 상대적으로 향상시키기 위하여 하나 또는 그 이상의 표면들은 처리될 수 있다. 일부 실시예들에 있어서, 금속의, 여기서는 Ge의 퇴적에 앞서 비금속 표면인 상기 제 2 표면은 OH-종결된 표면을 제공하도록 처리되거나, 또는, 예를 들면, 패시베이션에 의하여 비활성화될 수 있다.
예시된 Ge 퇴적 사이클은 상기 기판을 제 1 Ge 전구체와 접촉시키는 단계로 시작하였지만, 다른 실시예들에서 상기 퇴적 사이클은 상기 기판을 제 2 반응물과 접촉시키는 단계로 시작한다. ALD 사이클에서 상기 기판의 표면을 상기 제 1 Ge 전구체와 접촉시키는 단계와 상기 기판의 표면을 상기 제 2 반응물과 접촉시키는 단계가 서로 바뀔 수 있음은 통상의 기술자에게 이해될 것이다.
상기 Ge 전구체가 기판과 접촉할 때, 상기 Ge 전구체는 적어도 하나의 모노레이어를, 또는 하나 미만의 모노레이어를, 또는 하나보다 많은 모노레이어를 형성할 수 있다.
일부 실시예들에 있어서, 퇴적 공정 내내 반응 공간으로 캐리어 가스가 연속적으로 유동된다. 일부 실시예들에 있어서, 상기 제 1 Ge 전구체가 각 퇴적 사이클에서 반응 챔버 내부로 펄스 공급된다. 일부 실시예들에 있어서, 그 후 과량의 저머늄 전구체는 상기 반응 챔버로부터 제거된다. 일부 실시예들에 있어서, 상기 캐리어 가스는 질소를 포함한다. 일부 실시예들에 있어서, 별도의 퍼지 가스가 사용된다.
일부 실시예들에 있어서, 불활성 캐리어 가스의 흐름을 계속하면서 제 2 반응물의 흐름을 중지시킴으로써 상기 반응물 및 반응 부산물들은 상기 기판 표면으로부터 제거될 수 있다. 일부 실시예들에 있어서, 상이한 반응물들이 원하는 순서로 원하는 시간 동안 상기 기판의 표면을 교대하면서 및 순차적으로 접촉하도록 상기 기판이 이동한다. 일부 실시예들에 있어서, 상기 제거하는 단계들은 수행되지 않는다. 일부 실시예들에 있어서, 챔버의 여러 부분들로부터 반응물이 제거되지 않을 수 있다. 일부 실시예들에 있어서, 제 1 전구체를 수용하는 상기 챔버의 일부분으로부터 제 2 반응물을 수용하는 상기 챔버의 다른 부분으로 상기 기판이 이동된다. 일부 실시예들에 있어서, 상기 기판은 제 1 반응 챔버로부터 상이한 반응 챔버인 제 2 반응 챔버로 이동된다.
상기 ALD 타입 공정들에 채용된 Ge 전구체는 기판 표면과 접촉되기 전까지 상기 Ge 전구체가 기상일 수 있다면 표준 상태 (실온 및 대기압) 하에서 고체, 액체, 또는 기체 물질일 수 있다.
기판 표면을 기화된 전구체와 접촉시키는 것은 전구체의 증기가 한정된 시간 동안 상기 기판 표면과 접촉하는 것을 의미한다. 통상, 상기 접촉 시간은 약 0.05초 내지 약 10초이다. 그러나, 기판의 타입과 그의 표면적에 따라 상기 접촉 시간은 10초보다 훨씬 더 길 수 있다. 일부 경우들에 있어서는 접촉 시간이 분(分)의 단위(order)일 수 있다. 최적의 접촉 시간은 특정 환경들에 기초하여 통상의 기술자가 결정할 수 있다. 일부 실시예들에 있어서, 상이한 반응물들이 원하는 순서로 원하는 시간 동안 상기 기판의 표면을 교대하면서 및 순차적으로 접촉하도록 상기 기판이 이동한다. 일부 실시예들에 있어서, 상기 기판은 제 1 반응 챔버로부터 상이한 반응 챔버인 제 2 반응 챔버로 이동된다. 일부 실시예들에 있어서, 상기 기판은 제 1 반응 챔버 내에서 이동된다.
일부 실시예들에 있어서, 예를 들면, 단일 웨이퍼 반응기 내에서 300mm 웨이퍼에 대하여 기판의 표면은 Ge 전구체와 약 0.05초 내지 약 10초 동안, 또는 약 0.1초 내지 약 5초 동안, 또는 약 0.3초 내지 약 3.0초 동안 접촉된다.
상기 기판의 표면은 제 2 반응물과 약 0.05초 내지 약 10초 동안, 또는 약 0.1초 내지 약 5초 동안, 또는 약 0.3초 내지 약 3.0초 동안 접촉될 수 있다. 그러나 일부 경우들에 있어서는 하나 또는 두 반응물들에 대한 접촉 시간이 분(分)의 단위(order)일 수 있다. 각 반응물에 대한 최적의 접촉 시간은 특정 환경들에 기초하여 통상의 기술자가 결정할 수 있다.
위에서 언급된 바와 같이, 일부 실시예들에 있어서, 상기 Ge 전구체는 저머늄 알콕사이드이고, 예를 들면, Ge(OEt)4 또는 Ge(OMe)4이다. 일부 실시예들에 있어서, 상기 Ge 전구체는 TDMAGe이다. 일부 실시예들에 있어서, 상기 Ge 전구체는 알킬 및/또는 알킬아민 기들을 포함한다. 일부 실시예들에 있어서, 상기 Ge 전구체는 할로겐화물이 아니다. 일부 실시예들에 있어서, 상기 Ge 전구체는 적어도 하나의 리간드 내에 할로겐을 포함할 수 있지만 모든 리간드들 내에 할로겐을 포함하지 않을 수 있다. 상기 저머늄 전구체는 아르곤과 같은 불활성 캐리어 가스의 도움으로 제공될 수 있다.
일부 실시예들에 있어서, 상기 제 2 반응물은 질소-수소 결합을 포함한다. 일부 실시예들에 있어서, 상기 제 2 반응물은 암모니아(NH3)이다. 일부 실시예들에 있어서, 상기 제 2 반응물은 분자 질소이다. 일부 실시예들에 있어서, 상기 제 2 반응물은 질소를 포함하는 플라스마이다. 일부 실시예들에 있어서, 상기 제 2 반응물은 활성화된 또는 여기된 질소 종들을 포함한다. 일부 실시예들에 있어서, 상기 제 2 반응물은 질소-함유 가스 펄스로 제공될 수 있으며 상기 질소-함유 가스 펄스는 아르곤과 같은 비활성 가스와 질소 반응물의 혼합물일 수 있다.
일부 실시예들에 있어서, 질소-함유 플라스마가 반응기 내에 형성된다. 일부 실시예들에 있어서, 상기 플라스마는 기판의 위에 또는 기판에 가까이 근접하여 인 시투로 형성될 수 있다. 다른 실시예들에 있어서, 상기 플라스마는 상기 반응 챔버의 상류쪽인 원격 플라스마 생성기 내에서 형성되고, 플라스마 생성물들은 기판과 접촉되기 위하여 상기 반응 챔버로 보내어진다. 원격 플라스마의 경우에 있어서, 상기 기판으로의 경로가 상기 기판에 도달하기 전 이온의 서바이벌을 최소화하고 전기적으로 중성인 종들을 최대화하도록 최적화될 수 있음은 통상의 기술자가 이해할 수 있을 것이다.
사용되는 제 2 반응물과 무관하게, 본 개시의 일부 실시예들에 있어서, 제 2 반응물의 사용이 상기 퇴적되는 막에 상당한 양의 질소를 기여하는 것은 아니다. 일부 실시예들에 따르면, 얻어지는 저머늄 막은 질소를 약 5 원자% 미만, 또는 약 2 원자% 미만, 또는 심지어 약 1 원자% 미만으로 함유한다. 일부 실시예들에 있어서, 상기 저머늄 막의 질소 함량은 약 0.5 원자% 미만 또는 나아가 약 0.2 원자% 미만이다.
일부 실시예들에 있어서, 상기 퇴적 공정에 수소 반응물들은 사용되지 않는다. 일부 실시예들에 있어서, 적어도 하나의 퇴적 사이클에서, 또는 전체 퇴적 공정에서 원소 수소 (H2)가 제공되지 않는다. 일부 실시예들에 있어서, 적어도 하나의 퇴적 사이클에서, 또는 전체 퇴적 공정에서 수소 플라스마가 제공되지 않는다. 일부 실시예들에 있어서, 적어도 하나의 퇴적 사이클에서, 또는 전체 퇴적 공정에서 수소 원자들 또는 라디칼들이 제공되지 않는다.
일부 실시예들에 있어서, 상기 Ge 전구체는 화학식 (2) 내지 (6) 및 (8) 및 (9)에 제공된 것들과 같은 적어도 하나의 아민 또는 알킬아민 리간드를 포함하고, 상기 제 2 반응물은 NH3를 포함한다.
상기 막의 퇴적을 시작하기 전에 상기 기판은 대개 위에서 논의된 바와 같은 적절한 성장 온도로 가열된다. 바람직한 퇴적 온도는 반응물 전구체들, 압력, 흐름 속도, 반응기의 배열, 퇴적되는 박막의 결정화 온도, 및 기판 위에 퇴적될 물질의 성질을 포함한 기판의 조성과 같은 수많은 인자들에 의존하여 변화할 수 있지만 이들에 한정되는 것은 아니다. 일부 실시예들에 있어서, 상기 저머늄 전구체가 상기 제 2 전구체 없이 분해되지 않는 온도를 하한으로 하고 상기 전구체가 스스로 분해되는 온도를 상한으로 하여 그 사이의 온도가 되도록 상기 퇴적 온도가 선택된다. 다른 곳에서 논의된 바와 같이, 일부 실시예들에 있어서, 상기 온도는 약 600℃ 미만일 수 있고, 약 450℃ 미만일 수 있고, 약 400℃ 미만일 수 있고, 그리고 일부 경우들에 있어서는 약 375℃ 미만일 수 있다. 일부 실시예들에 있어서, 상기 저머늄과 제 2 반응물로서 Ge(OCH2CH3)4 및 NH3를 사용하면, 상기 온도는 약 350℃이다.
공정 시간은 막의 성장 속도와 생성될 막의 두께에 의존한다. ALD에서, 박막의 성장 속도는 1회의 사이클당 두께의 증가로 결정된다. 하나의 사이클은 상기 전구체들을 접촉시키는 단계 및 제거하는 단계들로 구성되며, 하나의 사이클의 지속 시간은 대개 약 0.2초 내지 약 30초 사이, 더욱 바람직하게는 약 1초 내지 약 10초 사이이나, 예를 들면, 큰 표면적과 부피가 있는 경우와 같은 일부 경우들에 있어서는 분단위 또는 그 이상일 수 있다.
일부 실시예들에 있어서, 상기 저머늄 박막의 성장 속도는 약 2Å/사이클보다 크거나 같을 수 있고, 약 5Å/사이클보다 크거나 같을 수 있고, 약 10Å/사이클보다 크거나 같을 수 있고, 일부 실시예들에 있어서, 약 15Å/사이클보다 더 클 수 있다.
일부 실시예들에 있어서, 형성되는 상기 저머늄 박막은 비교적 순수한 저머늄 박막이다. 미미한 불순물들은 별개로 하고, 상기 막 내에 다른 금속 또는 반금속 원소들이 존재하지 않는 것이 바람직하다. 일부 실시예들에 있어서, 상기 막은 Ge 외에 금속 또는 반금속을 1 원자% 미만으로 포함한다. 일부 실시예들에 있어서, 상기 저머늄 막은 수소 외에 다른 불순물을 약 5 원자% 미만으로, 바람직하게는 수소 외에 다른 불순물을 약 3 원자% 미만으로, 그리고 더욱 바람직하게는 수소 외에 다른 불순물을 약 1 원자% 미만으로 포함한다. 일부 실시예들에 있어서, 저머늄 막은 약 5 원자% 미만의 질소를, 약 3 원자% 미만의 질소를, 약 2 원자% 미만의 질소를, 또는 심지어 약 1 원자% 미만의 질소를 포함한다. 일부 실시예들에 있어서, 순수한 저머늄 막은 약 2 원자% 미만의 산소를, 바람직하게는 약 1 원자% 미만의 산소를, 약 0.5 원자% 미만의 산소를, 또는 심지어 약 0.25 원자% 미만의 산소를 포함한다.
일부 실시예들에 있어서, 산소를 포함하는 저머늄 전구체가 사용되고, 상기 저머늄 막은 산소를 포함하지 않거나 또는 불순물로서 소량의 산소를 포함한다. 일부 실시예들에 있어서, 상기 산소를 포함하는 저머늄 전구체를 써서 퇴적된 저머늄 막은 약 2 원자% 미만의 산소를, 약 1 원자% 미만의 산소를, 약 0.5 원자% 미만의 산소를, 또는 심지어 약 0.25 원자% 미만의 산소를 포함할 수 있다.
일부 실시예들에 있어서, 형성된 상기 저머늄 막은 높은 종횡비를 갖는 구조물들 위에서 약 50%보다 큰, 약 80%보다 큰, 약 90%보다 큰, 심지어 약 95%보다 큰 스텝 커버리지(step coverage)를 갖는다. 일부 실시예들에 있어서, 높은 종횡비의 구조물들은 지형의 깊이 또는 높이와 폭을 비교할 때 약 3:1보다 큰 종횡비를 갖는다. 일부 실시예들에 있어서, 상기 구조물들은 약 5:1보다 큰 종횡비를, 또는 심지어 10:1 또는 그보다 큰 종횡비를 갖는다.
Ge 전구체들
상기 선택적 퇴적 공정들에는 수많은 상이한 Ge 전구체들이 사용될 수 있다. 일부 실시예들에 있어서, 상기 Ge 전구체는 4가(즉, Ge는 +IV의 산화상태를 갖는다)이다. 일부 실시예들에 있어서, 상기 Ge 전구체는 2가(즉, Ge는 +II의 산화상태를 갖는다)가 아니다. 일부 실시예들에 있어서, 상기 Ge 전구체는 적어도 하나의 알콕사이드 리간드를 포함할 수 있다. 일부 실시예들에 있어서, 상기 Ge 전구체는 적어도 하나의 아민 또는 알킬아민 리간드를 포함할 수 있다. 일부 실시예들에 있어서, 상기 Ge 전구체는 금속-유기 또는 유기금속 화합물이다. 일부 실시예들에 있어서, 상기 Ge 전구체는 적어도 하나의 할로겐화 리간드를 포함한다. 일부 실시예들에 있어서, 상기 Ge 전구체는 할로겐화 리간드를 포함하지 않는다.
일부 실시예들에 있어서, 상기 Ge 전구체는 Ge-O 결합을 포함한다. 일부 실시예들에 있어서, 상기 Ge 전구체는 Ge-N 결합을 포함한다. 일부 실시예들에 있어서, 상기 Ge 전구체는 Ge-C 결합을 포함한다. 일부 실시예들에 있어서, 상기 Ge 전구체는 Ge-H 결합을 포함하지 않는다. 일부 실시예들에 있어서, 상기 Ge 전구체는 하나의 Ge 원자당 둘 또는 그보다 적은 Ge-H 결합들을 포함한다.
일부 실시예들에 있어서, 상기 Ge 전구체는 실온(예를 들면, 약 20℃)에서 고체가 아니다.
예를 들면, 이하의 화학식 (1) 내지 (9)의 Ge 전구체들이 일부 실시예들에서 사용될 수 있다.
(1) GeOR4
여기서 R은 알킬 및 치환된 알킬로 구성되는 군으로부터 독립적으로 선택될 수 있다.
(2) GeRxA4-x
여기서 x는 1 내지 4의 정수이고,
R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 및 알킬아민류로 구성되는 군으로부터 독립적으로 선택될 수 있고,
A는 알킬, 치환된 알킬, 알콕사이드류, 알킬실릴류, 알킬, 알킬아민류, 할로겐화물, 및 수소로 구성되는 군으로부터 독립적으로 선택될 수 있다.
(3) Ge(OR)xA4-x
여기서 x는 1 내지 4의 정수이고,
R은 알킬 및 치환된 알킬로 구성되는 군으로부터 독립적으로 선택될 수 있고,
A는 알킬, 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류, 할로겐화물, 및 수소로 구성되는 군으로부터 독립적으로 선택될 수 있다.
(4) Ge(NRIRII)4
여기서 RI은 수소, 알킬 및 치환된 알킬로 구성되는 군으로부터 독립적으로 선택될 수 있고,
RII은 알킬 및 치환된 알킬로 구성되는 군으로부터 독립적으로 선택될 수 있다.
(5) Ge(NRIRII)xA4-x
여기서 x는 1 내지 4의 정수이고,
RI은 수소, 알킬 및 치환된 알킬로 구성되는 군으로부터 독립적으로 선택될 수 있고,
RII는 알킬 및 치환된 알킬로 구성되는 군으로부터 독립적으로 선택될 수 있고,
A는 알킬, 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류, 할로겐화물, 및 수소로 구성되는 군으로부터 독립적으로 선택될 수 있다.
(6) Gen(NRIRII)2n+2
여기서, n은 1 내지 3의 정수이고,
RI은 수소, 알킬 및 치환된 알킬로 구성되는 군으로부터 독립적으로 선택될 수 있고,
RII는 알킬 및 치환된 알킬로 구성되는 군으로부터 독립적으로 선택될 수 있다.
(7) Gen(OR)2n+2
여기서, n은 1 내지 3의 정수이고,
여기서, R은 알킬 및 치환된 알킬로 구성되는 군으로부터 독립적으로 선택될 수 있다.
(8) GenR2n+2
여기서, n은 1 내지 3의 정수이고,
R은 유기 리간드이고 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 및 알킬아민류로 구성되는 군으로부터 독립적으로 선택될 수 있다.
(9) A3-xRxGe-GeRyA3-y
여기서, x는 1 내지 3의 정수이고,
y는 1 내지 3의 정수이고,
R은 유기 리간드이고 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 및 알킬아민류로 구성되는 군으로부터 독립적으로 선택될 수 있고,
A는 알킬, 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류, 할로겐화물, 및 수소로 구성되는 군으로부터 독립적으로 선택될 수 있다.
모든 화학식에 대하여 R을 위한 바람직한 선택들은 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이소부틸, 터셔리부틸을 포함하며, 더욱 바람직하게는 메틸과 에틸이나 여기에 한정되는 것은 아니다. 일부 실시예들에 있어서, R을 위한 바람직한 선택들은 C3-C10 알킬류, 알케닐류 및 알키닐류 및 이들의 치환된 변형들을 포함하며, 더욱 바람직하게는 C3-C6 알킬류, 알케닐류 및 알키닐류 및 이들의 치환된 변형들을 포함하지만 여기에 한정되는 것은 아니다.
일부 실시예들에 있어서, Ge 전구체는 하나 이상의 할로겐화물들을 포함한다. 예를 들면, 상기 전구체는 1, 2, 또는 3개의 할로겐화 리간드를 포함할 수 있다. 그러나, 위에서 언급된 바와 같이, 일부 실시예들에 있어서, 상기 Ge 전구체는 할로겐화물을 포함하지 않는다.
일부 실시예들에 있어서, 저메인(GeHx)가 사용되지 않는다. 일부 실시예들에 있어서, Ge와 수소를 포함하는 화합물이 사용될 수 있다. 일부 실시예들에 있어서, GeH4 및 Ge2H6의 하나 이상을 포함하여 저메인이 사용될 수 있으나, 여기에 한정되는 것은 아니다.
일부 실시예들에 있어서, Ge(OMe)4, Ge(OEt)4, Ge(OiPr)4, Ge(OnPr)4 및 Ge(OtBu)4의 하나 이상을 포함하여 알콕사이드 Ge 전구체들이 사용될 수 있으나, 여기에 한정되는 것은 아니다. 일부 실시예들에 있어서, 상기 Ge 전구체는 TDMAGe이다. 일부 실시예들에 있어서, 상기 Ge 전구체는 TDEAGe이다. 일부 실시예들에 있어서, 상기 Ge 전구체는 TEMAGe이다.
Ru 및 다른 귀금속들의 금속 위에서의 선택적 퇴적
일부 실시예들에 있어서, 귀금속은, 바람직하게는 Ru 금속은, 기판의 Cu, Ni, Co, Al, W, Ru, 또는 다른 귀금속과 같은 제 1 금속 표면 위에 동일한 기판의 비금속인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, 귀금속은 Au, Pt, Ir, Pd, Os, Ag, Hg, Po, Rh, Ru, Cu, Bi, Tc, Re, 및 Sb 중의 하나를 포함할 수 있으며, Ru인 것이 바람직하다.
일부 실시예들에 있어서, 귀금속은 기판의 Cu, Ni, Co, Al, W, Ru, 또는 다른 귀금속 표면과 같은 제 1 금속 표면 위에 동일한 기판의 친수성 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, 상기 금속 표면은 CuOx, NiOx, CoOx 또는 RuOx 또는 다른 귀금속 산화물과 같은 산화물을 포함한다. 일부 실시예들에 있어서, 금속 표면은 처리된 이후에는 더 이상 도전성이 아닐 수 있다. 예를 들면, 상기 선택적 퇴적 공정의 시작 시에 또는 그에 앞서 금속 표면이 예를 들면, 산화에 의하여 처리될 수 있으며, 처리된 표면은 더 이상 도전성이 아닐 수 있다. 일부 실시예들에 있어서, 상기 선택적 퇴적 공정의 시작 시에 또는 그에 앞서, 현존하는 금속 산화물 표면이 예를 들면, 환원에 의하여 처리될 수 있으며, 처리된 표면은 금속을 포함할 수 있다.
일부 실시예들에 있어서, 귀금속은 Cu 표면 위에 상이한 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, 귀금속은 Ni 표면 위에 상이한 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, 귀금속은 Co 표면 위에 상이한 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, 귀금속은 Al 표면 위에 상이한 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, 귀금속은 W 표면 위에 상이한 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, 귀금속은 Ru 표면 위에 상이한 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, 귀금속은 귀금속 표면 위에 상이한 제 2 표면에 대하여 상대적으로 선택적 퇴적된다.
일부 실시예들에 있어서, 상기 제 2 표면은 친수성 표면이다. 일부 실시예들에 있어서, 친수성인 상기 제 2 표면은 적어도 약간의 OH-기들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 -NHx 종결된 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 -SHx 종결된 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 유전체 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 SiO2, 로우-k 물질, 또는 GeO2를 포함할 수 있다.
예를 들면, Ru 비스(시클로펜타디에닐) 화합물들은 친수성 산화물 표면들에 대하여 고도로 비반응성일 수 있다. 상기 친수성 표면은 적어도 약간의 OH-기들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 친수성 표면은 유전체 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 SiO2, 로우-k 물질, 또는 GeO2를 포함할 수 있다. 일부 실시예들에 있어서, 사이클식 퇴적 공정에 의하여 귀금속이, 바람직하게는 Ru이 선택적으로 퇴적된다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 Ru 또는 다른 귀금속의 퇴적은 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, 상기 제 1 표면 위에서만 Ru 또는 다른 귀금속의 퇴적이 일어나며, 상기 제 2 표면 위에는 Ru 또는 다른 귀금속의 퇴적이 일어나지 않는다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 Ru 또는 다른 귀금속의 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다.
일부 실시예들에 있어서, 선택적으로 퇴적된 귀금속 막 내에 존재하는 불순물들의 양은 낮으며, 이는 높은 도전성의 막을 목표로 할 때 필수적이다. 일부 실시예들에 있어서, H, C, 및 N 불순물들의 양은 대개 0.1 원자% 내지 0.3 원자%의 오더(order)이다. 일부 실시예들에 있어서, 잔존 산소의 양은 대개 0.3 원자% 내지 0.5 원자%의 범위이다.
일부 실시예들에 있어서, 2002년 11월 30일 발행된 미국등록특허 제6,824,816호(대리인 관리 번호 SEPP16.001AUS)에 설명된 것과 같은 공정에 의하여 Ru가 선택적으로 퇴적되며, 상기 특허의 전체 개시는 본 특허의 부록에 첨부되며, 여기에 인용되어 통합된다.
일부 실시예들에 있어서, 2010년 2월 23일 발행된 미국등록특허 제7,666,773호(대리인 관리 번호 ASMMC.063AUS)에 설명된 것과 같은, 또는 2011년 9월 27일 발행된 미국등록특허 제8,025,922호(대리인 관리 번호 ASMMC.068AUS)에 설명된 것과 같은 공정에 의하여 Ru 또는 다른 귀금속이 퇴적되며, 상기 각 특허의 전체 개시는 본 특허의 부록에 첨부되며, 여기에 인용되어 통합된다.
일부 실시예들에 있어서, 귀금속이 기판의 제 1 표면 위에 상기 기판의 상이한 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 상기 퇴적은 상기 기판을 제 1 귀금속 전구체 및 제 2 반응물과 교대로 및 순차적으로 접촉시키는 단계를 포함하는 ALD 타입 공정에 의하여 수행된다.
일부 실시예들에 있어서, 제 1 표면 및 제 2 표면을 갖는 기판이 제공되며, 귀금속이 다수 회의 사이클들을 포함하는 ALD 타입의 퇴적 공정에 의하여 기판의 제 1 표면 위에 선택적으로 퇴적된다. 각 사이클은 다음 단계들을 포함한다:
기판의 상기 표면을 기화된 제 1 귀금속 전구체와 접촉시키는 단계;
과량의 귀금속 전구체 및, 있다면, 반응 부산물들을 상기 표면으로부터 제거하는 단계;
상기 기판의 표면을 기화된 제 2 반응물과 접촉시키는 단계;
상기 기판의 제 1 표면 위의 상기 귀금속 전구체층과 상기 제 2 반응물 사이의 반응에서 생성된 임의의 기체인 부산물들 및 과량의 제 2 반응물을 상기 표면으로부터 제거하는 단계; 및
귀금속 박막이 원하는 두께로 형성될 때까지 상기 접촉시키는 단계 및 상기 제거하는 단계를 반복하는 단계.
위에서 언급된 바와 같이, 일부 실시예들에 있어서, 상기 기판의 하나 이상의 표면들은 퇴적 공정의 시작에 앞서 하나의 표면 위에서의 퇴적을 하나 이상의 상이한 표면들에 대하여 상대적으로 향상시키기 위하여 처리될 수 있다. 일부 실시예들에 있어서, 금속인 상기 제 1 표면은, 비금속인 상기 제 2 표면에 대하여 상대적으로 상기 제 1 표면 위에서의 퇴적을 향상시키기 위하여 처리될 수 있다. 일부 실시예들에 있어서, 금속인 상기 제 1 표면은, 예를 들면 표면 개질에 의하여 활성화될 수 있다. 일부 실시예들에 있어서, 비금속인 상기 제 2 표면은 귀금속의 퇴적에 앞서 OH-종결된 표면을 제공하도록 처리되거나, 또는 예를 들면 패시베이션에 의하여 비활성화될 수 있다.
일부 실시예들에 있어서, 상기 선택적 퇴적 공정의 시작 시에 또는 그에 앞서, 현존하는 금속 산화물 표면이 예를 들면, 환원에 의하여 처리될 수 있으며, 처리된 표면은 금속을 포함할 수 있다. 일부 실시예들에 있어서, 금속 산화물, 예를 들면 CuO를 포함하는 상기 제 1 표면은 환원제에 노출될 수 있다. 일부 실시예들에 있어서, 상기 환원제는 유기 화합물을 포함할 수 있다. 일부 실시예들에 있어서, 상기 환원제는 -OH, -CHO, 및 -COOH로부터 선택된 적어도 하나의 작용기를 포함하는 유기 화합물을 포함할 수 있다. 일부 실시예들에 있어서, 사전 처리 후에 제 1 표면은 금속 산화물을, 예를 들면 CuO를 더 이상 포함하지 않을 수 있으며, 도전성 금속 표면을, 예를 들면 Cu를 포함할 수 있다. 일부 실시예들에 있어서, 금속인 상기 제 1 표면은, 예를 들면 W 표면은 예를 들면 그 위에 Si-H 표면 종결들을 형성하기 위한 처리에 의하여 활성화된다. 일부 실시예들에 있어서, 상기 제 1 표면의 활성화는 Si-H 표면 종결들을 제공하는 케미컬들과 상기 제 1 표면을 접촉시키는 단계를 포함한다. 일부 실시예들에 있어서, 상기 제 1 표면의 활성화는 상기 제 1 표면 위에 Si-H 표면 종결들을 형성하기 위하여 상기 기판을 디실란에 노출시키는 단계를 포함할 수 있다.
일부 실시예들에 있어서, 비금속인 상기 제 2 표면은, 예를 들면 금속의 퇴적이 저해된 표면을 제공하는 처리에 의하여 비활성화된다. 일부 실시예들에 있어서, 비활성화는 패시베이션 케미컬로 처리하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 상기 비활성화 처리는 금속인 제 1 표면 위에 금속을 퇴적하기에 앞서 일어날 수 있다. 일부 실시예들에 있어서, 상기 비활성화 처리는 인 시투 비활성화 처리일 수 있다. 일부 실시예들에 있어서, 상기 친수성 표면의 비활성화는 적어도 OH-기들을 다른 기들로 대체하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 비활성화는 비금속인 상기 제 2 표면 위에 OH-기들의 양을 증가시키기 위한 처리를 포함할 수 있다.
일부 실시예들에 있어서, 유전체 표면은 그 위에 금속이 퇴적되는 것을 저해하기 위하여 패시베이션될 수 있다. 예를 들면, 상기 유전체 표면은 실릴화된(-Si-(CH3)x 또는 -Si(CH3)3) 표면 또는 할로겐화된 표면 또는 SiH3 표면을 제공하는 케미컬과 접촉될 수 있다. 일부 실시예들에 있어서, 상기 유전체 표면은 Si-Cl 표면과 같이 염소화되거나 불소화될 수 있다. 상기 표면을 CCl4 또는 금속 할로겐화물과 같은 할로겐화 케미컬로 처리함으로써 할로겐화된 표면을 얻을 수 있다. 상기 금속 할로겐화물은 WF6, NbF5, 또는 NbCl5와 같은 휘발성 금속 옥시할로겐화물들을 형성하여 상기 표면 위에 염소화물 또는 불소화물과 같은 할로겐화물을 남길 수 있다. 상기 패시베이션은 금속 표면에 대하여 상대적으로 유전체 표면 위에서의 금속의 퇴적을 억제하기 위하여 사용될 수 있다. 일부 실시예들에 있어서, 상기 패시베이션 케미컬은 트리메틸클로로실란(trimethylchlorosilane, TMCS) (CH3)3SiCl, 트리메틸디메틸아미노실란(trimethyldimethylaminosilane) (CH3)3SiN(CH3)2, 또는 R4-xSiXx의 화학식을 갖는 다른 종류의 알킬 치환된 실란 중 하나 이상일 수 있다. 여기서 x는 1 내지 3이고, R은 각각 독립적으로 메틸, 에틸, 프로필, 또는 부틸과 같은 C1-C5의 탄화수소가 되도록 선택될 수 있으며, 메틸인 것이 바람직하다. X는 할로겐화물이거나 또는 X는 알킬아미노기인 -NR1R2와 같이 OH-기와 반응할 수 있는 다른 작용기이다. 여기서 R1은 각각 독립적으로 수소 또는 C1-C5의 탄화수소, 바람직하게는 메틸 또는 에틸이 되도록 선택될 수 있으며, R2는 각각 독립적으로 C1-C5의 탄화수소, 바람직하게는 메틸 또는 에틸이 되도록 선택될 수 있다. X는 염소화물 또는 디메틸아미노기인 것이 바람직하다. 일부 실시예들에 있어서, 상기 패시베이션 케미컬은 비스(디에틸아미노)실란과 같은 적어도 하나의 알킬아미노기를 포함하는 실란 화합물 또는 SiH3기를 포함하는 실란 화합물, 또는 헥사메틸디실라잔(hexamethyldisilazane, HMDS)과 같은 실라잔일 수 있다.
상기 예시된 귀금속 퇴적 사이클은 상기 기판을 제 1 귀금속 전구체와 접촉시키는 단계로 시작하지만, 다른 실시예들에 있어서 상기 퇴적 사이클은 상기 기판을 제 2 반응물과 접촉시키는 단계로 시작한다. 상기 기판의 표면을 상기 제 1 귀금속 전구체와 접촉시키는 단계 및 상기 기판의 표면을 상기 제 2 반응물과 접촉시키는 단계는 ALD 사이클에서 상호 대체 가능함을 통상의 기술자는 이해할 것이다.
상기 귀금속 전구체가 상기 기판과 접촉할 때, 상기 귀금속 전구체는 적어도 하나의 모노레이어를, 또는 하나 미만의 모노레이어를, 또는 하나보다 많은 모노레이어를 형성할 수 있다.
일부 실시예들에 있어서, 퇴적 공정 내내 반응 공간으로 캐리어 가스가 연속적으로 유동된다. 일부 실시예들에 있어서, 상기 제 1 저머늄 전구체가 각 퇴적 사이클에서 반응 챔버 내부로 펄스 공급된다. 일부 실시예들에 있어서, 그 후 과량의 귀금속 전구체는 상기 반응 챔버로부터 제거된다. 일부 실시예들에 있어서, 상기 캐리어 가스는 질소를 포함한다. 일부 실시예들에 있어서, 별도의 퍼지 가스가 사용된다.
일부 실시예들에 있어서, 불활성 캐리어 가스의 흐름을 계속하면서 제 2 반응물의 흐름을 중지시킴으로써 상기 반응물 및 반응 부산물들은 상기 기판 표면으로부터 제거될 수 있다. 일부 실시예들에 있어서, 상이한 반응물들이 원하는 순서로 원하는 시간 동안 상기 기판의 표면을 교대하면서 및 순차적으로 접촉하도록 상기 기판이 이동한다. 일부 실시예들에 있어서, 상기 제거하는 단계들은 수행되지 않는다. 일부 실시예들에 있어서, 챔버의 여러 부분들로부터 반응물이 제거되지 않을 수 있다. 일부 실시예들에 있어서, 제 1 전구체를 수용하는 상기 챔버의 일부분으로부터 제 2 반응물을 수용하는 상기 챔버의 다른 부분으로 상기 기판이 이동된다. 일부 실시예들에 있어서, 상기 기판은 제 1 반응 챔버로부터 상이한 반응 챔버인 제 2 반응 챔버로 이동된다.
상기 ALD 타입 공정들에 채용된 귀금속 전구체는 기판 표면과 접촉되기 전까지 상기 귀금속 전구체가 기상일 수 있다면 표준 상태 (실온 및 대기압) 하에서 고체, 액체, 또는 기체 물질일 수 있다.
기판 표면을 기화된 전구체와 접촉시키는 것은 전구체의 증기가 한정된 시간 동안 상기 기판 표면과 접촉하는 것을 의미한다. 통상, 상기 접촉 시간은 약 0.05초 내지 약 10초이다. 그러나, 기판의 타입과 그의 표면적에 따라 상기 접촉 시간은 10초보다 훨씬 더 길 수 있다. 일부 경우들에 있어서는 접촉 시간이 분(分)의 단위(order)일 수 있다. 최적의 접촉 시간은 특정 환경들에 기초하여 통상의 기술자가 결정할 수 있다. 일부 실시예들에 있어서, 상이한 반응물들이 원하는 순서로 원하는 시간 동안 상기 기판의 표면을 교대하면서 및 순차적으로 접촉하도록 상기 기판이 이동한다. 일부 실시예들에 있어서, 상기 기판은 제 1 반응 챔버로부터 상이한 반응 챔버인 제 2 반응 챔버로 이동된다. 일부 실시예들에 있어서, 상기 기판은 제 1 반응 챔버 내에서 이동된다.
일부 실시예들에 있어서, 기판을 기화된 전구체와 "접촉시키는 단계"는 전구체의 증기가 한정된 시간 동안 상기 챔버로 보내어지는 단계를 포함할 수 있다. 통상, 상기 접촉 시간은 약 0.05초 내지 약 10초이다. 그러나, 기판의 타입과 그의 표면적에 따라 상기 접촉 시간은 10초보다 훨씬 더 길 수 있다. 바람직하게, 단일 웨이퍼 ALD 반응기 내에서 300mm 웨이퍼에 대하여 기판은 귀금속 전구체와 0.05초 내지 10초 동안, 더욱 바람직하게는 0.5초 내지 3초 동안, 그리고 가장 바람직하게는 0.5초 내지 1.0초 동안 접촉된다. 일부 실시예들에 있어서, 상기 기판은 상기 제 2 반응물과 약 0.05초 내지 약 10초 동안, 더욱 바람직하게는 1초 내지 5초 동안, 그리고 가장 바람직하게는 약 2초 내지 약 3초 동안 접촉된다. 일부 경우들에 있어서는 접촉 시간이 분(分)의 단위(order)일 수 있다. 최적의 접촉 시간은 특정 환경들에 기초하여 통상의 기술자가 결정할 수 있다.
상기 귀금속 전구체의 질량 흐름 속도는 통상의 기술자에 의하여 결정될 수 있다. 한 실시예에 있어서, 300mm 웨이퍼들 위에 퇴적시키기 위하여 귀금속 전구체의 흐름 속도는 비제한적으로 약 1 sccm 내지 약 1000 sccm인 것이 바람직하고, 약 100 sccm 내지 약 500 sccm인 것이 더욱 바람직하다. 상기 귀금속 전구체의 질량 흐름 속도는 산소의 질량 흐름 속도보다 대개 낮다. 산소의 질량 흐름 속도는 대개 비제한적으로 약 10 sccm 내지 약 10000 sccm이고, 더욱 바람직하게는 약 100 sccm 내지 약 2000 sccm이고, 가장 바람직하게는 약 100 sccm 내지 약 1000 sccm이다.
일부 실시예들에 있어서, 반응 부산물들을 제거하는 단계는 진공 펌프로 챔버를 비워내는 단계 및/또는 아르곤 또는 질소와 같은 불활성 기체로 반응기의 내부의 가스를 대체하는 단계를 포함할 수 있다. 통상적인 제거 시간은 약 0.05초 내지 약 20초이고, 더욱 바람직하게는 약 1초 내지 약 10초이고, 더더욱 바람직하게는 약 1초 내지 약 2초이다.
일부 실시예들에 있어서, 상기 막의 퇴적을 개시하기 전에, 상기 기판은 대개 적절한 성장 온도로 가열된다. 일부 실시예들에 있어서, 상기 귀금속 박막의 성장 온도는 약 150℃ 내지 약 450℃이고, 더욱 바람직하게는 약 200℃ 내지 약 400℃이다. 일부 실시예들에 있어서, 상기 바람직한 퇴적 온도는 반응물 전구체들, 압력, 흐름 속도, 반응기의 배열, 및 기판 위에 퇴적될 물질의 성질과 그 위로의 퇴적이 회피되어야 할 하지 물질의 성질을 포함한 기판의 조성과 같은 수많은 인자들에 의존하여 변화할 수 있지만 이들에 한정되는 것은 아니다. 구체적인 성장 온도는 상기 공정의 선택도를 극대화시키기 위한 본 발명을 고려하여 통상의 기술자에 의하여 일상적인 실험을 이용하여 선택될 수 있다.
공정 시간은 막의 성장 속도와 생성될 막의 두께에 의존한다. ALD에서, 박막의 성장 속도는 1회의 사이클당 두께의 증가로 결정된다. 하나의 사이클은 상기 전구체들을 펄스 공급하는 단계 및 퍼지(purge)하는 단계들로 구성되며, 하나의 사이클의 지속 시간은 대개 약 0.2초 내지 약 30초 사이, 더욱 바람직하게는 약 1초 내지 약 10초 사이이나, 일부 경우들에 있어서는 분단위 또는 그 이상일 수 있다.
일부 실시예들에 있어서, 상기 귀금속 박막은 단일 귀금속의 다수의 모노 레이어들을 포함한다. 그러나, 일부 실시예들에 있어서, 최종적인 금속의 구조는 귀금속 화합물들을 포함할 수도 있고, 또는 둘 이상의 상이한 귀금속들을 포함하는 합금들을 포함할 수도 있다. 예를 들면, 상기 성장은 백금의 퇴적으로 시작하여 루테늄 금속의 퇴적으로 종료될 수 있다. 귀금속들은 Pt, Au, Ru, Rh, Ir, Pd 및 Ag로 구성되는 군으로부터 선택되는 것이 바람직하다.
귀금속들은 본 기술 분야에 잘 알려져 있으며, 예를 들면, Ru, Rh, Pd, Ag, Re, Os, Ir, 및 Pt을 포함한다. 적절한 귀금속 전구체들은 통상의 기술자에 의하여 선택될 수 있다. 일반적으로, 금속이 산소, 질소, 탄소, 또는 이들의 조합에 결합되거나 배위된 금속 화합물들이 바람직하다. 일부 실시예들에 있어서, 메탈로센 화합물들, 베타 디케토네이트 화합물들, 및 아세트아미디네이토 화합물들이 사용된다.
일부 실시예들에 있어서, 귀금속 전구체들은 시클로펜타디에닐 및 아세틸아세토네이트(acetylacetonate, acac) 전구체 화합물들이다. 일부 실시예들에 있어서, 비스(에틸시클로펜타디에닐) 귀금속 화합물이 사용된다.
일부 실시예들에 있어서, 귀금속 전구체는, 비스(N,N'-디이소프로필아세트아미디네이토)루테늄(II) 디카르보닐, 비스(에틸시클로펜타디에닐) 루테늄, 비스(펜타메틸시클로펜타디에닐)루테늄 및 비스(2,2,6,6 테트라메틸 3,5-헵탄디오네이토)(1,5 시클로옥타디엔)루테늄(II)과 같은, 비스(시클로펜타디에닐)루테늄, 트리스(2,2,6,6 테트라메틸 3,5-헵탄디오네이토)루테늄 및 트리스(N,N'-디이소프로필아세트아미디네이토)루테늄(III) 및 이들의 유도체들로 구성되는 군으로부터 선택될 수 있다. 일부 실시예들에 있어서, 상기 전구체는 비스(에틸시클로펜타디에닐) 루테늄(Ru(EtCp)2)이다.
일부 실시예들에 있어서, 귀금속 전구체들은 (트리메틸)메틸시클로펜타디에닐백금(IV), 백금(II) 아세틸아세토네이토, 비스(2,2,6,6-테트라메틸 3,5 헵탄디오네이토)백금(II) 및 이들의 유도체들을 포함할 수 있다.
일부 실시예들에 있어서, 귀금속 전구체들은 트리스(아세틸아세토네이토)이리듐(III)과 그의 유도체들을 포함할 수 있다.
일부 실시예들에 있어서, 귀금속 전구체들은 비스(헥사플루오로아세틸아세토네이트) 팔라듐(II)과 그의 유도체들을 포함할 수 있다.
일부 실시예들에 있어서, 상기 제 2 반응물은 산소 함유 반응물을 포함한다. 일부 실시예들에 있어서, 상기 제 2 반응물은 산소 또는 산소와 다른 가스의 혼합물을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 이원자 산소, 또는 이원자 산소와 다른 가스의 혼합물을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 H2O2, N2O 및/또는 유기 과산화물과 같은 산소 함유 화합물을 포함할 수 있다. 일부 실시예들에 있어서, 제 2 반응물은, 예를 들면 산소 함유 화합물들을 분해시킴으로써 반응 챔버 내에서 산소를 형성할 수 있다. 일부 실시예들에 있어서, 제 2 반응물은 촉매적으로 형성된 산소를 포함할 수 있다. 일부 실시예들에 있어서, 산소를 포함하는 제 2 반응물의 촉매적 형성은 예를 들면 백금 또는 팔라듐과 같은 촉매의 표면 위로 H2O2의 기화된 수용액을 안내하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 촉매 표면은 반응 챔버 내에 위치될 수 있다. 일부 실시예들에 있어서, 촉매 표면은 상기 반응 챔버 내에 위치되지 않을 수 있다.
일부 실시예들에 있어서, 상기 제 2 반응물은 자유-산소 또는 오존을, 더욱 바람직하게는 분자 산소를 포함한다. 상기 제 2 반응물은 순수한 분자 이원자 산소인 것이 바람직하지만, 비활성 가스, 예를 들면, 질소 또는 아르곤과 산소의 혼합물일 수도 있다.
일부 실시예들에 있어서, 상기 제 2 반응물은 자유-산소를 함유하는 가스를 포함하는 것이 바람직하고, 더욱 바람직하게는 분자인 산소를 함유하는 가스를 포함하고, 따라서, 비활성 가스, 예를 들면, 질소 또는 아르곤과 산소의 혼합물로 구성될 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물의 바람직한 산소의 함량은 약 10% 내지 약 25%이다. 일부 실시예들에 있어서, 산소의 바람직한 소스의 하나는 공기이다. 비교적 작은 기판들(예를 들면 4인치 웨이퍼들까지)의 경우에 있어서, 상기 제 2 반응물의 질량 흐름 속도는 약 1 sccm 내지 약 25 sccm인 것이 바람직하고, 약 1 sccm 내지 약 8 sccm인 것이 더욱 바람직하다. 더 큰 기판들의 경우에 있어서, 상기 제 2 반응물의 질량 흐름 속도는 통상의 기술자에 의하여 이해되는 바에 따라 스케일 업될 수 있다.
여기에 개시된 공정들에 따른 박막들의 퇴적에 사용되는 반응기들의 적절한 배열의 예들은, 예를 들면 ASM 마이크로케미스트리사(Microchemistry Ltd.)에 의하여 제조된 F-120 및 PulsarTM 반응기들과 같이 상업적으로 입수 가능한 ALD 장비이다. 이들 ALD 반응기들에 더하여, 전구체들을 펄스 공급하기 위한 적절한 수단들 및 장치들을 갖춘 CVD 반응기들을 포함하여 박막의 ALD 성장이 가능한 다른 많은 종류의 반응기들도 여기에 개시된 공정들을 수행하기 위하여 채용될 수 있다. 일부 실시예들에 있어서, 선택적으로 상기 성장 공정들은 상기 기판들이 이전의 공정 단계로부터 도착하고, 상기 금속 막이 상기 기판 위에 생성되며, 그런 다음 상기 기판이 다음의 공정 단계로 이송되는 클러스터 툴 내에서 수행될 수 있다. 클러스터 툴 내에서 반응 공간의 온도는 일정하게 유지될 수 있으며, 이 경우 각 런(run)에 앞서 기판이 공정 온도로 가열되는 반응기에 비하여 쓰루풋이 명백하게 개선된다.
W의 금속 위에서의 선택적 퇴적
일부 실시예들에 있어서, Ni, Co, Cu, Al, W, Ru, 또는 다른 귀금속과 같은 금속 표면 위에 W가 동일한 기판의 패시베이션된 표면과 같은 친수성 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, Cu 표면 위에 W가 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, Ni 표면 위에 W가 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, Co 표면 위에 W가 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, Al 표면 위에 W가 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, Ru 표면 위에 W가 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, 귀금속 표면 위에 W가 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 W 퇴적은 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, 상기 제 1 표면 위에서만 W 퇴적이 일어나며, 상기 제 2 표면 위에는 W 퇴적이 일어나지 않는다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 W 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다.
일부 실시예들에 있어서, 상기 제 2 표면은 친수성 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 적어도 약간의 OH-기들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 -NHx 종결된 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 -SHx 종결된 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 유전체 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 SiO2, 로우-k 물질, 또는 GeO2를 포함할 수 있다.
위에서 논의된 바와 같이, 일부 실시예들에 있어서, 상기 제 2 표면은, 상기 제 2 표면에 대하여 금속 표면 위에서의 W의 선택적 퇴적을 상대적으로 촉진시키기 위하여 처리된다. 예를 들면, 상기 제 2 표면은 친수성의 OH-종결된 표면을 제공하기 위하여 처리될 수 있다. 일부 실시예들에 있어서, 친수성의 OH-종결된 표면은 상기 표면에서의 OH-기들의 양을 증가시키기 위하여 처리될 수 있다. 예를 들면, 상기 유전체 표면은 상기 표면에서의 OH-기들의 수를 증가시키기 위하여 H2O 증기에 노출될 수 있다. 또 다른 예는 25℃ 내지 40℃ 사이의 온도에서 버블러를 통해 유동된 캐리어 가스에 유전체 표면을 노출시키는 단계를 포함한다. 일부 실시예들에 있어서, 상기 유전체 표면은 OH-기들을 적어도 일부 포함하는 친수성 표면을 제공하기 위하여 공기에 노출된다. 일부 실시예들에 있어서, 친수성 표면은 퇴적 이전에는 처리되지 않는다.
일부 실시예들에 있어서, 유전체 표면은 그 위로 금속이 퇴적되는 것을 억제하기 위하여 패시베이션될 수 있다. 예를 들면, 상기 유전체 표면은 실릴화된(-Si-(CH3)x 또는 -Si(CH3)3) 표면 또는 할로겐화된 표면 또는 -SiH3 표면을 제공하는 케미컬과 접촉될 수 있다. 일부 실시예들에 있어서, 상기 유전체 표면은 Si-Cl 표면과 같이 염소화되거나 불소화될 수 있다. 상기 표면을 CCl4 또는 금속 할로겐화물과 같은 할로겐화 케미컬로 처리함으로써 할로겐화된 표면을 얻을 수 있다. 상기 금속 할로겐화물은 WF6, NbF5, 또는 NbCl5와 같은 휘발성 금속 옥시할로겐화물들을 형성하여 상기 표면 위에 염소화물 또는 불소화물과 같은 할로겐화물을 남길 수 있다. 상기 패시베이션은 금속 표면에 대하여 상대적으로 유전체 표면 위에서의 금속의 퇴적을 억제하기 위하여 사용될 수 있다. 일부 실시예들에 있어서, 상기 패시베이션 케미컬은 트리메틸클로로실란(trimethylchlorosilane, TMCS) (CH3)3SiCl, 트리메틸디메틸아미노실란(trimethyldimethylaminosilane) (CH3)3SiN(CH3)2, 또는 R4-xSiXx의 화학식을 갖는 다른 종류의 알킬 치환된 실란 중 하나 이상일 수 있다. 여기서 x는 1 내지 3이고, R은 각각 독립적으로 메틸, 에틸, 프로필, 또는 부틸과 같은 C1-C5의 탄화수소가 되도록 선택될 수 있으며, 메틸인 것이 바람직하다. X는 할로겐화물이거나 또는 X는 알킬아미노기인 -NR1R2와 같이 OH-기와 반응할 수 있는 다른 작용기이다. 여기서 R1은 각각 독립적으로 수소 또는 C1-C5의 탄화수소, 바람직하게는 메틸 또는 에틸이 되도록 선택될 수 있으며, R2는 각각 독립적으로 C1-C5의 탄화수소, 바람직하게는 메틸 또는 에틸이 되도록 선택될 수 있다. X는 염소화물 또는 디메틸아미노기인 것이 바람직하다. 일부 실시예들에 있어서, 상기 패시베이션 케미컬은 비스(디에틸아미노)실란과 같은 적어도 하나의 알킬아미노기를 포함하는 실란 화합물 또는 SiH3기를 포함하는 실란 화합물, 또는 헥사메틸디실라잔(hexamethyldisilazane, HMDS)과 같은 실라잔일 수 있다. 예를 들면, 일부 실시예들에 있어서, 상기 친수성 표면은 H-종결된 표면을 제공하는 케미컬과 접촉될 수 있다. 일부 실시예들에 있어서, 친수성 표면은 본 명세서에서 앞서 논의된 방법들에 따라 상기 친수성 표면 위에 Sb의 층을 형성하는 것에 의하여 W 퇴적에 대항하는(against) 패시베이션을 한다.
일부 실시예들에 있어서, W는 사이클 퇴적 공정에 의하여 선택적으로 퇴적된다.
일부 실시예들에 있어서, W는 2013년 8월 1일에 발행된 미국특허공개 제2013/0196502호(대리인 관리 번호 ASMMC.098AUS)에 설명된 것과 같은 공정에 의하여 선택적으로 퇴적된다. 상기 특허공개의 개시 내용은 그 전체가 여기에 통합된다.
일부 실시예들에 있어서, 상기 방법들은 금속인 제 1 표면 및 친수성인 제 2 표면을 포함하는 기판 위에 복수의 퇴적 사이클들을 이용하여 W을 선택적으로 퇴적시키는 단계를 포함한다. 상이 사이클은 다음 단계들을 포함한다: 금속인 상기 제 1 표면 위에 Si 또는 B를 포함하는 제 1 물질의 층을 유전체인 상기 제 2 표면에 대하여 선택적으로 형성하기 위하여 상기 기판을 실리콘 또는 붕소를 포함하는 제 1 전구체와 접촉시키는 단계; 및 상기 기판을 금속을 포함하는 제 2 전구체에 노출시킴으로써 상기 제 1 물질을 금속성인 제 2 물질로 전환시키는 단계.
일부 실시예들에 있어서, 제 1 표면과 제 2 표면을 포함하는 기판이 제공되고, 금속이, 여기서는 W이 다수의 사이클들을 포함하는 사이클 퇴적 공정에 의하여 기판의 제 1 표면 위에 선택적으로 퇴적된다. 상기 각 사이클은 다음 단계들을 포함한다:
기판의 표면을, 예를 들면, Si 또는 B를 포함하는 기화된 제 1 전구체와 접촉시키는 단계;
과량의 제 1 전구체와, 있다면, 반응 부산물들을 상기 표면으로부터 제거하는 단계;
상기 기판의 표면을 기화된 제 2 전구체와, 예를 들면 WF6와 접촉시키는 단계;
과량의 상기 제 2 전구체 및, 상기 기판의 제 1 표면 위의 제 1 전구체층과 상기 제 2 전구체 사이의 반응에서 형성된 임의의 기체상 부산물들을 상기 표면으로부터 제거하는 단계; 및
원하는 두께의 금속의, 여기서는 W의 박막이 형성될 때까지 상기 접촉시키는 단계 및 제거하는 단계들을 반복하는 단계.
위에서 언급된 바와 같이, 일부 실시예들에 있어서, 상기 기판의 하나 이상의 표면들은 퇴적 공정의 시작에 앞서 하나의 표면 위에서의 퇴적을 하나 이상의 상이한 표면들에 대하여 상대적으로 향상시키기 위하여 처리될 수 있다. 일부 실시예들에 있어서, 비금속인 상기 제 2 표면은 금속, 여기서는 W의 퇴적에 앞서 OH-종결된 표면을 제공하도록 처리되거나, 또는 예를 들면 패시베이션에 의하여 비활성화될 수 있다.
상기 예시된 W 퇴적 사이클은 상기 기판을 제 1 W 전구체와 접촉시키는 단계로 시작하지만, 다른 실시예들에 있어서 상기 퇴적 사이클은 상기 기판을 제 2 반응물과 접촉시키는 단계로 시작한다. 상기 기판을 상기 제 1 W 전구체와 접촉시키는 단계 및 상기 기판을 상기 제 2 반응물과 접촉시키는 단계는 퇴적 사이클에서 상호 대체 가능함을 통상의 기술자는 이해할 것이다.
제 1 전구체들
일부 실시예들에 있어서, 상기 기판의 금속인 제 1 표면 위에 동일한 기판의 상이한 표면인 제 2 표면에 대하여 상대적으로 층이 선택적 형성되도록 제 1 전구체가 상기 기판에 제공된다. 일부 실시예들에 있어서, 상기 제 1 전구체는 실리콘 또는 붕소를 포함하는 것이 바람직하다. 일부 실시예들에 있어서, 0.05 nm 내지 4 nm 두께의 Si층 또는 B층이 상기 기판의 제 1 표면 위에 형성된다. 일부 실시예들에 있어서, 0.1 nm 내지 2 nm 두께의 Si층 또는 B층이 상기 기판의 제 1 표면 위에 형성된다. 일부 실시예들에 있어서, 1 nm 미만의 Si 또는 B가 사용될 수 있다. 이론에 한정됨이 없이, 상기 기판 위의 금속인 상기 제 1 표면은 상기 제 2 표면 또는 절연체의 반응성과 비교하여 상기 제 1 전구체의 흡착 또는 분해를 돕거나 촉매할 수 있는 것으로 믿어진다. 일부 실시예들에 있어서, 상기 반응물에의 노출에 대하여 하나까지의 모노레이어가 형성되도록 상기 제 1 표면 위의 실리콘 또는 붕소의 형성은 자기-제한적이다. 일부 실시예들에 있어서, 상기 실리콘 또는 붕소의 소스 케미컬은 상기 제 1 표면 위에서 분해될 수 있다.
일부 실시예들에 있어서, 상기 실리콘 소스 케미컬은 실란 계열 SinH2n+2 (n은 1보다 크거나 같음) 또는 사이클릭 실란 계열 SinH2n (n은 3보다 크거나 같음)로부터 선택된다. 일부 실시예들에 있어서, 상기 실리콘 소스는 실란 또는 디실란을 포함한다. 가장 바람직하게, 상기 실란은 디실란 Si2H6 또는 트리실란 Si3H8이다. 일부 실시예들에 있어서, 상기 실리콘 소스는 SiHxLy의 화학식을 갖는 실란 화합물들로부터 선택될 수 있다. 여기서, L은 알킬, 알케닐, 알키닐, 알콕사이드, 및 아민을 포함하는 군으로부터 선택되는 리간드이다. 일부 경우들에 있어서, L은 할로겐 군인 F, Cl, Br, 및 I로부터 선택되는 리간드이다.
일부 실시예들에 있어서, 상기 제 1 전구체는 붕소를 포함한다. 일부 실시예들에 있어서, 상기 제 1 전구체는 디보레인(diborane)(B2H6)이다. 디보레인은 실란계 화합물들 중 일부와 유사한 성질들을 갖는다. 예를 들면, 디보레인은 디실란보다 낮은 분해 온도를 갖지만 트리실란(실코어, silcore)과 유사한 열적 안정성을 갖는다.
붕소를 포함하는 다른 전구체들도 사용될 수 있다. 수많은 붕소 화합물들이 입수 가능하기 때문에 원하는 성질들을 갖는 붕소 화합물을 선택하는 것이 가능하다. 또한, 둘 이상의 붕소 화합물을 사용하는 것이 가능하다. 바람직하게, 하나 이상의 다음 붕소 화합물들이 사용된다:
화학식 I 또는 화학식 II에 따른 보레인들.
BnHn+x, (I)
여기서, n은 1 내지 10, 바람직하게는 2 내지 6의 정수이고, x는 짝수인 정수로서, 바람직하게는 4, 6 또는 8임.
BnHm (II)
여기서, n은 1 내지 10, 바람직하게는 2 내지 6의 정수이고, m은 1 내지 10, 바람직하게는 2 내지 6의 정수로서 n과 상이한 정수임.
화학식 I에 따른 위의 보레인들 중에서, 니도-보레인류(nido-boranes) (BnHn+4), 아라크노-보레인류(arachno-boranes) (BnHn+6), 및 하이프-보레인류(hyph-boranes) (BnHn+8)가 예에 포함된다. 화학식 II에 따른 위의 보레인들 중에서, 컨정토-보레인류(conjuncto-boranes) (BnHm)가 예에 포함된다. 또한 (CH3CH2)3N-BH3와 같은 보레인 착화합물들도 사용될 수 있다.
보레인 할로겐화물, 특히 불소화물, 브롬화물 및 염화물들. 적절한 화합물의 예는 B2H5Br이다. 추가적인 예들은 B2F4, B2Cl4 및 B2Br4와 같이 높은 불소/할로겐 비율을 갖는 붕소 할로겐화물을 포함한다. 보레인 할로겐화물 착화합물들을 사용하는 것도 가능하다.
화학식 III에 따른 할로겐화 보레인류.
BnXn (III)
여기서 X는 Cl 또는 Br이고, X가 Cl일 때 n은 4이거나 또는 8 내지 12의 정수이거나, 또는 X가 Br일 때 n은 7 내지 10의 정수임.
화학식 IV에 따른 카보레인류(carboranes).
C2BnHn+x (IV)
여기서 n은 1 내지 10, 바람직하게는 2 내지 6의 정수이고, x는 짝수인 정수로서, 바람직하게는 2, 4 또는 6임.
화학식 IV에 따른 카보레인류들의 예는 클로조-카보레인류(closo-carboranes) (C2BnHn+2), 니도-카보레인류(nido-carboranes) (C2BnHn+4) 및 아라크노-카보레인류(arachno-carboranes) (C2BnHn+6)를 포함한다.
화학식 V에 따른 아민-보레인 첨가생성물들.
R3NBX3 (V)
여기서, R는 선형 또는 분지형의 C1 내지 C10, 바람직하게는 C1 내지 C4의 알킬 또는 수소이고, X는 선형 또는 분지형의 C1 내지 C10, 바람직하게는 C1 내지 C4의 알킬, H 또는 할로겐임.
B에 대한 하나 이상의 치환체들이 아미노기인 화학식 VI에 따른 아미노 보레인류.
R2N (VI)
여기서, R는 선형 또는 분지형의 C1 내지 C10, 바람직하게는 C1 내지 C4의 알킬 또는 치환되거나 치환되지 않은 아릴기임.
적절한 아미노보레인의 예는 (CH3)2NB(CH3)2임.
사이클릭 보라진(borazine) (-BH-NH-)3 및 그의 휘발성 유도체들.
알킬 붕소류 또는 알킬 보레인류로서, 상기 알킬은 통상 선형 또는 분지형의 C1 내지 C10 알킬, 바람직하게는 C2 내지 C4 알킬임.
일부 실시예들에 있어서, 상기 제 1 전구체는 저머늄을 포함한다. 일부 실시예들에 있어서, 상기 저머늄 소스 케미컬은 저메인 계열 GenH2n+2 (n은 1보다 크거나 같음) 또는 사이클릭 저메인 계열 GenH2n(n은 3보다 크거나 같음)으로부터 선택된다. 일부 바람직한 실시예들에 있어서, 상기 저머늄 소스는 저메인 GeH4를 포함한다. 일부 실시예들에 있어서, 상기 저머늄 소스는 GeHxLy의 화학식을 갖는 저메인 화합물들로부터 선택될 수 있다. 여기서, L은 알킬, 알케닐, 알키닐, 알콕사이드, 및 아민을 포함하는 군으로부터 선택되는 리간드이다. 일부 경우들에 있어서, L은 할로겐 군인 F, Cl, Br, 및 I로부터 선택되는 리간드이다.
W 전구체들
일부 실시예들에 있어서, 제 2 전구체는 W을 포함하는 것이 바람직하다. 일부 실시예들에 있어서, 상기 제 2 전구체는 W 할로겐화물(F, Cl, Br, I)을 포함한다. 일부 실시예들에 있어서, 상기 제 2 전구체는 불소를 포함하는 것이 바람직하다. 일부 실시예들에 있어서, 상기 제 2 전구체는 WF6를 포함한다.
Al의 금속 위에서의 선택적 퇴적
일부 실시예들에 있어서, Ni, Co, Cu, Al, W, Ru, 또는 다른 귀금속과 같은 금속 표면 위에 Al이 동일한 기판의 패시베이션된 표면과 같은 친수성 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, Cu 표면 위에 Al이 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, Ni 표면 위에 Al이 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, Co 표면 위에 Al이 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, Al 표면 위에 Al이 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, Ru 표면 위에 Al이 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, 귀금속 표면 위에 Al이 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 Al 퇴적은 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, 상기 제 1 표면 위에서만 Al 퇴적이 일어나며, 상기 제 2 표면 위에는 Al 퇴적이 일어나지 않는다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 Al 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다.
일부 실시예들에 있어서, 상기 제 2 표면은 친수성 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 적어도 약간의 OH-기들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 -NHx 종결된 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 -SHx 종결된 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 유전체 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 SiO2, 로우-k 물질, 또는 GeO2를 포함할 수 있다.
위에서 논의된 바와 같이, 일부 실시예들에 있어서, 상기 제 2 표면은, 상기 제 2 표면에 대하여 금속 표면 위에서의 Al의 선택적 퇴적을 상대적으로 촉진시키기 위하여 처리된다. 예를 들면, 상기 제 2 표면은 친수성의 OH-종결된 표면을 제공하기 위하여 처리될 수 있다. 일부 실시예들에 있어서, 친수성의 OH-종결된 표면은 상기 표면에서의 OH-기들의 양을 증가시키기 위하여 처리될 수 있다. 예를 들면, 상기 유전체 표면은 상기 표면에서의 OH-기들의 수를 증가시키기 위하여 H2O 증기에 노출될 수 있다. 또 다른 예는 25℃ 내지 40℃ 사이의 온도에서 버블러를 통해 유동된 캐리어 가스에 유전체 표면을 노출시키는 단계를 포함한다. 일부 실시예들에 있어서, 상기 유전체 표면은 OH-기들을 적어도 일부 포함하는 친수성 표면을 제공하기 위하여 공기에 노출된다. 일부 실시예들에 있어서, 친수성 표면은 퇴적 이전에는 처리되지 않는다.
일부 실시예들에 있어서, 상기 친수성 표면은 Al의 퇴적에 앞서, 예를 들면, 패시베이션에 의하여 비활성화된다. 일부 실시예들에 있어서, 상기 친수성 표면의 비활성화는 적어도 OH-기들을 다른 기들로 대체하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 친수성의 상기 유전체 표면은 패시베이션된 표면을 형성하도록 패시베이션 케미컬로 처리된다. 예를 들면, 상기 친수성 표면은 Al의 퇴적에 앞서, 염화되거나 불화되는 것과 같이 할로겐화되거나 또는 실릴화될 수 있다. 일부 실시예들에 있어서, 상기 친수성 표면은 예를 들면 실릴화된 -Si-(CH3)x 또는 -Si(CH3)3 표면과 같은 실릴화된 표면을 형성하도록 처리될 수 있다. 일부 실시예들에 있어서, 상기 친수성 표면은 염화된 또는 불화된 표면과 같은 할로겐화된 표면을 형성하도록 처리될 수 있다. 예를 들면, 상기 할로겐화된 표면은 Si-Cl 표면일 수 있다. 일부 실시예들에 있어서, 상기 친수성 표면은 예를 들면 -SiH3 표면과 같은 H-종결된 표면을 제공하도록 처리될 수 있다. 예를 들면, 일부 실시예들에 있어서, 상기 친수성 표면은 H-종결된 표면을 제공하는 케미컬과 접촉될 수 있다.
위에서 언급된 바와 같이, 여기에 설명된 공정들은 Al을 선택적으로 퇴적시키기 위하여 ALD 타입 퇴적 기술들을 사용하는 것을 가능하게 한다. 상기 ALD 타입 퇴적 공정은 (상기 제 1 기판 표면에서의 제어된 반응들에 근거하여) 일반적으로 표면-제어되고, 따라서 비교적 낮은 온도에서도 높은 콘포말 성질을 제공하는 장점을 갖는다. 그러나, 일부 실시예들에 있어서, 상기 Al 전구체는 적어도 부분적으로 분해될 수 있다. 따라서, 일부 실시예들에 있어서, 여기에 설명된 상기 ALD 타입 공정은 전구체들의 분해가 관찰되지 않는 순수한 ALD 공정이다. 다른 실시예들에 있어서, 반응 온도와 같은 반응 조건들은 순수한 ALD 공정이 달성되고 또한 어떠한 전구체의 분해도 일어나지 않도록 선택된다.
일부 실시예들에 있어서, 기상 퇴적 공정에 의하여 Al이 선택적으로 퇴적된다. 일부 실시예들에 있어서, Al-H 화합물을 포함하는 알루미늄 전구체가 사용된다. 일부 실시예들에 있어서, Toivo Kodas와 Mark Hampden-Smith가 편집한 The Chemistry of Metal CVD, Weinheim; VCH, 1994, ISBN 3-527-29071-0, 섹션 2.6.6, pp. 57 및 83에 설명된 것과 같은 공정에 의하여 Al이 선택적으로 퇴적된다. 상기 문헌에 개시된 바는 그 전체가 여기에 통합된다. Al의 기상 퇴적의 다른 방법들은 당 기술 분야에 알려져 있으며, 금속인 제 1 표면 위에 상이한 표면인 제 2 표면에 대하여 상대적으로 Al을 선택적 퇴적시키기 위하여 조절될 수 있다.
일부 실시예들에 있어서, 기상 퇴적 공정에 의하여 Al이 선택적으로 퇴적된다. 일부 실시예들에 있어서, 사이클식 퇴적 공정에 의하여 Al이 선택적으로 퇴적된다. 일부 실시예들에 있어서, 기판의 제 1 표면 위에 상기 기판의 상이한 표면인 제 2 표면에 대하여 Al이 상대적으로 선택적 퇴적된다. 상기 퇴적은 상기 기판을 제 1 Al 전구체 및 제 2 반응물과 교대하여 그리고 순차적으로 접촉시키는 단계를 포함하는 ALD 타입 공정에 의하여 수행된다.
일부 실시예들에 있어서, 제 1 표면 및 제 2 표면을 갖는 기판이 제공되며, 금속이, 여기서는 Al이, 여러 회의 사이클들을 포함하는 사이클 퇴적 공정에 의하여 기판의 제 1 표면 위에 선택적으로 퇴적된다. 각 사이클은 다음 단계들을 포함한다:
기판의 상기 표면을 기화된 제 1 금속 전구체, 예를 들면, DMAH 또는 DMEAA와 접촉시키는 단계;
과량의 금속 전구체 및, 만일 있다면, 반응 부산물들을 상기 표면으로부터 제거하는 단계;
상기 기판의 표면을 기화된 제 2 반응물, 예를 들면 H2와 접촉시키는 단계;
상기 기판의 제 1 표면 위의 상기 금속 전구체층과 상기 제 2 반응물 사이의 반응에서 생성된 임의의 기체인 부산물들 및 과량의 제 2 반응물을 상기 표면으로부터 제거하는 단계; 및
금속 박막, 여기서는 Al 박막이 원하는 두께로 형성될 때까지 상기 접촉시키는 단계 및 상기 제거하는 단계를 반복하는 단계.
위에서 언급된 바와 같이, 일부 실시예들에 있어서, 상기 기판의 하나 이상의 표면들은 퇴적 공정의 시작에 앞서 하나의 표면 위에서의 퇴적을 하나 이상의 상이한 표면들에 대하여 상대적으로 향상시키기 위하여 처리될 수 있다. 일부 실시예들에 있어서, 비금속인 상기 제 2 표면은 금속, 여기서는 Al의 퇴적에 앞서 OH-종결된 표면을 제공하도록 처리되거나, 또는 예를 들면 패시베이션에 의하여 비활성화될 수 있다.
상기 예시된 Al 퇴적 사이클은 상기 기판을 제 1 Al 전구체와 접촉시키는 단계로 시작하지만, 다른 실시예들에 있어서 상기 퇴적 사이클은 상기 기판을 제 2 반응물과 접촉시키는 단계로 시작한다. 상기 기판의 표면을 상기 제 1 Al 전구체와 접촉시키는 단계 및 상기 기판의 표면을 상기 제 2 반응물과 접촉시키는 단계는 퇴적 사이클에서 상호 대체 가능함을 통상의 기술자는 이해할 것이다.
상기 Al 전구체가 기판과 접촉할 때, 상기 Al 전구체는 적어도 하나의 모노레이어를, 또는 하나 미만의 모노레이어를, 또는 하나보다 많은 모노레이어를 형성할 수 있다.
일부 실시예들에 있어서, 상기 Al 전구체는 Al-H 화합물을 포함한다. 일부 실시예들에 있어서, 상기 Al 전구체는 알레인(alane)을 포함한다. 일부 실시예들에 있어서, 상기 Al 전구체는 트리메틸아민 알레인(trimethylamine alane, TMAA), 트리에틸아민 알레인(trimethylamine alane, TEAA), 및 디메틸에틸아민 알레인(dimethylethylamine alane, DMEAA) 중 적어도 하나를 포함한다. 일부 실시예들에 있어서, 상기 Al 전구체는 트리메틸알루미늄(trimethylaluminum, TMA), 트리에틸알루미늄(triethylaluminum, TEA), 트리이소부틸알루미늄(triisobutylaluminum, TIBA), 디에틸알루미늄 클로라이드(diethyaluminum chloride, DEACl), 디메틸알루미늄 하이드라이드(dimethylaluminum hydride, DMAH), 트리메틸아민 알레인(trimethylamine alane, TMAA), 트리에틸아민 알레인(triethylamine alane, TEAA), 디메틸에틸아민 알레인(dimethylethylamine alane, DMEAA), 및 트리메틸아민 알루미나 보레인(trimethylamine alumina borane, TMAAB)으로부터 선택된다.
일부 실시예들에 있어서, 상기 제 2 반응물은 수소를 포함한다. 일부 실시예들에 있어서, 상기 제 2 반응물은 수소 가스를 포함한다.
금속 위에서의 Cu의 선택적 퇴적
일부 실시예들에 있어서, Cu가 Ni, Co, Cu, Al, Ru, 또는 다른 귀금속 표면과 같은 금속 표면 위에, 동일한 기판의 패시베이션된 표면과 같은 친수성 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, Cu 표면 위에서 상이한 표면인 제 2 표면에 대하여 상대적으로 Cu가 선택적 퇴적된다. 일부 실시예들에 있어서, Ni 표면 위에서 상이한 표면인 제 2 표면에 대하여 상대적으로 Cu가 선택적 퇴적된다. 일부 실시예들에 있어서, Co 표면 위에서 상이한 표면인 제 2 표면에 대하여 상대적으로 Cu가 선택적 퇴적된다. 일부 실시예들에 있어서, Al 표면 위에서 상이한 표면인 제 2 표면에 대하여 상대적으로 Cu가 선택적 퇴적된다. 일부 실시예들에 있어서, Ru 표면 위에서 상이한 표면인 제 2 표면에 대하여 상대적으로 Cu가 선택적 퇴적된다. 일부 실시예들에 있어서, 귀금속 표면 위에서 상이한 표면인 제 2 표면에 대하여 상대적으로 Cu가 선택적 퇴적된다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 Cu 퇴적은 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, 상기 제 1 표면 위에서만 Cu 퇴적이 일어나며, 상기 제 2 표면 위에는 Cu 퇴적이 일어나지 않는다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 Cu 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다.
일부 실시예들에 있어서, 상기 제 2 표면은 친수성 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 적어도 약간의 OH-기들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 -NHx 종결된 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 -SHx 종결된 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 유전체 표면이다. 일부 실시예들에 있어서, 상기 친수성 표면은 SiO2, 로우-k 물질, 또는 GeO2를 포함할 수 있다.
위에서 논의된 바와 같이, 일부 실시예들에 있어서, 상기 제 2 표면은, 상기 제 2 표면에 대하여 금속 표면 위에서의 Cu의 선택적 퇴적을 상대적으로 촉진시키기 위하여 처리된다. 예를 들면, 상기 제 2 표면은 친수성의 OH-종결된 표면을 제공하기 위하여 처리될 수 있다. 일부 실시예들에 있어서, 친수성의 OH-종결된 표면은 상기 표면에서의 OH-기들의 양을 증가시키기 위하여 처리될 수 있다. 예를 들면, 상기 유전체 표면은 상기 표면에서의 OH-기들의 수를 증가시키기 위하여 H2O 증기에 노출될 수 있다. 또 다른 예는 25℃ 내지 40℃ 사이의 온도에서 버블러를 통해 유동된 캐리어 가스에 유전체 표면을 노출시키는 단계를 포함한다. 일부 실시예들에 있어서, 상기 유전체 표면은 OH-기들을 적어도 일부 포함하는 친수성 표면을 제공하기 위하여 공기에 노출된다. 일부 실시예들에 있어서, 친수성 표면은 퇴적 이전에는 처리되지 않는다.
일부 실시예들에 있어서, 상기 친수성 표면은 Cu의 퇴적에 앞서, 예를 들면, 패시베이션에 의하여 비활성화된다. 일부 실시예들에 있어서, 상기 친수성 표면의 비활성화는 적어도 OH-기들을 다른 기들로 대체하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 친수성의 상기 유전체 표면은 패시베이션된 표면을 형성하도록 패시베이션 케미컬로 처리된다. 예를 들면, 상기 친수성 표면은 Cu의 퇴적에 앞서, 염화되거나 불화되는 것과 같이 할로겐화되거나 또는 실릴화될 수 있다. 일부 실시예들에 있어서, 상기 친수성 표면은 예를 들면 실릴화된 -Si-(CH3)x 또는 -Si(CH3)3 표면과 같은 실릴화된 표면을 형성하도록 처리될 수 있다. 일부 실시예들에 있어서, 상기 친수성 표면은 염화된 또는 불화된 표면과 같은 할로겐화된 표면을 형성하도록 처리될 수 있다. 예를 들면, 상기 할로겐화된 표면은 Si-Cl 표면일 수 있다. 일부 실시예들에 있어서, 상기 친수성 표면은 예를 들면 -SiH3 표면과 같은 H-종결된 표면을 제공하도록 처리될 수 있다. 예를 들면, 일부 실시예들에 있어서, 상기 친수성 표면은 H-종결된 표면을 제공하는 케미컬과 접촉될 수 있다.
위에서 언급된 바와 같이, 여기에 설명된 공정들은 Cu를 선택적으로 퇴적시키기 위한 ALD 타입 퇴적 기술들을 사용할 수 있게 한다. 상기 ALD 타입 퇴적 공정은 일반적으로 (상기 제 1 기판 표면에서의 제어된 반응들에 기초하여) 표면-제어되며, 따라서 비교적 저온에서도 높은 콘포말성을 제공하는 장점을 갖는다. 그러나, 일부 실시예들에 있어서, Cu 전구체는 적어도 부분적으로 분해될 수 있다. 따라서, 일부 실시예들에 있어서, 여기에 설명된 상기 ALD 타입 공정은 전구체들의 분해가 관찰되지 않는 순수한 ALD 공정이다. 다른 실시예들에 있어서, 반응 온도와 같은 반응 조건들은 순수한 ALD 공정이 달성되고 전구체의 분해가 일어나지 않도록 선택된다.
일부 실시예들에 있어서, 사이클 퇴적 공정에 의하여 Cu가 선택적으로 퇴적된다. 일부 실시예들에 있어서, Booyong S Lim, Antti Rahtu, Roy G Gordon, Nature Materials, Vol. 2, NOVEMBER 2003, www.nature.com/naturematerials에 개시된 바와 같이 Cu(I) N,N'-디-sec-부틸아세트아미디네이트 [Cu(sec-Bu2-AMD)]2를 분해함으로써 선택적으로 퇴적될 수 있다. 상기 문헌의 개시 내용은 그 전체가 여기에 통합된다.
일부 실시예들에 있어서, ALD 타입 퇴적 공정에 의하여 Cu가 선택적으로 퇴적된다. 일부 실시예들에 있어서, 사이클 퇴적 공정에 의하여 Cu가 선택적으로 퇴적된다. 일부 실시예들에 있어서, Cu가 ALD 타입 공정에 의하여 기판의 제 1 표면 위에, 상기 기판의 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 상기 ALD 타입 공정은 제 1 Cu 전구체 및 제 2 반응물을 교대하여 그리고 순차적으로 상기 기판과 접촉시키는 단계를 포함한다.
일부 실시예들에 있어서, 제 1 표면 및 제 2 표면을 갖는 기판이 제공되며, 금속이, 여기서는 Cu가, 여러 회의 사이클들을 포함하는 ALD 타입 퇴적 공정에 의하여 기판의 제 1 표면 위에 선택적으로 퇴적된다. 각 사이클은 다음 단계들을 포함한다:
기판의 상기 표면을 기화된 제 1 금속 전구체, 예를 들면, Cu 아세트아미디네이트와 접촉시키는 단계;
과량의 금속 전구체 및, 만일 있다면, 반응 부산물들을 상기 표면으로부터 제거하는 단계;
상기 기판의 표면을 기화된 제 2 반응물, 예를 들면 H2와 접촉시키는 단계;
상기 기판의 제 1 표면 위의 상기 금속 전구체층과 상기 제 2 반응물 사이의 반응에서 생성된 임의의 기체인 부산물들 및 과량의 제 2 반응물을 상기 표면으로부터 제거하는 단계; 및
금속 박막, 여기서는 Cu 박막이 원하는 두께로 형성될 때까지 상기 접촉시키는 단계 및 상기 제거하는 단계를 반복하는 단계.
위에서 언급된 바와 같이, 일부 실시예들에 있어서, 상기 기판의 하나 이상의 표면들은 퇴적 공정의 시작에 앞서 하나의 표면 위에서의 퇴적을 하나 이상의 상이한 표면들에 대하여 상대적으로 향상시키기 위하여 처리될 수 있다. 일부 실시예들에 있어서, 비금속인 상기 제 2 표면은 금속, 여기서는 Cu의 퇴적에 앞서 OH-종결된 표면을 제공하도록 처리되거나, 또는 예를 들면 패시베이션에 의하여 비활성화될 수 있다.
상기 예시된 Cu 퇴적 사이클은 상기 기판을 제 1 Cu 전구체와 접촉시키는 단계로 시작하지만, 다른 실시예들에 있어서 상기 퇴적 사이클은 상기 기판을 제 2 반응물과 접촉시키는 단계로 시작한다. 상기 기판의 표면을 상기 제 1 Cu 전구체와 접촉시키는 단계 및 상기 기판의 표면을 상기 제 2 반응물과 접촉시키는 단계는 ALD 사이클에서 상호 대체 가능함을 통상의 기술자는 이해할 것이다.
상기 Cu 전구체가 기판과 접촉할 때, 상기 Cu 전구체는 적어도 하나의 모노레이어를, 또는 하나 미만의 모노레이어를, 또는 하나보다 많은 모노레이어를 형성할 수 있다.
일부 실시예들에 있어서, 상기 Cu 전구체는 Cu 아세트아미디네이트 또는 그의 유도체를 포함한다. 일부 실시예들에 있어서, 상기 Cu 전구체는 Cu(I) N,N'-디-sec-부틸아세트아미디네이트 [Cu(sec-Bu2-AMD)]2를 포함한다. 상기 Cu 전구체는 아르곤과 같은 비활성의 캐리어 가스의 도움으로 제공될 수 있다.
일부 실시예들에 있어서, 상기 제 2 반응물은 수소를 포함한다. 일부 실시예들에 있어서, 상기 제 2 반응물은 수소 가스를 포함한다.
유전체 위에서의 금속 또는 금속 산화물의 선택적 퇴적
위에서 언급된 바와 같이, 일부 실시예들에 있어서, 금속 또는 금속 산화물 물질이 기판의 제 1 친수성 표면 위에서, 동일한 기판의 상이한 제 2 표면, 예를 들면, 도전성 표면, 금속 표면, 또는 H-종결된 표면에 대하여 상대적으로 선택적 퇴적된다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 금속 또는 금속 산화물의 상대적인 퇴적은 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, 상기 제 1 표면 위에서만 금속 또는 금속 산화물의 퇴적이 일어나며, 상기 제 2 표면 위에는 금속 또는 금속 산화물의 퇴적이 일어나지 않는다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 금속 또는 금속 산화물의 상대적인 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다.
일부 실시예들에 있어서, 상기 제 2 표면은 그 위에 금속 또는 금속 산화물의 퇴적이 억제되도록 처리되거나 비활성화된다. 예를 들면, 금속 표면은 금속 산화물 표면을 제공하도록 산화에 의하여 처리될 수 있다. 일부 실시예들에 있어서, Cu, Ru, W, Al, Ni, Co, 또는 다른 귀금속 표면에 대한 유전체 표면 위에서의 선택적 퇴적을 상대적으로 용이하게 하기 위하여 상기 Cu, Ru, W, Al, Ni, Co, 또는 다른 귀금속 표면은 산화된다. 일부 실시예들에 있어서, 상기 제 2 표면은 Cu, Ru, W, Al, Ni, Co, 또는 다른 귀금속으로부터 개별적으로 선택된 금속을 포함한다. 일부 실시예들에 있어서, 상기 제 2 표면은 Cu 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Ni 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Co 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Al 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 W 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Ru 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 귀금속을 포함한다.
일부 실시예들에 있어서, 상기 도전성 표면은 CuOx, NiOx, CoOx, 또는 RuOx와 같은 산화물 또는 다른 귀금속 산화물을 포함한다. 일부 실시예들에 있어서, 도전성 표면은 처리된 이후에는 더 이상 도전성이 아닐 수 있다. 예를 들면, 도전성 표면은 선택적 퇴적 공정의 시작 시에 또는 그 이전에 예를 들면 산화 등에 의하여 처리될 수 있으며, 처리된 상기 표면은 더 이상 도전성이 아닐 수 있다.
일부 실시예들에 있어서, 상기 제 2 표면은 친수성 표면이 아니다. 일부 실시예들에 있어서, 친수성 표면은, 더 이상 친수성 표면이 아니게 되도록 처리될 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 Si 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 H-종결된 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 예를 들면 -SiH3 종결된 표면을 제공하는 케미컬과 접촉됨에 의하여 처리된다. 일부 실시예들에 있어서, 제 1 표면 위에 금속 또는 금속 산화물이 퇴적되기에 앞서 Si 표면이 처리된다.
일부 실시예들에 있어서, 금속인 상기 제 2 표면은 제 1 표면 위에 금속 또는 금속 산화물이 퇴적되기 전에 산화된다. 일부 실시예들에 있어서, 금속인 상기 제 2 표면은 상기 퇴적 공정의 시작 시에, 예를 들면, 퇴적 사이클의 제 1 시기(phase) 동안 산화된다. 일부 실시예들에 있어서, 금속인 상기 제 2 표면은 퇴적 사이클의 제 1 시기의 이전에 산화된다.
일부 실시예들에 있어서, 상기 제 2 표면은 그 위로의 퇴적을 억제하기 위하여 패시베이션될 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은, 예를 들면, 알킬실릴-기들로 패시베이션될 수 있다. 예를 들면, 일부 실시예들에 있어서, 상기 제 2 표면에 대하여 유전체 표면 위로의 선택적 퇴적을 촉진하기 위하여 제 2 표면은 알킬실릴-기들을 포함하도록 패시베이션된다. 상기 패시베이션은 처리된 금속 표면에 대한 상기 유전체 표면 위로의 선택적 퇴적을 촉진할 수 있다. 예를 들면, 상기 금속 표면 위로의 산화물의 퇴적이 상기 패시베이션에 의하여 억제될 수 있다. 일부 실시예들에 있어서, 패시베이션은 금속 표면 위에서 긴 탄소 사슬을 갖는 SAM 또는 유사한 모노레이어의 형성을 포함하지 않는다.
일부 실시예들에 있어서, 기판의 제 2 표면에 대하여 상대적으로 제 1 표면 위에 선택적으로 퇴적된 물질은 금속이다. 일부 실시예들에 있어서, 기판의 제 2 표면에 대하여 상대적으로 제 1 표면 위에 선택적으로 퇴적된 물질은 금속 산화물이다. 일부 실시예들에 있어서, 선택적으로 퇴적된 상기 금속은 Fe이다. 일부 실시예들에 있어서, 선택적으로 퇴적된 상기 금속 산화물은 Ni 산화물, Fe 산화물, 또는 Co 산화물이다. 일부 실시예들에 있어서, 선택적으로 퇴적된 상기 금속은 Ni이다. 일부 실시예들에 있어서, 선택적으로 퇴적된 상기 금속은 Co이다. 일부 실시예들에 있어서, 금속 산화물의 선택적 퇴적은 선택적 퇴적된 금속의 산화에 의하여 달성될 수 있다. 일부 실시예들에 있어서, 금속이 우선 선택적으로 퇴적되고, 그에 이어서 산화됨으로써 금속 산화물을 형성한다. 일부 실시예들에 있어서, 금속이 선택적으로 퇴적된 후 산화되지 않는다. 일부 실시예들에 있어서, 금속 산화물을 형성하기 위하여 선택적 퇴적된 금속을 산화시키는 것은 상기 금속 산화물 위에 OH 표면 종결들을 가져올 수 있다. 일부 실시예들에 있어서, 산화는 상기 기판의 상기 금속 산화물 표면 및 상기 제 2 표면의 둘 모두의 표면 위에 OH 표면 종결들을 가져올 수 있다.
이상에서 설명되고 도 2에 나타낸 바와 같은 ALD 타입 선택적 퇴적 공정은기판의 제 1 표면 위에서 금속 또는 금속 산화물을 제 2 표면에 대하여 상대적으로 선택적 퇴적시키기 위하여 사용될 수 있다. 일부 실시예들에 있어서, 상기 제 1 전구체는 제 1 금속 전구체이다. 일부 실시예들에 있어서, 상기 제 1 전구체는 제 1 금속 산화물 전구체이다. 일부 실시예들에 있어서, 상기 제 2 반응물은 산소 소스를 포함한다. 일부 실시예들에 있어서, 유전체 위에서의 유전체의 선택적 퇴적과 관련하여 상기 제 2 반응물은 여기에 설명된 바와 같은 산소 소스를 포함한다.
일부 실시예들에 있어서, 기판의 제 1 표면 위에 동일한 기판의 상이한 표면인 제 2 표면에 대하여 상대적으로 금속이 선택적으로 퇴적된다. 일부 실시예들에 있어서, 금속 전구체의 분해에 의하여 금속이 선택적으로 퇴적된다. 일부 실시예들에 있어서, 금속 화합물들의 흡착과 그에 뒤이어 금속 산화물을 형성하도록 상기 금속 화합물을 산화시킴으로써 금속 산화물이 선택적으로 퇴적된다. 일부 실시예들에 있어서, 금속 화합물들의 자기-제한적인 흡착과 그에 뒤이어 하나까지의 금속 산화물의 분자층을 형성하도록 상기 금속 화합물을 산화시킴으로써 금속 산화물이 선택적으로 퇴적된다.
일부 실시예들에 있어서, 상기 기판의 제 2 표면 위에 Ni이 동일한 기판의 유전체 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, Ni은 비스(4-N-에틸아미노-3-펜텐-2-N-에틸이미네이토)니켈(II)의 분해에 의하여 선택적으로 퇴적된다. 일부 실시예들에 있어서, 비스(4-N-에틸아미노-3-펜텐-2-N-에틸이미네이토)니켈(II)와 같은 Ni 화합물들의 흡착과 그에 뒤이어 NiO가 형성되도록 상기 Ni 화합물을 산화시킴으로써 NiO가 선택적으로 퇴적된다. 일부 실시예들에 있어서, 비스(4-N-에틸아미노-3-펜텐-2-N-에틸이미네이토)니켈(II)와 같은 Ni 화합물들의 자기-제한적인 흡착과 그에 뒤이어 하나까지의 NiO의 분자층이 형성되도록 상기 Ni 화합물을 산화시킴으로써 NiO가 선택적으로 퇴적된다.
적합한 니켈 전구체들은 통상의 기술자에 의하여 선택될 수 있다. 일반적으로, 일반적으로, 금속이 산소, 질소, 탄소, 또는 이들의 조합에 결합되거나 배위된 니켈 화합물들이 바람직하다. 일부 실시예들에 있어서, 상기 니켈 전구체들은 유기 화합물들이다. 일부 실시예들에 있어서, 상기 니켈 전구체는 금속유기 화합물이다. 일부 실시예들에 있어서, 상기 니켈 전구체는 이배위성 리간드들을 포함하는 금속 유기 화합물이다. 일부 실시예들에 있어서, 상기 니켈 전구체는 비스(4-N-에틸아미노-3-펜텐-2-N-에틸이미네이토)니켈(II)이다.
일부 실시예들에 있어서, 니켈 전구체들은 니켈 베타디케토네이트 화합물들, 니켈 베타디케티미네이토 화합물들, 니켈 아미노알콕사이드 화합물들, 니켈 아미디네이트 화합물들, 니켈 시클로펜타디에닐 화합물들, 니켈 카르보닐 화합물들, 및 이들의 조합들로 구성되는 군으로부터 선택될 수 있다. 일부 실시예들에 있어서, X(acac)y 또는 X(thd)y 화합물들이 사용된다. 여기서 X는 금속이고 y는 일반적으로 2 내지 3 사이이지만 반드시 그럴 필요는 없다. 여기서 thd는 2,2,6,6-테트라메틸-3,5-헵탄디오네이토이다. 적합한 베타디케티미네이토(예를 들면, Ni(pda)2) 화합물들의 일부 예들은 미국특허공개 제2009-0197411(A1)호에 언급되어 있으며, 상기 특허문헌의 개시 내용은 그 전체가 여기에 통합된다. 적합한 아미디네이트 화합물들(예를 들면, Ni(iPr-AMD)2)의 일부 예들은 미국특허공개 제2006-0141155(A1)호에 언급되어 있으며, 상기 특허문헌의 개시 내용은 그 전체가 여기에 통합된다.
또한, 상기 니켈 전구체는 하나 이상의 할로겐 리간드들을 포함할 수 있다. 바람직한 실시예들에 있어서, 상기 전구체는 비스(4-N-에틸아미노-3-펜텐-2-N-에틸이미네이토)니켈(II) [Ni(EtN-EtN-pent)2]과 같은 니켈 베타디케티미네이토 화합물, 비스(3Z)-4-n부틸아미노-펜트-3-엔-2-온-니켈(II)(bis(3Z)-4-nbutylamino-pent-3-en-2-one-nickel(II))과 같은 니켈 케토이미네이트, 메틸시클로펜타디에닐-이소프로필아세트아미디네이트-니켈(II)과 같은 니켈 아미디네이트 화합물, Ni(acac)2, Ni(thd)2와 같은 니켈 베타디케토네이토 화합물, 또는 Ni(Cp)2, Ni(MeCp)2, Ni(EtCp)2와 같은 니켈 시클로펜타디에닐 화합물들, 또는 메틸시클로펜타디에닐-이소프로필아세트아미디네이트-니켈(II)과 같은 이들의 유도체들이다. 더욱 바람직한 실시예에서, 상기 전구체는 비스(4-N-에틸아미노-3-펜텐-2-N-에틸이미네이토)니켈(II)이다.
일부 실시예들에 있어서, 상기 제 1 Ni 전구체는 비스(4-N-에틸아미노-3-펜텐-2-N-에틸이미네이토)니켈(II)이다.
일부 실시예들에 있어서, 기판의 제 2 표면에 대한 제 1 표면 위로의 상대적인 Co 또는 Co 산화물의 퇴적을 위해 선택적 퇴적 공정에서 사용된 상기 제 1 전구체는 Co 전구체이다. 일부 실시예들에 있어서, 상기 Co 전구체는 Co 베타-디케토이미네이토 화합물이다. 일부 실시예들에 있어서, 상기 Co 전구체는 Co 케토이미네이트 화합물이다. 일부 실시예들에 있어서, 상기 Co 전구체는 Co 아미디네이트 화합물이다. 일부 실시예들에 있어서, 상기 Co 전구체는 Co 베타-디케토네이트 화합물이다. 일부 실시예들에 있어서, 상기 Co 전구체는 적어도 하나의 케토이민 리간드 또는 그의 유도체를 포함한다. 일부 실시예들에 있어서, 상기 Co 전구체는 적어도 하나의 아미딘 리간드 또는 그의 유도체를 함유한다. 일부 실시예들에 있어서, 상기 Co 전구체는 적어도 하나의 케토네이트 리간드 또는 그의 유도체를 포함한다.
일부 실시예들에 있어서, 기판의 제 2 표면에 대한 제 1 표면 위로의 상대적인 Fe 또는 Fe 산화물의 퇴적을 위해 선택적 퇴적 공정에서 사용된 상기 제 1 전구체는 Fe 전구체이다. 일부 실시예들에 있어서, 상기 Fe 전구체는 Cp2Fe 또는 그의 유도체이다. 일부 실시예들에 있어서, 상기 Fe 전구체는 시클로펜타디에닐 리간드(Cp), 치환된 시클로펜타디에닐 리간드 또는 그의 유도체를 적어도 하나 포함한다. 일부 실시예들에 있어서, 상기 Fe 전구체는 카르보닐 리간드(-CO) 또는 그의 유도체를 적어도 하나 포함한다. 일부 실시예들에 있어서, 상기 Fe 전구체는 적어도 하나의 카르보닐 리간드(-CO), 및 시클로펜타디에닐 리간드(Cp), 또는 치환된 시클로펜타디에닐 리간드 또는 그의 유도체와 같은 적어도 하나의 유기 리간드를 포함한다. 일부 실시예들에 있어서, 상기 Fe 전구체는 Fe(acac)2이다. 일부 실시예들에 있어서, 상기 Fe 전구체는 철(III) tert-부톡사이드(Fe2(OtBu)6)와 같은 Fe-알콕사이드이다. 일부 실시예들에 있어서, 상기 Fe 전구체는 Fe(CO)5이다.
일부 실시예들에 있어서, 금속 또는 금속 산화물을 선택적으로 퇴적시키기 위한 ALD 공정에서 상기 제 2 반응물은 수소 및 포밍 가스(forming gas)로부터 선택된다. 다른 실시예들에 있어서, 상기 제 2 반응물은 EtOH와 같은 알코올일 수 있다.
일부 실시예들에 있어서, 상기 제 2 반응물은 유기 환원제이다. 상기 유기 환원제는 위에서 언급되었듯이 알코올(-OH), 또는 알데히드(-CHO), 또는 카르복실산(-COOH)으로 구성되는 군으로부터 선택된 작용기를 적어도 하나 갖는 것이 바람직하다.
적어도 하나의 알코올기를 갖는 환원제들은 1급(primary) 알코올류, 2급(secondary) 알코올류, 3급(tertiary) 알코올류, 다가(polyhydroxy) 알코올류, 고리형 알코올류, 방향족 알코올류, 할로겐화 알코올류, 및 알코올류의 다른 유도체들로 구성되는 군으로부터 선택될 수 있다.
바람직한 1급(primary) 알코올류는 -OH기가 부착된 탄소 원자가 하나의 다른 탄소 원자에 결합되며, 특히 일반식 (I)에 따른 1급 알코올이다:
R1-OH (I)
여기서, R1은 선형 또는 분지형의 C1 내지 C20 알킬 또는 알케닐기이며, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실이다. 바람직한 1급 알코올류의 예들은 메탄올, 에탄올, 프로판올, 부탄올, 2-메틸 프로판올, 및 2-메틸 부탄올을 포함한다.
바람직한 2급(secondary) 알코올류는 -OH기가 부착된 탄소 원자가 두 개의 다른 탄소 원자들에 결합되며, 특히 바람직한 2급 알코올은 일반식 (II)를 갖는다:
Figure 112021090032708-pat00001
(II)
여기서, R1은 선형 또는 분지형의 C1 내지 C20 알킬 및 알케닐기들의 군으로부터 독립적으로 선택되며, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실이다. 바람직한 2급 알코올류의 예들은 2-프로판올 및 2-부탄올을 포함한다.
바람직한 3급(tertiary) 알코올류는 -OH기가 부착된 탄소 원자가 세 개의 다른 탄소 원자들에 결합되며, 특히 바람직한 3급 알코올은 일반식 (III)을 갖는다:
Figure 112021090032708-pat00002
(III)
여기서, R1은 선형 또는 분지형의 C1 내지 C20 알킬 및 알케닐기들의 군으로부터 독립적으로 선택되며, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실이다. 바람직한 3급 알코올류의 예들은 tert-부탄올이다.
디올류 및 트리올류와 같은 바람직한 다가(polyhydroxy) 알코올류는 위에서 설명한 바와 같은 1급, 2급 및/또는 3급 알코올기들을 포함한다. 바람직한 다가 알코올의 예들은 에틸렌글리콜과 글리세롤이다.
바람직한 사이클릭 알코올류는 탄소수 1 내지 10의, 바람직하게는 탄소수 5 내지 6의 고리의 일부인 탄소 원자 중 적어도 하나에 부착된 -OH기를 갖는다.
바람직한 방향족 알코올류는 벤젠 고리에 또는 측쇄의 탄소 원자에 부착된 -OH기를 적어도 하나 갖는다. 바람직한 방향족 알코올류의 예들은 벤질 알코올, o-, p-, 및 m-크레졸 및 레소시놀을 포함한다.
바람직한 할로겐화 알코올류는 일반식 (IV)를 갖는다.
CHnX3-n-R2-OH (IV)
여기서 X는 F, Cl, Br 및 I로 구성되는 군으로부터 선택되고, n은 0 내지 2의 정수이고, R2는 선형 또는 분지형의 C1 내지 C20 알킬 및 알케닐기의 군으로부터 선택되며, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실이다. 더욱 바람직하게, X는 F 및 Cl로 구성되는 군으로부터 선택되고, R2는 메틸 및 에틸로 구성되는 군으로부터 선택된다. 바람직한 할로겐화 알코올의 예는 2,2,2-트리플루오로에탄올이다.
사용될 수 있는 알코올류의 다른 유도체들은 메틸 에탄올아민과 같은 아민류를 포함한다.
적어도 하나의 알데히드기(-CHO)를 함유하는 바람직한 환원제들은 일반식 (V)를 갖는 화합물들, 일반식 (VI)을 갖는 알칸디알 화합물들, 할로겐화 알데히드류 및 다른 알데히드류의 유도체들로 구성되는 군으로부터 선택된다.
따라서, 일부 실시예들에 있어서, 환원제들은 일반식 (V)를 갖는 알데히드류이다:
R3-CHO (V)
여기서 R3는 수소 및 선형 또는 분지형의 C1 내지 C20 알킬 및 알케닐기로 구성되는 군으로부터 선택되며, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실이다. 더욱 바람직하게, R3는 메틸 또는 에틸로 구성되는 군으로부터 선택된다. 화학식 (V)에 따른 바람직한 화합물들의 예들은 포름알데히드, 아세트알데히드, 및 부티랄데히드이다.
다른 실시예들에 따르면 환원제들은 일반식 (VI)을 갖는 알데히드류이다:
OHC-R4-CHO (VI)
여기서 R4는 선형 또는 분지형의 C1 내지 C20의 포화되거나 포화되지 않은 탄화수소이다. 선택적으로, 상기 알데히드 기들은 서로 직접 결합될 수도 있다(R4가 없음).
적어도 하나의 -COOH 기를 함유하는 환원제들은 일반식 (VII)의 화합물들, 폴리카르복실산, 할로겐화 카르복실산류 및 카르복실산들의 다른 유도체들로 구성되는 군으로부터 선택될 수 있다.
따라서, 일부 실시예들에 있어서, 바람직한 환원제들은 일반식 (VII)을 갖는 카르복실 산류이다:
R5-COOH (VII)
여기서 수소 또는 선형 또는 분지형의 C1 내지 C20 알킬 또는 알케닐기이고, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실이고, 더욱 바람직하게는 메틸 또는 에틸이다. 화학식 (VII)에 따른 바람직한 화합물들의 예들은 포름산 및 아세트산이며, 가장 바람직하게는 포름산(HCOOH)이다.
유전체 위에서의 유전체의 선택적 퇴적
위에서 언급된 바와 같이, 일부 실시예들에 있어서, 유전체 물질이 기판의 제 1 친수성 표면 위에서, 동일한 기판의 상이한 제 2 표면, 예를 들면, 도전성 표면, 금속 표면, 또는 H-종결된 표면에 대하여 상대적으로 선택적 퇴적된다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 유전체의 상대적인 퇴적은 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, 상기 제 1 표면 위에서만 유전체의 퇴적이 일어나며, 상기 제 2 표면 위에는 유전체의 퇴적이 일어나지 않는다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 유전체의 상대적인 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다.
일부 실시예들에 있어서, 상기 제 2 표면은 그 위에 유전체의 퇴적이 억제되도록 처리되거나 비활성화된다. 예를 들면, 금속 표면은 금속 산화물 표면을 제공하도록 산화에 의하여 처리될 수 있다. 일부 실시예들에 있어서, Cu, Ru, Al, Ni, Co, 또는 다른 귀금속 표면에 대한 유전체 표면 위에서의 선택적 퇴적을 상대적으로 용이하게 하기 위하여 상기 Cu, Ru, Al, Ni, Co, 또는 다른 귀금속 표면은 산화된다. 일부 실시예들에 있어서, 상기 제 2 표면은 Cu, Ni, Co, Al, W, Ru 또는 다른 귀금속으로부터 개별적으로 선택된 금속을 포함한다. 일부 실시예들에 있어서, 상기 제 2 표면은 Cu 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Ni 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Co 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Al 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Ru 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 귀금속을 포함한다.
일부 실시예들에 있어서, 상기 도전성 표면은 CuOx, NiOx, CoOx, 또는 RuOx와 같은 산화물 또는 다른 귀금속 산화물을 포함한다. 일부 실시예들에 있어서, 도전성 표면은 처리된 이후에는 더 이상 도전성이 아닐 수 있다. 예를 들면, 도전성 표면은 선택적 퇴적 공정의 시작 시에 또는 그 이전에 예를 들면 산화 등에 의하여 처리될 수 있으며, 처리된 상기 표면은 더 이상 도전성이 아닐 수 있다.
일부 실시예들에 있어서, 금속인 상기 제 2 표면은 제 1 표면 위에 유전체가 퇴적되기 전에 산화된다. 일부 실시예들에 있어서, 금속인 상기 제 2 표면은 상기 퇴적 공정의 시작 시에, 예를 들면, 퇴적 사이클의 제 1 시기(phase) 동안 산화된다. 일부 실시예들에 있어서, 금속인 상기 제 2 표면은 퇴적 사이클의 제 1 시기의 이전에 산화된다. 일부 실시예들에 있어서, 금속인 상기 제 2 표면은 산소 소스로 의도적으로 산화된다. 일부 실시예들에 있어서, 금속인 상기 제 2 표면은 주위 공기 내에서 산화되고 및/또는 자연 산화물(native oxide)을 포함한다. 일부 실시예들에 있어서, 금속인 상기 제 2 표면은 퇴적된 산화물을 포함한다.
일부 실시예들에 있어서, 상기 제 2 표면은 그 위로의 퇴적을 억제하기 위하여 패시베이션될 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은, 예를 들면, 알킬실릴-기들로 패시베이션될 수 있다. 예를 들면, 일부 실시예들에 있어서, 상기 제 2 표면에 대하여 유전체 표면 위로의 선택적 퇴적을 촉진하기 위하여 제 2 표면은 알킬실릴-기들을 포함하도록 패시베이션된다. 상기 패시베이션은 처리된 금속 표면에 대한 상기 유전체 표면 위로의 선택적 퇴적을 촉진할 수 있다. 예를 들면, 상기 제 1 금속 표면 위로의 산화물의 퇴적이 상기 패시베이션에 의하여 억제될 수 있다. 일부 실시예들에 있어서, 패시베이션은 금속 표면 위에서 긴 탄소 사슬을 갖는 SAM 또는 유사한 모노레이어의 형성을 포함하지 않는다.
위에서 언급된 바와 같이, 일부 실시예들에 있어서, 기판의 유전체인 제 1 표면 위에 동일한 기판의 금속 표면과 같은 상이한 표면인 제 2 표면에 대하여 상대적으로 유전체 물질이 선택적 퇴적된다. 일부 실시예들에 있어서, 금속인 상기 제 2 표면은 그 위에 유전체의 퇴적이 억제되도록 처리되거나 비활성화된다. 예를 들면, 금속 표면은 금속 산화물 표면을 제공하도록 산화에 의하여 처리될 수 있다. 일부 실시예들에 있어서, Cu 또는 Ru 표면에 대한 유전체 표면 위에서의 선택적 퇴적을 상대적으로 용이하게 하기 위하여 상기 Cu, Ru 또는 다른 귀금속 표면은 산화된다. 일부 실시예들에 있어서, 상기 금속 표면은 예를 들면, 알킬실릴-기들로 패시베이션될 수 있다. 예를 들면, 일부 실시예들에 있어서, Sb 표면에 대한 유전체 표면 위에서의 선택적 퇴적을 촉진하기 위하여 Sb 표면은 알킬실릴-기들을 포함하도록 패시베이션된다.
유전체 위에서의 GeO 2 의 선택적 퇴적
기판의 유전체인 제 1 표면 위에 GeO2가 ALD 타입 공정에 의하여 동일한 기판의 상이한 표면인 제 2 표면에 대하여 상대적으로 퇴적될 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 도전성 표면, 금속 표면, 또는 H-종결된 표면일 수 있다. 일부 실시예들에 있어서, 상기 GeO2는 2013년 3월 13일에 출원되고 여기에 인용되어 통합되는 미국특허출원 제13/802,393호에 설명된 방법에 의하여 퇴적된다. 일부 실시예들에 있어서, 상기 유전체 표면은 친수성의 OH-종결된 표면이다. 그러나, 일부 실시예들에 있어서, 상기 유전체 표면은 Si-H 기들을 포함할 수 있다. 예를 들면, 상기 유전체 표면은 SiO2 표면, OH-기들을 포함하는 로우-k 표면, Si-H 표면, 또는 GeO2 표면일 수 있다. 상기 제 2 표면은, 예를 들면, Cu, Ru, Al, Ni, Co, 또는 다른 귀금속 표면일 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 Cu, Ni, Co, Al, W, Ru 및 다른 귀금속들로부터 개별적으로 선택된 금속을 포함한다. 일부 실시예들에 있어서, 상기 제 2 표면은 Cu 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Ni 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Co 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Al 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Ru 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 귀금속을 포함한다. 위에서 논의된 바와 같이, 일부 실시예들에 있어서, 유전체 표면은 상기 표면 위의 OH-기들의 양을 증가시키기 위하여 처리될 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 산화물일 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 산화된 금속 표면일 수 있다.
일부 실시예들에 있어서, 상기 도전성 표면은 CuOx, NiOx, CoOx, 또는 RuOx와 같은 산화물 또는 다른 귀금속 산화물을 포함한다. 일부 실시예들에 있어서, 도전성 표면은 처리된 이후에는 더 이상 도전성이 아닐 수 있다. 예를 들면, 도전성 표면은 선택적 퇴적 공정의 시작 시에 또는 그 이전에 예를 들면 산화 등에 의하여 처리될 수 있으며, 처리된 상기 표면은 더 이상 도전성이 아닐 수 있다.
일부 실시예들에 있어서, 금속인 상기 제 2 표면은 산소 소스로 의도적으로 산화된다. 일부 실시예들에 있어서, 금속인 상기 제 2 표면은 주위 공기 내에서 산화되고 및/또는 자연 산화물(native oxide)을 포함한다. 일부 실시예들에 있어서, 금속인 상기 제 2 표면은 퇴적된 산화물을 포함한다.
이전에 논의된 바와 같이, 일부 실시예들에 있어서, 상기 유전체 표면 위에 GeO2를 상기 금속 표면에 대하여 상대적으로 선택적 퇴적시키는 것을 용이하게 하기 위하여 상기 금속 표면은 퇴적 이전에 산화된다. 일부 실시예들에 있어서, 선택적 퇴적 공정에서 제 2 반응물이 상기 금속 표면을 산화시키기 위한 구실을 할 수 있다. 따라서, 일부 실시예들에 있어서, 상기 제 2 반응물은 최초의 ALD 사이클에서 우선 제공되거나 또는 상기 첫번째 ALD 사이클의 이전에 제공된다. 일부 실시예들에 있어서, 상기 금속 표면은 상기 선택적 퇴적 공정을 시작하기 전에 산화된다.
일부 실시예들에 있어서, 상기 유전체 표면 위에 GeO2를 금속을 포함하는 표면과 같은 다른 표면에 대하여 상대적으로 선택적 퇴적시키는 것을 용이하게 하기 위하여 상기 금속 표면은 퇴적 이전에 패시베이션된다. 예를 들면, 금속 표면은 알킬실릴기들이 제공될 수 있다. 일부 실시예들에 있어서, 다른 표면은 유전체 표면 위에 GeO2가 선택적으로 퇴적되기 전에, 바람직하게는 알킬아민 패시베이션 화합물로 패시베이션될 수 있다. 일부 다른 실시예들에 있어서, 상기 다른 표면은 유전체 표면 위에 GeO2가 퇴적되는 동안, 바람직하게는 알킬아민 패시베이션 전구체로 패시베이션될 수 있다. 일부 실시예들에 있어서, 알킬아민 패시베이션 전구체는 Ge-전구체와 제 2 반응물의 펄스들 사이에, 또는 각 사이클의 이전에 또는 그 이후에, 또는 매 n번째 사이클의 이전에 또는 그 이후에 반응 챔버 내부로 펄스 공급될 수 있다. 여기서 n은 공정 조건들, 반응기들, 기판 표면들, 그리고 얻고자 하는 선택적 퇴적된 막의 성질들에 의존할 수 있는 수이다. 필요한 패시베이션의 빈도는 공정 조건들, 반응기들, 기판 표면들, 그리고 선택적 퇴적된 막의 성질들에 의존할 수 있다. 일부 실시예들에 있어서, 표면 패시베이션은 GeO2의 각 선택적 퇴적 사이클 동안 수행될 수 있으며, 예를 들면, 알킬아민 전구체가 GeO2의 선택적 퇴적 사이클 동안 상기 기판과 접촉할 수도 있고, 또는 HCOOH가 GeO2의 선택적 퇴적 사이클 동안 상기 기판과 접촉할 수도 있고, 또는 알킬아민과 HCOOH를 모두 포함하는 전구체가 GeO2의 퇴적 사이클 동안 상기 기판과 접촉할 수도 있다. 일부 실시예들에 있어서, 둘 이상의 패시베이션 전구체가 사용될 수 있다. 패시베이션에 사용될 수 있는 예시적인 알킬아민 패시베이션 전구체들은 다음의 화학식을 가질 수 있다:
H-(NRIRII)
여기서 RI은 수소, 알킬 및 치환된 알킬로 구성되는 군으로부터 독립적으로 선택될 수 있으며, 바람직하게는 C1 내지 C4 알킬이고;
RII는 알킬 및 치환된 알킬로 구성되는 군으로부터 독립적으로 선택될 수 있으며, 바람직하게는 C1 내지 C4 알킬이다.
구체적이고 예시적인 알킬아민 패시베이션 전구체들은 H-N(Me2), H-N(EtMe) 및 H-N(Et)2를 포함한다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 GeO2 퇴적은 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, 상기 제 1 표면 위에서만 GeO2 퇴적이 일어나며, 상기 제 2 표면 위에는 GeO2 퇴적이 일어나지 않는다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 GeO2 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용들에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용들에 있어서는 충분히 선택적일 수 있다.
일부 실시예들에 있어서, 제 1 표면 및 제 2 표면을 갖는 기판이 제공되며, 유전체가, 여기서는 GeO2가, 여러 회의 사이클들을 포함하는 ALD 타입 퇴적 공정에 의하여 기판의 제 1 표면 위에 선택적으로 퇴적된다. 각 사이클은 다음 단계들을 포함한다:
기판의 상기 표면을 기화된 제 1 전구체, 예를 들면, Ge-알킬아미드와 접촉시키는 단계;
과량의 제 1 전구체 및, 만일 있다면, 반응 부산물들을 상기 표면으로부터 제거하는 단계;
상기 기판의 표면을 기화된 제 2 반응물, 예를 들면 H2O와 접촉시키는 단계;
상기 기판의 제 1 표면 위의 상기 제 1 전구체층과 상기 제 2 반응물 사이의 반응에서 생성된 임의의 기체인 부산물들 및 과량의 제 2 반응물을 상기 표면으로부터 제거하는 단계; 및
상기 기판의 제 1 표면 위에 유전체 박막, 여기서는 GeO2 박막이 원하는 두께로 형성될 때까지 상기 접촉시키는 단계 및 상기 제거하는 단계를 반복하는 단계.
위에서 언급된 바와 같이, 일부 실시예들에 있어서, 상기 기판의 하나 이상의 표면들은 퇴적 공정의 시작에 앞서 하나의 표면 위에서의 퇴적을 상이한 하나 이상의 표면들에 대하여 상대적으로 향상시키기 위하여 처리될 수 있다. 일부 실시예들에 있어서, 금속인 상기 제 2 표면은 유전체, 여기서는 GeO2의 퇴적에 앞서 예를 들면 산화 또는 패시베이션 등에 의하여 비활성화된다.
일부 실시예들에 있어서, Ge 전구체와 물, 오존, 산소 플라스마, 산소 라디칼, 또는 산소 원자들과 같은 제 2 반응물을 기판과 교대하여 그리고 순차적으로 접촉시킴으로써 저머늄 산화물이, 바람직하게는 GeO2가 퇴적된다. 일부 실시예들에 있어서, 상기 제 2 반응물은 물이 아니다. 상기 Ge 전구체는 Ge(OEt)4 또는 TDMAGe를 포함하는 것이 바람직하다.
상기 ALD 타입 공정들에 채용되는 Ge 전구체는 기판 표면과 접촉되기 전에 상기 Ge 전구체가 기상일 수 있다면 표준 조건들(실온 및 대기압)에서 고체, 액체, 또는 기체 물질들일 수 있다. 기판 표면을 기화된 전구체와 접촉시킨다는 것은 전구체의 증기가 제한된 시간 동안 상기 기판 표면과 접촉하는 것을 의미한다. 통상, 상기 접촉 시간은 약 0.05초 내지 약 10초이다. 그러나, 기판의 타입과 그의 표면적에 따라 상기 접촉 시간은 10초보다 훨씬 더 길 수 있다.
일부 실시예들에 있어서, 불활성 캐리어 가스의 흐름을 계속하면서 제 2 반응물의 흐름을 중지시킴으로써 상기 반응물 및 반응 부산물들은 상기 기판 표면으로부터 제거될 수 있다. 일부 실시예들에 있어서, 상이한 반응물들이 원하는 순서로 원하는 시간 동안 상기 기판의 표면을 교대하면서 및 순차적으로 접촉하도록 상기 기판이 이동한다. 일부 실시예들에 있어서, 상기 제거하는 단계들은 수행되지 않는다. 일부 실시예들에 있어서, 챔버의 여러 부분들로부터 반응물이 제거되지 않을 수 있다. 일부 실시예들에 있어서, 제 1 전구체를 수용하는 상기 챔버의 일부분으로부터 제 2 반응물을 수용하는 상기 챔버의 다른 부분으로 상기 기판이 이동된다. 일부 실시예들에 있어서, 상기 기판은 제 1 반응 챔버로부터 상이한 반응 챔버인 제 2 반응 챔버로 이동된다.
바람직하게, 단일 웨이퍼 ALD 반응기 내에서 300mm 웨이퍼에 대하여 기판 표면은 Ge 전구체와 약 0.05초 내지 약 10초 동안, 더욱 바람직하게는 약 0.1초 내지 약 5초 동안, 그리고 가장 바람직하게는 약 0.3초 내지 약 3.0초 동안 접촉된다. 상기 기판 표면은 상기 제 2 전구체와 바람직하게는 약 0.05초 내지 약 10초 동안, 더욱 바람직하게는 약 0.1초 내지 약 5초 동안, 그리고 가장 바람직하게는 약 0.2초 내지 약 3초 동안 접촉된다. 그러나, 일부 경우들에 있어서는 접촉 시간이 분(分)의 단위(order)일 수 있다. 최적의 접촉 시간은 특정 환경들에 기초하여 통상의 기술자가 용이하게 결정할 수 있다.
위에서 언급된 바와 같이, 일부 실시예들에 있어서, 상기 Ge 전구체는 Ge(OEt)4 또는 TDMAGe이다. 일부 실시예들에 있어서, 상기 Ge 전구체가 에톡사이드 전구체인 경우, 상기 제 1 표면은 Si-H 기들을 포함할 수 있다. 일부 실시예들에 있어서 사용될 수 있는 다른 가능한 저머늄 전구체들이 이하에서 설명된다. 일부 실시예들에 있어서, 상기 Ge 전구체는 Ge(OMe)4이다. 일부 실시예들에 있어서, 상기 Ge 전구체는 할로겐화물이 아니다. 일부 실시예들에 있어서, 상기 Ge 전구체는 적어도 하나의 리간드에 할로겐을 포함할 수 있지만 모든 리간드들에 할로겐을 포함하는 것은 아니다.
특정 바람직한 실시예들에 있어서, 기판의 제 1 표면 위에 GeO2가 ALD 타입 공정에 의하여 상기 기판의 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 상기 ALD 타입 공정은 다수의 사이클들을 포함하고, 상기 각 사이클은 상기 기판을 기상의 Ge-알킬아미드 및 물을 포함하는 제 2 반응물과 교대하여 그리고 순차적으로 접촉시키는 단계를 포함한다.
특정 바람직한 실시예들에 있어서, 기판의 제 1 표면 위에 GeO2가 ALD 타입 공정에 의하여 상기 기판의 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 상기 ALD 타입 공정은 다수의 사이클들을 포함하고, 상기 각 사이클은 상기 기판을 Ge(NRIRII)4의 화학식을 갖는 기상의 Ge 전구체 및 물을 포함하는 제 2 반응물과 교대하여 그리고 순차적으로 접촉시키는 단계를 포함한다. 여기서 RI은 수소, 알킬 및 치환된 알킬로 구성되는 군으로부터 독립적으로 선택될 수 있고, 바람직하게는 RI은 메틸, 에틸, n-프로필 및 i-프로필과 같은 C1-C3 알킬류로 구성되는 군으로부터 독립적으로 선택될 수 있고, 가장 바람직하게는 메틸 또는 에틸이다. RII는 알킬 및 치환된 알킬로 구성되는 군으로부터 독립적으로 선택될 수 있고, 바람직하게 RII는 메틸, 에틸, n-프로필 및 i-프로필과 같은 C1-C3 알킬류로 구성되는 군으로부터 독립적으로 선택될 수 있고, 가장 바람직하게는 메틸 또는 에틸이다.
상기 제 2 반응물은 산소-함유 가스 펄스일 수 있고, 질소 또는 아르곤과 같은 비활성 기체와 산소의 혼합물일 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 분자 산소-함유 가스일 수 있다. 상기 제 2 반응물 기체 내의 바람직한 산소 함량은 약 10% 내지 약 25%이다. 따라서, 일부 실시예들에 있어서, 상기 제 2 반응물은 공기일 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 분자 산소이다. 일부 실시예들에 있어서, 상기 제 2 반응물은 활성화된 또는 여기된 산소 종들을 포함한다. 일부 실시예들에 있어서, 상기 제 2 반응물은 오존을 포함한다. 상기 제 2 반응물은 순수한 오존일 수도 있고 또는 오존, 분자 산소, 및 다른 가스, 예를 들면 질소 또는 아르곤과 같은 비활성 가스의 혼합물일 수도 있다. 오존은 오존 생성기에 의하여 생성될 수 있고, 질소와 같은 어떤 종류의 불활성 가스의 도움을 받아, 또는 산소의 도움을 받아 반응 공간 내부로 도입되는 것이 가장 바람직하다. 일부 실시예들에 있어서, 오존은 약 5 부피% 내지 약 40 부피%의 농도로, 바람직하게는 약 15 부피% 내지 약 25 부피%의 농도로 제공된다. 다른 실시예들에 있어서, 상기 제 2 반응물은 산소 플라스마이다.
일부 실시예들에 있어서, 상기 기판의 표면은 오존과, 또는 오존 및 다른 기체의 혼합물과 접촉한다. 다른 실시예들에 있어서, 예를 들면 산소 함유 가스가 아크(arc)를 통과하도록 함으로써 오존이 반응기 내부에 형성된다. 다른 실시예들에 있어서, 산소 함유 플라스마가 반응기 내에 형성된다. 일부 실시예들에 있어서, 플라스마는 기판의 상부 위에 또는 기판에 가까이 근접하여 인 시투로 형성될 수 있다. 다른 실시예들에 있어서, 플라스마는 반응 챔버의 업스트림인 원격 플라스마 생성기 내에서 생성되고, 플라스마 생성물은 상기 기판과 접촉하도록 상기 반응 챔버로 보내어진다. 통상의 기술자에 의하여 이해되는 바와 같이 원격 플라스마의 경우에 있어서, 기판까지의 경로는 상기 기판에 도달하기 전에 전기적으로 중성인 종들을 최대화하고 이온 생존을 최소화하도록 최적화될 수 있다.
일부 실시예들에 있어서, 상기 제 2 반응물은 물이 아닌 제 2 반응물이다. 따라서 일부 실시예들에 있어서, GeO2를 선택적으로 퇴적시키기 위한 임의의 ALD 사이클에서 물이 제공되지 않는다.
수많은 상이한 Ge 전구체들이 선택적 퇴적 공정들에서 사용될 수 있다. 일부 실시예들에 있어서, 상기 Ge 전구체는 4가(즉, Ge는 +IV의 산화상태를 갖는다)이다. 일부 실시예들에 있어서, 상기 Ge 전구체는 2가(즉, Ge는 +II의 산화상태를 갖는다)가 아니다. 일부 실시예들에 있어서, 상기 Ge 전구체는 알콕사이드 리간드를 적어도 하나 포함할 수 있다. 일부 실시예들에 있어서, 상기 Ge 전구체는 아민 또는 알킬아민 리간드를 적어도 하나 포함할 수 있다. 일부 실시예들에 있어서, 상기 Ge 전구체는 금속-유기 또는 유기금속성 화합물이다. 일부 실시예들에 있어서, 상기 Ge 전구체는 할로겐 리간드를 적어도 하나 포함한다. 일부 실시예들에 있어서, 상기 Ge 전구체는 할로겐 리간드를 포함하지 않는다.
예를 들면, 앞서 위에서 논의된 바와 같은 화학식 (1) 내지 (9)의 Ge 전구체들이 일부 실시예들에 있어서 사용될 수 있다.
일부 실시예들에 있어서, 상기 Ge 전구체는 화학식 (2) 내지 (6) 및 (8) 및 (9)에 제시된 것들과 같이 아민 또는 알킬아민 리간드를 적어도 하나 포함한다. 그리고 산소 전구체는 물을 포함한다.
일부 실시예들에 있어서, 상기 Ge 전구체는 적어도 하나의 알콕시, 아민, 또는 알킬아민 리간드를 포함한다. 일부 실시예들에 있어서, 물과 Ge-알킬아민 전구체를 사용하는 ALD 공정에 의하여 GeO2가 퇴적된다. 일부 실시예들에 있어서, 상기 Ge 전구체는 Ge(NMe2)4 또는 Ge(NEt2)4 또는 Ge(NEtMe)4이다.
위에서 논의된 바와 같이, 막의 퇴적을 개시하기 전에 상기 기판은 통상 적절한 성장 온도로 가열된다. 바람직한 퇴적 온도는 반응물 전구체들, 압력, 흐름 속도, 반응기의 배열, 및 기판 위에 퇴적될 물질의 성질을 포함한 기판의 조성과 같은 수많은 인자들에 의존하여 변화할 수 있지만 이들에 한정되는 것은 아니다
공정 시간은 막의 성장 속도와 생성될 막의 두께에 의존한다. ALD에서, 박막의 성장 속도는 1회의 사이클당 두께의 증가로 결정된다. 하나의 사이클은 상기 전구체들을 접촉시키는 단계 및 제거하는 단계들로 구성되며, 하나의 사이클의 지속 시간은 대개 약 0.2초 내지 약 30초 사이, 더욱 바람직하게는 약 1초 내지 약 10초 사이이나, 예를 들면, 큰 표면적과 부피가 있는 경우와 같은 일부 경우들에 있어서는 분단위 또는 그 이상일 수 있다.
일부 실시예들에 있어서, 형성되는 상기 GeO2 막은 순수한 GeO2 막이다. 미미한 불순물들은 별개로 하고, 상기 막 내에 다른 금속 또는 반금속 원소들이 존재하지 않는 것이 바람직하다. 일부 실시예들에 있어서, 상기 막은 Ge 외에 금속 또는 반금속을 1 원자% 미만으로 포함한다. 일부 실시예들에 있어서, 상기 GeO2 막은 양론적(stoichiometric)이다. 일부 실시예들에 있어서, 순수한 GeO2 막은 수소 외에 다른 불순물을 약 5 원자% 미만으로, 바람직하게는 수소 외에 다른 불순물을 약 3 원자% 미만으로, 그리고 더욱 바람직하게는 수소 외에 다른 불순물을 약 1 원자% 미만으로 포함한다.
일부 실시예들에 있어서, 형성된 상기 GeO2 막은 높은 종횡비를 갖는 구조물들 위에서 약 80%보다 큰, 더욱 바람직하게는 약 90%보다 큰, 그리고 가장 바람직하게는 약 95%보다 큰 스텝 커버리지(step coverage)를 갖는다. 일부 실시예들에 있어서, 높은 종횡비의 구조물들은 지형의 깊이 또는 높이와 폭을 비교할 때 약 3:1보다 큰 종횡비를 갖는다. 일부 실시예들에 있어서, 상기 구조물들은 약 5:1보다 큰 종횡비를, 또는 심지어 10:1 또는 그보다 더 큰 종횡비를 갖는다.
유전체 위에서의 SiO 2 의 선택적 퇴적
기판의 유전체인 제 1 표면 위에 SiO2가 원자층 퇴적 타입 공정에 의하여 동일한 기판의 제 2 표면에 대하여 상대적으로 퇴적될 수 있다. 일부 실시예들에 있어서, 상기 유전체 표면은 친수성의 OH-종결된 표면이다. 예를 들면, 상기 유전체 표면은 SiO2 표면, 바람직하게 OH-기들을 포함하는 로우-k 표면, 또는 GeO2 표면일 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 도전성 표면, 금속 표면, 또는 H-종결된 표면일 수 있다. 상기 제 2 표면은, 예를 들면, Cu, Ru, Al, Ni, Co, 또는 다른 귀금속 표면일 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 Cu, Ni, Co, Al, W, Ru 및 다른 귀금속들로부터 개별적으로 선택된 금속을 포함한다. 일부 실시예들에 있어서, 상기 제 2 표면은 Cu 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Ni 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Co 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Al 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Ru 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 귀금속을 포함한다. 위에서 논의된 바와 같이, 일부 실시예들에 있어서, 유전체 표면은 상기 표면 위의 OH-기들의 양을 증가시키기 위하여 처리될 수 있다.
일부 실시예들에 있어서, 상기 도전성 표면은 CuOx, NiOx, CoOx, 또는 RuOx와 같은 산화물 또는 다른 귀금속 산화물을 포함한다. 일부 실시예들에 있어서, 도전성 표면은 처리된 이후에는 더 이상 도전성이 아닐 수 있다. 예를 들면, 도전성 표면은 선택적 퇴적 공정의 시작 시에 또는 그 이전에 예를 들면 산화 등에 의하여 처리될 수 있으며, 처리된 상기 표면은 더 이상 도전성이 아닐 수 있다.
일부 실시예들에 있어서, 금속인 상기 제 2 표면은 산소 소스로 의도적으로 산화된다. 일부 실시예들에 있어서, 금속인 상기 제 2 표면은 주위 공기 내에서 산화되고 및/또는 자연 산화물(native oxide)을 포함한다. 일부 실시예들에 있어서, 금속인 상기 제 2 표면은 퇴적된 산화물을 포함한다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 SiO2 퇴적은 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, 상기 제 1 표면 위에서만 SiO2 퇴적이 일어나며, 상기 제 2 표면 위에는 SiO2 퇴적이 일어나지 않는다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 SiO2 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용들에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용들에 있어서는 충분히 선택적일 수 있다.
바람직한 실시예에 있어서, 아미노실란을 Si 전구체로서, 그리고 오존을 제 2 반응물로서 이용하는 ALD 타입 공정에 의하여 SiO2가 선택적으로 퇴적된다. 일부 실시예들에 있어서, 비스(디에틸아미노)실란 전구체와 같은 아미노실란과 오존을 사용하는 ALD 공정에 의하여 SiO2가 퇴적된다. 이러한 방법은 당 기술 분야에 알려져 있으며, 금속에 대하여 상대적으로 유전체 물질 위에 선택적으로 퇴적시키기 위하여 변용될 수 있다.
일부 실시예들에 있어서, 상기 유전체 표면 위에 SiO2를 상기 금속 표면에 대하여 상대적으로 선택적 퇴적시키는 것을 용이하게 하기 위하여 상기 금속 표면은 퇴적 이전에 산화된다. 일부 실시예들에 있어서, 선택적 퇴적 공정에서 산소 소스가 상기 금속 표면을 산화시키기 위한 구실을 할 수 있다. 따라서, 일부 실시예들에 있어서, 상기 제 2 반응물은 최초의 ALD 사이클에서 우선 제공되거나 또는 상기 첫번째 ALD 사이클의 이전에 제공된다. 일부 실시예들에 있어서, 상기 금속 표면은 상기 선택적 퇴적 공정을 시작하기 전에 산화된다.
일부 실시예들에 있어서, 상기 유전체 표면 위에 SiO2를 상기 금속 표면에 대하여 상대적으로 선택적 퇴적시키는 것을 용이하게 하기 위하여 상기 금속 표면은 퇴적 이전에 패시베이션된다. 예를 들면, 상기 금속 표면은 알킬실릴기들이 제공될 수 있다.
일부 실시예들에 있어서, 제 1 표면 및 제 2 표면을 갖는 기판이 제공되며, 유전체가, 여기서는 SiO2가, 여러 회의 사이클들을 포함하는 ALD 타입 공정에 의하여 기판의 제 1 표면 위에 선택적으로 퇴적된다. 각 사이클은 다음 단계들을 포함한다:
기판의 상기 표면을 기화된 제 1 전구체, 예를 들면, 아미노실란과 접촉시키는 단계;
과량의 제 1 전구체 및, 만일 있다면, 반응 부산물들을 상기 표면으로부터 제거하는 단계;
상기 기판의 표면을 기화된 제 2 반응물, 예를 들면 오존과 접촉시키는 단계;
상기 기판의 제 1 표면 위의 상기 제 1 전구체층과 상기 제 2 반응물 사이의 반응에서 생성된 임의의 기체인 부산물들 및 과량의 제 2 반응물을 상기 표면으로부터 제거하는 단계; 및
상기 기판의 제 1 표면 위에 유전체 박막, 여기서는 SiO2 박막이 원하는 두께로 형성될 때까지 상기 접촉시키는 단계 및 상기 제거하는 단계를 반복하는 단계.
위에서 언급된 바와 같이, 일부 실시예들에 있어서, 상기 기판의 하나 이상의 표면들은 퇴적 공정의 시작에 앞서 하나의 표면 위에서의 퇴적을 상이한 하나 이상의 표면들에 대하여 상대적으로 향상시키기 위하여 처리될 수 있다. 일부 실시예들에 있어서, 금속인 상기 제 2 표면은 예를 들면 패시베이션 등에 의하여 비활성화된다. 일부 실시예들에 있어서, 상기 퇴적 공정은 450℃ 미만의 온도에서 조작된다. 일부 실시예들에 있어서, 상기 퇴적 공정은 400℃에서 조작된다. 일부 실시예들에 있어서, 전체 퇴적 공정은 동일한 온도에서 수행된다.
일부 실시예들에 있어서, 상기 SiO2의 선택적 퇴적은 넓은 범위의 압력 조건에서 수행될 수 있으나, 감소된 압력에서 공정을 조작하는 것이 바람직하다. 반응 챔버 내의 압력은 통상 약 0.01 mbar 내지 약 500 mbar이거나 그 이상이다. 그러나, 일부 경우들에 있어서, 상기 압력은 이 범위보다 더 높거나 더 낮을 수 있으며, 통상의 기술자에 의하여 용이하게 결정될 수 있을 것이다. 단일 웨이퍼 반응기 내의 압력은 바람직하게는 약 0.01 mbar 내지 약 50 mbar 사이에서, 더욱 바람직하게는 약 0.1 mbar 내지 약 10 mbar 사이에서 유지된다. 뱃치 ALD 반응기 내의 압력은 바람직하게는 약 1 mTorr 내지 약 500 mTorr 사이에서, 더욱 바람직하게는 약 30 mTorr 내지 약 200 mTorr 사이에서 유지된다.
일부 실시예들에 있어서, 상기 SiO2의 퇴적 온도는 기체인 소스 케미컬들의 열분해가 방지되기에 충분하도록 낮게 유지된다. 한편, 상기 퇴적 온도는 표면 반응을 위한 활성화 에너지를 제공하고, 소스 물질들의 물리흡착을 방지하며, 상기 반응 공간 내에서의 기체 반응물들의 응축을 최소화하기에 충분히 높게 유지된다. 상기 반응물들 및 반응기들에 따라, 상기 퇴적 온도는 통상 약 20℃ 내지 약 500℃, 바람직하게는 약 150℃ 내지 약 350℃, 더욱 바람직하게는 약 250℃ 내지 약 300℃이다.
상기 실리콘 소스의 온도는 상기 퇴적 온도 또는 기판 온도 미만으로 설정되는 것이 바람직하다. 이것은 만일 상기 기판 온도에서 상기 소스 케미컬 증기의 분압이 응축 한계를 초과하면 상기 박막의 제어된 층별 성장(layer-by-layer growth)이 저해된다는 사실에 근거한다. 일부 실시예들에 있어서, 상기 실리콘 소스 온도는 약 30℃ 내지 약 150℃이다. 일부 실시예들에 있어서, 상기 실리콘 소스 온도는 퇴적 동안 약 60℃보다 높다. 일부 실시예들에 있어서, 예를 들면, 뱃치 ALD에서와 같이 더 큰 도스(dose)가 필요한 경우, 상기 실리콘 소스 온도는 약 90℃ 내지 약 200℃이고, 바람직하게는 약 130℃ 내지 약 170℃이다.
일부 실시예들에 있어서, 불활성 캐리어 가스의 흐름을 계속하면서 제 2 반응물의 흐름을 중지시킴으로써 상기 반응물 및 반응 부산물들은 상기 기판 표면으로부터 제거될 수 있다. 일부 실시예들에 있어서, 상이한 반응물들이 원하는 순서로 원하는 시간 동안 상기 기판의 표면을 교대로 및 순차적으로 접촉하도록 상기 기판이 이동한다. 일부 실시예들에 있어서, 상기 제거하는 단계들은 수행되지 않는다. 일부 실시예들에 있어서, 챔버의 여러 부분들로부터 반응물이 제거되지 않을 수 있다. 일부 실시예들에 있어서, 제 1 전구체를 수용하는 상기 챔버의 일부분으로부터 제 2 반응물을 수용하는 상기 챔버의 다른 부분으로 상기 기판이 이동된다. 일부 실시예들에 있어서, 상기 기판은 제 1 반응 챔버로부터 상이한 반응 챔버인 제 2 반응 챔버로 이동된다.
일부 실시예들에 있어서, 여기에 설명된 바와 같은 ALD 타입 공정을 이용하여 SiO2가 선택적으로 퇴적된다.
일부 실시예들에 있어서, 이산화 실리콘을 포함하는 상기 박막의 성장 속도는 약 0.7Å/사이클보다 큰 것이 바람직하다. 다른 실시예들에 있어서, 상기 성장 속도는 0.8Å/사이클보다 크고, 또 다른 실시예들에 있어서, 상기 성장 속도는 1.0Å/사이클보다 크고, 바람직하게는 1.0Å/사이클 내지 1.2Å/사이클의 범위 내에 있다.
일부 실시예들에 있어서, 선택적으로 퇴적된 이산화 실리콘은 불순물로서 질소를 2 원자% 미만으로 갖는다. 다른 실시예들에 있어서, 상기 SiO2는 불순물로서 1 원자% 미만의 질소를, 또는 0.5 원자% 미만의 질소를 포함한다. 유사하게, 일부 실시예들에 있어서, 상기 SiO2는 불순물로서 1 원자% 미만의 탄소를 포함하고, 일부 경우들에 있어서는 불순물로서 0.5 원자% 미만의 탄소를 포함한다.
일부 실시예들에 있어서, 선택적으로 퇴적된 실리콘 산화물은 80%보다 높은 스텝 커버리지를 갖고, 다른 실시예들에서는 90%보다 높은 스텝 커버리지를 갖는 것이 바람직하고, 또 다른 실시예들에 있어서는 95%보다 높은 스텝 커버리지를 갖는 것이 바람직하다.
특정 바람직한 실시예들에 있어서, 기판의 제 1 표면 위에 SiO2가 ALD 타입 공정에 의하여 상기 기판의 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 상기 ALD 타입 공정은 다수의 사이클들을 포함하고, 각 사이클은 기상의 BDEAS와 오존을 포함하는 제 2 반응물을 상기 기판과 교대로 그리고 순차적으로 접촉시키는 단계를 포함한다.
간결함을 위하여, SiO2, 실리콘 산화물, 실리카, 및 이산화 실리콘은 여기서 사용될 때 모두 상호 교환 가능하며, 동일한 화합물을 일반적으로 지칭한다.
Si 전구체들
일부 실시예들에 있어서, 상기 실리콘 전구체는 실란, 실록산, 또는 실라잔 화합물들을 포함할수 있다. 일부 실시예들에 있어서, 상기 SiO2는 미국등록특허 제7,771,533호에 설명된 바와 같은 전구체들을 이용하여 퇴적된다. 상기 미국등록특허는 여기에 인용되어 통합된다. 예를 들면, 아래의 화학식 (1) 내지 (3)의 Si 전구체는 일부 실시예들에서 사용될 수 있다.
(1) SimL2m+2
(2) SiyOy??1L2y+2
(3) SiyNHy??1L2y+2
여기서 L은 독립적으로 F, Cl, Br, I, 알킬, 아릴, 알콕시, 비닐, 시아노, 아미노, 실릴, 알킬실릴, 알콕시실릴, 실릴렌(silylene) 및 알킬실록산으로 구성되는 군으로부터 선택될 수 있다. 일부 실시예들에 있어서, 알킬 및 알콕시기들은 선형 또는 분지형일 수 있고, 적어도 하나의 치환체를 포함할 수 있다. 일부 실시예들에 있어서, 알킬 및 알콕시기들은 1개 내지 10개의 탄소원자들, 바람직하게는 1개 내지 6개의 탄소 원자들을 포함한다.
일부 실시예들에 있어서, 바람직한 상기 실리콘 전구체는 예를 들면, 3-아미노프로필트리에톡시 실란(NH2??CH2CH2CH2??Si(O??CH2CH3)3)(3-aminopropyltriethoxy silane, AMTES), 및 3-아미노프로필트리메톡시 실란(NH2??CH2CH2CH2??Si(O??CH3)3)(3-aminopropyltrimethoxy silane, AMTMS)과 같은 3-아미노알킬트리알콕시 실란류, 그리고 헥사알킬디실라잔((CH3)3Si??NH??Si(CH3)3)(hexa-alkyldisilazane, HMDS)과 같은 아미노 치환된 실란류 및 실라잔류를 포함할 수 있다.
일부 실시예들에 있어서, 상기 SiO2는 미국등록특허 제8,501,637호에 설명된 바와 같은 전구체들을 이용하여 퇴적된다. 상기 미국등록특허는 여기에 인용되어 통합된다. 일부 실시예들에 있어서, 상기 실리콘 전구체는 디실란인 것이 바람직하고, Si-Si 결합을 갖는다. 일부 실시예들에 있어서, 실리콘에 (하나 이상의 실리콘 원자들에) 또는 실리콘에 부착된 탄소 사슬에 직접 부착된 NHx기 및 Si-Si 결합을 갖는 유기 화합물들이 사용된다. 일부 실시예들에 있어서, 유기실리콘 화합물들이 사용되며, 이들은 Si-Si 결합들을 포함할 수도 있고, 포함하지 않을 수도 있다. 더욱 바람직하게, 상기 실리콘 화합물은 다음 화학식을 갖는다:
RIII 3-x(RIIRIN)x??Si??Si??(N??RIRII)yRIII 3-y,????(I)
여기서,
x는 1 내지 3에서 선택되고;
y는 1 내지 3에서 선택되고;
RI은 수소, 알킬, 및 치환된 알킬로 구성되는 군으로부터 선택되고;
RII는 알킬, 및 치환된 알킬로 구성되는 군으로부터 선택되고;
RIII는 수소, 하이드록사이드(-OH), 아미노(-NH2), 알콕시, 알킬, 및 치환된 알킬로 구성되는 군으로부터 선택되고;
각 x, y, RIII, RII, 및 RI은 서로 독립적으로 선택될 수 있다.
일부 실시예들에 있어서, 상기 실리콘 화합물은 헥사키스(모노알킬아미노)디실란이다:
(RII??NH)3??Si??Si??(NH??RII)3????(II)
다른 실시예들에 있어서, 상기 실리콘 화합물은 헥사키스(에틸아미노)디실란이다:
(Et-NH)3??Si??Si??(NH-Et)3????(III)
다른 실시예들에 있어서, 상기 실리콘 화합물은 다음과 같다.
(CH3??O)3??Si??Si??(O??CH3)3 (IV)
일부 실시예들에 있어서, 상기 실리콘 화합물은 헥사키스(모노알킬아미노)디실란((RII??NH)3??Si??Si??(NH??RII)3)이고, RII는 알킬 및 치환된 알킬로 구성되는 군으로부터 선택된다.
일부 실시예들에 있어서, 상기 SiO2는 미국특허공개 제2009/0232985호에 설명된 바와 같은 전구체들을 이용하여 퇴적된다. 상기 미국특허공개는 여기에 인용되어 통합된다. 일부 실시예들에 있어서, 상기 퇴적 온도는 실온만큼 낮을 수도 있고 500℃까지 높을 수도 있고, 조작 압력은 0.1 내지 100 Torr(13 내지 13300 Pa)이다. 탄소와 수소의 함량이 매우 낮은 고품질의 막들은 200℃ 내지 400℃의 온도 및 0.1 내지 10 Torr(13 내지 1330 Pa)의 압력에서 바람직하게 퇴적된다.
일부 실시예들에 있어서, 상기 Si 전구체는 다음으로 구성되는 군으로부터 선택될 수 있다:
비스(디에틸아미노)실란 SiH2(NEt2)2
비스(디메틸아미노)실란 (bis(dimethylamino)silane, BDMAS) SiH2(NMe2)2
트리스(디에틸아미노)실란 (tris(diethylamino)silane, TriDMAS) SiH(NMe2)3
비스(트리메틸실릴아미노)실란 (bis(trimethylsilylamino)silane) SiH2(NHSiMe3)2
테트라키스(에틸아미노)실란 (tetrakis(ethylamino)silane, TEAS) Si(NHEt)4
테트라키스(에톡시)실란 (tetrakis(ethoxy)silane, TEOS) Si(OEt)4
비스(트리에톡시실릴)에탄 (bis(triethoxysilyl)ethane, BTESE) (EtO)3Si??CH2??CH2??Si(OEt)3
일부 실시예들에 있어서, 상기 Si 전구체는 일반식 (R1R2N)nSiH4-x의 아미노실란이다. 여기서 x는 1 내지 4이고, R1 및 R2는 독립적으로 수소 또는 C1 내지 C6의 선형, 분지형, 또는 고리형 탄소 사슬들이다. 상기 Si 전구체는 일반식 (R1R2N)nSiH2의 아미노실란인 것이 바람직하다. 여기서, R1 및 R2는 독립적으로 수소 또는 C1 내지 C4의 선형, 분지형, 또는 고리형 탄소 사슬들로부터 선택되는 것이 바람직하다. 일부 실시예들에 있어서, 상기 알킬아미노실란은 비스(디에틸아미노)실란(bis(diethylamino)silane, BDEAS), 비스(디메틸아미노)실란(bis(dimethylamino)silane, BDMAS), 또는 트리스(디에틸아미노)실란(tris(diethylamino)silane, TriDMAS)이다.
일부 실시예들에 있어서, 상기 Si 전구체는 일반식 (SiH3)xR의 실란(실란, 디실란, 트리실란, 트리실릴아민)이다. 여기서 x는 1 내지 4일 수 있으며, R은 H, N, O, CH2, CH2??CH2, SiH2, SiH, Si를 포함하는 군으로부터 선택되고, ALD 상황에서는 촉매의 사용도 가능하다. 상기 실란은 탄소가 없는 실란인 것이 바람직하다. 상기 실란은 트리실릴아민인 것이 가장 바람직하다. 일부 실시예들에 있어서, 극히 소량의 (<1%) 촉매가 반응기 내부로 도입될 수 있다. 위에서 설명된 실란류는 실리콘 웨이퍼에 흡착되는 것이 쉽지만은 않기 때문에 ALD 조건들에서 사용되기가 어려울 수 있다. 일부 실시예들에 있어서, 촉매를 사용하면 기판의 제 1 표면 위에 또는 하지막 위에 실란을 흡착시키는 데 도움이 될 수 있다. 일부 실시예들에 있어서, 상기 촉매는 실란과 동시에 도입된다. 일부 실시예들에 있어서, 상기 촉매는 아민 또는 금속-함유 분자이며, 바람직하게는 앞 전이금속(early transition metal)을 포함하는 분자이고, 가장 바람직하게는 Hf(NEt2)4와 같은 하프늄-함유 분자이다. 일부 실시예들에 있어서, 상기 촉매는 탄소가 없을 수 있다.
일부 실시예들에 있어서, 상기 SiO2는 미국특허공개 제2007/0275166호에 설명된 바와 같은 전구체들을 이용하여 퇴적된다. 상기 미국특허공개는 여기에 인용되어 통합된다.
일부 실시예들에 있어서, 상기 선택적 퇴적 공정에서 사용되는 Si 전구체는 유기 아미노실란 전구체이며, 다음과 같이 화학식 A로 대표된다:
Figure 112021090032708-pat00003
(A)
이러한 종류의 화합물들에 있어서, R 및 R1은 수소, C2-C10의 알킬기, 선형, 분지형, 또는 고리형의, 포화되거나 포화되지 않은, 방향족, 알킬아미노기, 헤테로고리, 실릴기들로 구성되는 군으로부터 각각 독립적으로 선택되고, 치환체를 갖거나 갖지 않을 수 있으며, 또한 R 및 R1은 서로 결합되어 고리모양의 기를 이룰 수 있다. 대표적인 치환체들은 알킬기들이고, 특히 에틸, 프로필 및 부틸과 같은 C1-4 알킬기들, 및 그들의 이성질체, 시클로프로필, 시클로펜틸, 및 시클로헥실과 같은 사이클릭 기들이다. 이러한 종류의 바람직한 화합물들의 일부를 예시하면 다음 화학실들로 나타내어진다:
Figure 112021090032708-pat00004
여기서, n은 1 내지 6이고, 4 또는 5인 것이 바람직하다.
일부 실시예들에 있어서, 상기 실리콘 전구체는 화학식 (B)에 나타내어진 바와 같이 하나의 질소 원자에 연결된 두 개의 실릴기들을 갖는 유기아미노실란이다.
Figure 112021090032708-pat00005
(B)
화학식 (A)의 R 기들에서와 같이, R은 C2-C10의 알킬기, 선형, 분지형, 또는 고리형의, 포화되거나 포화되지 않은, 방향족, 알킬아미노기, 및 헤테로고리로 구성되는 군으로부터 선택된다. 구체적인 R 기들은 메틸, 에틸, 프로필, 알릴, 부틸, 디메틸아민기, 및 시클로프로필, 시클로펜틸, 및 시클로헥실과 같은 사이클릭 기들을 포함한다. 예시적인 화합물들은 다음 화학식으로 나타내어진다:
Figure 112021090032708-pat00006
위의 유기 아미노실란들이 기판의 제 1 표면 위에 실리콘 산화물 막들을 제조하기 위하여 적합하지만, 화학식 A의 유기 아미노실란들이 더 바람직함이 발견되었다.
일부 실시예들에 있어서, 상기 실리콘 전구체는 ALD 타입 퇴적 공정 동안 형성될 수 있다. 일부 실시예들에 있어서, 기상의 새로운 실리콘 전구체가 형성된 후, 상기 기판의 제 1 표면 위에 흡착될 수 있다. 이것은 실리콘 전구체들의 인 시투 형성으로 지칭될 수 있다. 일부 실시예들에 있어서, 인 시투 형성된 실리콘 전구체들은 실란 화합물일 수 있으며, 예를 들면, SiL1L2L3L4의 화학식을 가질 수 있다. 여기서 L1은 알킬 아미노기와 같은 아미노기를 나타내고, L2 내지 L4는 알킬 또는 알콕시기를 나타낸다. 이러한 실란 화합물은, 예를 들면, 기판의 제 1 표면이 헥사-알킬디실라잔과 350℃ 내지 450℃의 온도 및 0.1 mbar 내지 50 mbar의 압력에서 접촉할 때 형성된다.
제 2 반응물들
일부 실시예들에 있어서, GeO2의 선택적 퇴적 공정에서 사용하기 위하여 앞서 개시된 바와 같은 제 2 반응물들은 위에서 언급된 Si 전구체들과 사용될 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 오존이다. 일부 실시예들에 있어서, 상기 제 2 반응물은 분자 산소이다. 일부 실시예들에 있어서, 상기 제 2 반응물은 다음 화합물들 중 하나 이상이다:
N2O, NO 및 NO2와 같은 질소의 산화물들;
예를 들면, 이산화염소(ClO2) 및 과염소산(HClO4)와 같은 산할로겐화 화합물들;
예를 들면, 과산화벤조산(perbenzoic acid) 및 과초산(peracetic acid)와 같은 과산류(peracids);
메탄올 및 에탄올과 같은 알코올류;
예를 들면 산소 라디칼(O) 또는 히드록시 라디칼(OH)과 같은 다양한 라디칼들; 및
과산화수소(H2O2).
일부 실시예들에 있어서, 상기 산소 전구체는 플라스마가 아니다. 일부 실시예들에 있어서, 상기 산소 전구체는 산소 라디칼들을 포함한다. 위에서 논의된 바와 같이, 일부 실시예들에 있어서, 여기에 개시된 선택적 퇴적 공정들은, 직접 플라스마(direct plasma)가 기판의 제 2 표면에 해를 입힐 수 있기 때문에, 직접 플라스마와 같은 플라스마를 사용하지 않는다. 그러나 일부 경우들에 있어서, 예를 들면 기판 표면을 파괴하거나 열화시키지 않고 플라스마에 의하여 생성된 산소 라디칼과 같이 활성이 과도하지 않은 플라스마에 의하여 생성된 라디칼류는 선택적 퇴적 공정에서 반응물로서 사용할 수 있다.
일부 실시예들에 따르면, 적어도 하나의 화합물 또는 적어도 하나의 산소 함유 가스는 상기 표면을 다른 화합물 및/또는 상기 적어도 하나의 산소 함유 가스와 접촉시키기 전에 상기 기판의 제 1 표면 위에 있다.
일부 실시예들에 있어서, 상기 기판 표면을 각 화합물 및/또는 산소 함유 가스와 접촉시키는 단계에 후속하여, 예를 들면 불활성 가스와 같은 퍼지 가스를 주입함으로써 상기 화합물 및/또는 산소 함유 가스를 상기 기판 표면으로부터 제거하는 단계가 이어진다. 반면 일부 실시예들에 있어서, 상기 기판의 표면을 화합물 및/또는 가스와 접촉시키는 단계는 원하는 두께의 SiO2 막이 얻어질 때까지 반복된다. 반응 챔버 내부의 압력은 100 Torr 이하인 것이 바람직하고, 2 Torr 이하인 것이 더욱 바람직하다. 선택적으로 퇴적된 SiO2 막 내의 H 함량은 8ㅧ1021 원자/cc 미만이다.
일부 실시예들에 있어서, 오존 함유 가스는 산소와 오존을 포함하고 O3/O2 비율이 30 부피% 이하인, 바람직하게는 5 부피% 내지 20 부피%인 가스 혼합물이다. 상기 산소/오존 가스 혼합물은 불활성 가스, 바람직하게는 질소로 희석되는 것이 바람직하다.
유전체 위에서의 TiO 2 의 선택적 퇴적
일부 실시예들에 있어서, 기판의 유전체인 제 1 표면 위에 TiO2가 ALD 타입 공정에 의하여 동일한 기판의 상이한 표면인 제 2 표면에 대하여 상대적으로 퇴적될 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 도전성 표면, 금속 표면, 또는 H-종결된 표면일 수 있다. 일부 실시예들에 있어서, TiO2는 여기에 인용되어 통합되는 Viljami Pore, 학위논문 "Atomic Layer Deposition and Photocatalytic Properties of Titanium Dioxide Thin Films", 2010, 제29면(https://helda.helsinki.fi/bitstream/handle/10138/21126/atomicla.pdf?sequence=1에서 입수 가능)에 설명된 바와 같은 방법에 의하여 퇴적된다. 일부 실시예들에 있어서, 상기 유전체 표면은 친수성의 OH-종결된 표면이다. 예를 들면, 상기 유전체 표면은 SiO2 표면, 바람직하게는 OH-기들을 포함하는 로우-k 표면, Si-H 표면, 또는 GeO2 표면일 수 있다. 상기 제 2 표면은, 예를 들면, Cu, Ru, Al, Ni, Co, 또는 다른 귀금속 표면일 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 Cu, Ni, Co, Al, W, Ru 및 다른 귀금속들로부터 개별적으로 선택된 금속을 포함한다. 일부 실시예들에 있어서, 상기 제 2 표면은 Cu 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Ni 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Co 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Al 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Ru 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 귀금속을 포함한다.
위에서 논의된 바와 같이, 일부 실시예들에 있어서, 유전체 표면은 상기 표면 위의 OH-기들의 양을 증가시키기 위하여 처리될 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 산화물일 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 산화된 금속 표면일 수 있다.
일부 실시예들에 있어서, 상기 도전성 표면은 CuOx, NiOx, CoOx, 또는 RuOx와 같은 산화물 또는 다른 귀금속 산화물을 포함한다. 일부 실시예들에 있어서, 도전성 표면은 처리된 이후에는 더 이상 도전성이 아닐 수 있다. 예를 들면, 도전성 표면은 선택적 퇴적 공정의 시작 시에 또는 그 이전에 예를 들면 산화 등에 의하여 처리될 수 있으며, 처리된 상기 표면은 더 이상 도전성이 아닐 수 있다.
일부 실시예들에 있어서, 금속인 상기 제 2 표면은 산소 소스로 의도적으로 산화된다. 일부 실시예들에 있어서, 금속인 상기 제 2 표면은 주위 공기 내에서 산화되고 및/또는 자연 산화물을 포함한다. 일부 실시예들에 있어서, 금속인 상기 제 2 표면은 퇴적된 산화물을 포함한다.
이전에 논의된 바와 같이, 일부 실시예들에 있어서, 상기 유전체 표면 위에 TiO2를 상기 금속 표면에 대하여 상대적으로 선택적 퇴적시키는 것을 용이하게 하기 위하여 상기 금속 표면은 퇴적 이전에 산화된다. 일부 실시예들에 있어서, 선택적 퇴적 공정에서 제 2 반응물이 상기 금속 표면을 산화시키기 위한 구실을 할 수 있다. 따라서, 일부 실시예들에 있어서, 상기 제 2 반응물은 최초의 ALD 사이클에서 우선 제공되거나 또는 상기 첫번째 ALD 사이클의 이전에 제공된다. 일부 실시예들에 있어서, 상기 금속 표면은 상기 선택적 퇴적 공정을 시작하기 전에 산화된다.
일부 실시예들에 있어서, 상기 유전체 표면 위에 TiO2를 금속 표면에 대하여 상대적으로 선택적 퇴적시키는 것을 용이하게 하기 위하여 상기 금속 표면은 퇴적 이전에 패시베이션된다. 예를 들면, 상기 금속 표면에는 알킬실릴기들이 제공될 수 있다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 TiO2 퇴적은 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, 상기 제 1 표면 위에서만 TiO2 퇴적이 일어나며, 상기 제 2 표면 위에는 TiO2 퇴적이 일어나지 않는다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 TiO2 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용들에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용들에 있어서는 충분히 선택적일 수 있다.
일부 실시예들에 있어서, 예를 들면, Ti(OMe)4를 티타늄 반응물로, 그리고 물을 제 2 반응물로 사용하여 TiO2가 ALD 타입 공정에 의하여 퇴적된다. 일부 실시예들에 있어서, 예를 들면, TiF4를 티타늄 반응물로, 그리고 물을 제 2 반응물로 사용하여 TiO2가 ALD 타입 공정에 의하여 퇴적된다. 일부 실시예들에 있어서, 예를 들면, TiCl4를 티타늄 반응물로, 그리고 물을 제 2 반응물로 사용하여 TiO2가 ALD 타입 공정에 의하여 퇴적된다. ALD에 의하여 TiO2를 퇴적시키는 방법은 당 기술분야에 알려져 있으며, 유전체 표면 위에 TiO2를 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적시키기 위하여 변용될 수 있다.
일부 실시예들에 있어서, 기판의 제 1 표면 위에 TiO2가 ALD 타입 공정에 의하여 선택적으로 퇴적된다. 일부 실시예들에 있어서, 제 1 표면 및 그와 상이한 제 2 표면을 갖는 기판이 제공되며, 유전체가, 여기서는 TiO2가, 여러 회의 사이클들을 포함하는 ALD-타입 퇴적 공정에 의하여 기판의 제 1 표면 위에 선택적으로 퇴적된다. 각 사이클은 다음 단계들을 포함한다:
기판의 상기 표면을 기화된 제 1 전구체, 예를 들면, Ti 알킬아민 전구체와 접촉시키는 단계;
과량의 제 1 전구체 및, 만일 있다면, 반응 부산물들을 상기 표면으로부터 제거하는 단계;
상기 기판의 표면을 기화된 제 2 반응물, 예를 들면 H2O 또는 오존과 접촉시키는 단계;
상기 기판의 제 1 표면 위의 상기 제 1 전구체층과 상기 제 2 반응물 사이의 반응에서 생성된 임의의 기체인 부산물들 및 과량의 제 2 반응물을 상기 표면으로부터 제거하는 단계; 및
상기 기판의 제 1 표면 위에 유전체 박막, 여기서는 TiO2 박막이 원하는 두께로 형성될 때까지 상기 접촉시키는 단계 및 상기 제거하는 단계를 반복하는 단계.
위에서 언급된 바와 같이, 일부 실시예들에 있어서, 상기 기판의 하나 이상의 표면들은 퇴적 공정의 시작에 앞서 하나의 표면 위에서의 퇴적을 상이한 하나 이상의 표면들에 대하여 상대적으로 향상시키기 위하여 처리될 수 있다.
적합한 티타늄 반응물은 통상의 기술자에 의하여 선택될 수 있다. 일부 실시예들에 있어서, 상기 티타늄 전구체는 티타늄 할로겐화물을 포함할 수 있다. 일부 실시예들에 있어서, 상기 티타늄 전구체는 TiCl4, TiI4, 및 TiF4 중 적어도 하나일 수 있다. 일부 실시예들에 있어서, 상기 티타늄 전구체는 티타늄 알콕사이드를 포함할 수 있다. 일부 실시예들에 있어서, 상기 티타늄 전구체는 Ti(OME)4, Ti(OEt)4, 및 Ti(OiPr)4 중 적어도 하나일 수 있다. 일부 실시예들에 있어서, 상기 티타늄 전구체는 티타늄 알킬아미드를 포함할 수 있다. 일부 실시예들에 있어서, 상기 티타늄 전구체는 티타늄 알킬 아민 화합물을 포함할 수 있다. 일부 실시예들에 있어서, 상기 티타늄 전구체는 Ti(NMe2)4, Ti(NEt2)4, 및 Ti(NMeEt)4 중 적어도 하나일 수 있다. 일부 실시예들에 있어서, 상기 티타늄 전구체는 이종리간드(heteroleptic) 전구체를 포함할 수 있다. 일부 실시예들에 있어서, 상기 티타늄 전구체는 Ti(OiPr)2(dmae)2, Ti(Me5Cp)(OMe)3, Ti(MeCp)(OMe)3, TiCp(NMe2)3, TiMe5Cp(NMe2)3, 및 Ti(OiPr)2(thd)2 중 적어도 하나일 수 있다. 일부 실시예들에 있어서, 상기 티타늄 전구체는 티타늄 알킬아민을 포함할 수 있다.
일부 실시예들에 있어서, 상기 제 2 반응물은 산소 함유 반응물을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 산소 또는 산소와 다른 기체의 혼합물을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 이원자 산소를, 또는 이원자 산소와 다른 기체의 혼합물을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 오존을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 오존과 다른 가스, 예를 들면 캐리어 가스와의 혼합물을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 H2O2, H2O 및/또는 유기 과산화물과 같은 산소 함유 화합물을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 물을 포함한다. 일부 실시예들에 있어서, 상기 제 2 반응물은 물 플라스마를 포함한다.
일부 실시예들에 있어서, 제 2 반응물은 반응 챔버 내에서, 예를 들면 산소 함유 화합물들을 분해함으로써 산소를 형성할 수 있다. 일부 실시예들에 있어서, 제 2 반응물은 촉매적으로 형성된 산소를 포함할 수 있다. 일부 실시예들에 있어서, 산소를 포함하는 제 2 반응물의 촉매적 형성은 촉매 표면, 예를 들면 백금 또는 팔라듐 위로 H2O2의 기화된 수용액을 안내하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 촉매 표면은 반응 챔버 내부에 위치될 수 있다. 일부 실시예들에 있어서, 촉매 표면은 상기 반응 챔버 내부에 위치되지 않을 수 있다.
일부 실시예들에 있어서, 상기 제 2 반응물은 자유-산소 또는 오존, 또는 분자 산소를 포함한다. 일부 실시예들에 있어서, 상기 제 2 반응물은 순수한 분자성 이원자 산소이지만, 산소와 비활성 가스, 예를 들면, 질소 또는 아르곤의 혼합물일 수도 있다. 일부 실시예들에 있어서, 상기 기판의 표면은 오존과, 또는 오존 및 다른 가스의 혼합물과 접촉된다. 다른 실시예들에 있어서, 예를 들면 산소 함유 가스가 아크(arc)를 통과하도록 함으로써 오존이 반응기 내부에 형성된다. 다른 실시예들에 있어서, 산소 함유 플라스마가 반응기 내에 형성된다. 일부 실시예들에 있어서, 플라스마는 기판의 상부 위에 또는 기판에 가까이 근접하여 인 시투로 형성될 수 있다. 다른 실시예들에 있어서, 플라스마는 반응 챔버의 업스트림인 원격 플라스마 생성기 내에서 생성되고, 플라스마 생성물은 상기 기판과 접촉하도록 상기 반응 챔버로 보내어진다. 통상의 기술자에 의하여 이해되는 바와 같이 원격 플라스마의 경우에 있어서, 기판까지의 경로는 상기 기판에 도달하기 전에 전기적으로 중성인 종들을 최대화하고 이온 생존을 최소화하도록 최적화될 수 있다.
일부 실시예들에 있어서, 상기 제 2 전구체는 플라스마가 아니다. 일부 실시예들에 있어서, 상기 제 2 전구체는 산소 라디칼들을 포함한다. 위에서 논의된 바와 같이, 일부 실시예들에 있어서, 여기에 개시된 선택적 퇴적 공정들은, 직접 플라스마(direct plasma)가 기판의 제 2 표면에 해를 입힐 수 있기 때문에, 직접 플라스마와 같은 플라스마를 사용하지 않는다. 그러나 일부 경우들에 있어서, 예를 들면 기판 표면을 파괴하거나 열화시키지 않고 플라스마에 의하여 생성된 산소 라디칼과 같이 활성이 과도하지 않은 플라스마에 의하여 생성된 라디칼류는 선택적 퇴적 공정에서 반응물로서 사용할 수 있다.
ALD 타입 공정들에 의하여 TiO2를 퇴적시키는 방법들은 당 기술분야에 알려져 있으며, TiO2를 선택적으로 퇴적시키기 위하여 변용될 수 있다.
일부 실시예들에 있어서, OH 종결된 표면 위에서 Ti 알콕사이드가 Ti 알콕사이드 전구체로부터 분해되어 TiO2를 직접 형성한다.
위에서 언급된 바와 같이, 여기에 설명된 공정들은 TiO2를 선택적으로 퇴적시키기 위하여 ALD 타입 퇴적 기술을 사용하는 것을 가능하게 한다. 상기 ALD 타입 퇴적 공정은 대부분은 (상기 제 1 기판 표면에서의 제어된 반응들에 기초하여) 표면-제어되고, 따라서 비교적 저온에서도 높은 콘포말 성질(conformality)을 제공하는 장점을 갖는다. 그러나, 일부 실시예들에 있어서, 상기 티타늄 전구체는 적어도 부분적으로 분해될 수 있다. 따라서, 일부 실시예들에 있어서, 여기에 설명된 상기 ALD 타입 공정은 전구체들의 분해가 관찰되지 않는 순수한 ALD 공정이다. 다른 실시예들에 있어서, 전구체의 분해가 일어나지 않고 순수한 ALD 공정이 달성되도록 반응 온도와 같은 반응 조건들이 선택된다.
일부 실시예들에 있어서, 기판의 제 1 표면 위에 TiO2가 기상 퇴적 공정으로 선택적 퇴적된다. 일부 실시예들에 있어서, 표면 -OH기들을 포함하는 제 1 표면 및 상이한 표면인 제 2 표면을 포함하는 기판이 제공된다. 위에서 논의된 바와 같이, 일부 실시예들에 있어서, 상기 퇴적 공정을 시작하기 전에 하나의 표면 위에서 상이한 하나 이상의 표면에 대한 상대적인 선택적 퇴적을 향상시키기 위하여, 예를 들면, 상기 제 1 유전체 표면 위에 -OH기들의 양을 증가시킴으로써 상기 기판의 하나 이상의 표면들이 처리될 수 있다. 일부 실시예들에 있어서, 기판의 제 1 표면 위에 다음 단계들을 포함하는 기상 퇴적 공정에 의하여 TiO2가 선택적으로 퇴적된다:
OH, NHx, 또는 SHx 종결들을 포함하는 기판의 표면을 기화된 제 1 전구체, 예를 들면 티타늄 알콕사이드와 접촉시키는 단계; 및
TiO2를 형성하기 위하여 상기 기판 위에서 티타늄 알콕사이드를 분해시키는 단계.
Ru 금속과 유전체의 이중 선택적 성장
도 3a 및 도 3b를 참조하면, 일부 실시예들에 있어서, 금속인 제 1 표면(340, 341) 및 유전체인 제 2 표면(330, 331)을 포함하는 기판 위에서 Ru 막과 유전체 막의 이중 선택적 퇴적이 달성될 수 있다(300, 301). 일부 실시예들에 있어서, Ru은 본 명세서의 위에서 설명된 바와 같이 선택적 퇴적 공정(310, 311)에 의하여 금속인 상기 제 1 표면(340, 341) 위에 선택적 퇴적될 수 있다. 일부 실시예들에 있어서, 상기 이중 선택적 퇴적 공정에서 사용되는 상기 Ru 전구체는 Ru(EtCp)2와 같은 Cp-계 루테늄 전구체인 한편, 상기 제 2 반응물은 O2 및 O3 중 적어도 하나를 포함한다.
일부 실시예들에 있어서, 기판의 금속인 제 1 표면(340, 341) 위에 Ru가 선택적으로 퇴적된다. 일부 실시예들에 있어서, 금속인 상기 제 1 표면(340, 341)은 CuO 표면을 포함한다. 일부 실시예들에 있어서, Ru을 선택적으로 퇴적시키기 전에 상기 CuO 표면은 본 명세서의 위에서 설명된 방법들에 따라 환원제에 노출됨으로써 Cu로 환원된다. 일부 실시예들에 있어서, 상기 제 1 표면(340, 341)은 W 표면을 포함할 수 있다.
일부 실시예들에 있어서, 상기 제 1 표면(340, 341)은 Cu 표면으로 환원되게 되는 CuO 표면을 포함할 수 있으며, Ru을 선택적으로 퇴적시키기 전에 얇은 W층이 상기 Cu 표면 위에 선택적으로 퇴적된다. 일부 실시예들에 있어서, 상기 제 1 표면(340, 341)은 Ru을 선택적으로 퇴적시키기 전에 얇은 W층이 선택적으로 퇴적될 Cu 표면을 포함할 수 있다. 일부 실시예들에 있어서, Ru의 선택적 퇴적(310, 311)을 시작하기 전에 위에서 설명된 방법에 따라 제 1 표면(340, 341) 위에 얇은 W층이 선택적으로 퇴적된다. 일부 실시예들에 있어서, 디실란이 제 1 전구체이고 WF6가 W 전구체인 위에서 설명된 선택적 퇴적 공정에 따라 금속인 제 1 표면(340, 341) 위에 얇은 W층이 선택적으로 퇴적된다. 일부 실시예들에 있어서, 기판의 유전체인 제 2 표면(330, 331)은 상기 기판의 제 1 표면 위에 얇은 W 층이 선택적으로 퇴적되기에 앞서 비활성화된다. 일부 실시예들에 있어서, 상기 제 2 표면(330, 331)은 상기 제 2 표면으로부터 OH기들을 제거함으로써 비활성화된다. 일부 실시예들에 있어서, 상기 제 2 표면(330, 331)은 상기 기판을 실릴화 화합물, 예를 들면 Me3SiNMe2에 노출시킴으로써 비활성화된다.
일부 실시예들에 있어서, 상기 기판의 제 2 표면(330, 331)은 본 명세서에서 앞서 설명된 바와 같이 유전체 표면을 포함할 수 있다. 일부 실시예들에 있어서, 상기 기판의 제 2 표면(330, 331)은 예를 들면 SiO2, MgO, GeO2, 또는 Al2O3 표면을 포함할 수 있다. 일부 실시예들에 있어서, 상기 기판의 제 2 표면(330, 331)은 OH, NHx, 또는 SHx 종결들을 포함할 수 있다.
일부 실시예들에 있어서, Ru의 선택적 퇴적(310, 311)은 상기 제 1 표면 위에 원하는 두께의 Ru이 얻어질 때까지 계속된다. 일부 실시예들에 있어서, Ru의 선택적 퇴적(310, 311)은 원하는 횟수의 퇴적 사이클들이 완료될 때까지 계속된다. 예를 들면, 일부 실시예들에 있어서, Ru의 선택적 퇴적을 위한 퇴적 사이클들이 약 1회 내지 50회 수행된다.
일부 실시예들에 있어서, 기판의 제 1 표면(340, 341) 위에 동일한 기판의 유전체인 제 2 표면(330, 331)에 대하여 상대적으로 Ru가 선택적 퇴적된 후, 임의로 상기 Ru 표면은 유전체의 퇴적에 대항하여 본 명세서에서 앞서 설명된 임의의 방법들에 의하여 패시베이션될 수 있다. 또한, 일부 실시예들에 있어서, 상기 제 2 표면(330, 331)에 임의로 제공된 패시베이션 처리는 임의로 제거될 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면(330, 331)은 본 명세서에서 앞서 설명된 방법들에 따라 임의로 활성화될 수 있다.
임의의 선택적인 표면 처리가 제공된 후에, 본 명세서에서 앞서 설명된 방법들에 따라 상기 기판의 제 2 표면(330, 331) 위에는 유전체가, 동일한 상기 기판의 선택적 퇴적된 Ru 표면에 대하여 상대적으로 선택적 퇴적된다(320, 321). 도 3a를 참조하면, 그리고 일부 실시예들에 있어서, 선택적으로 퇴적된 유전체는 GeO2를 포함할 수 있다. 도 3b를 참조하면, 그리고 일부 실시예들에 있어서, 선택적으로 퇴적된 유전체는 SiO2를 포함할 수 있다. 일부 실시예들에 있어서, GeO2는 위에서 설명된 바와 같은 선택적 퇴적 공정을 이용하여 기판의 제 2 표면(330) 위에 선택적 퇴적된다(320). 상기 선택적 퇴적 공정에서 Ge 전구체는 Ge(NMe2)4를 포함하고, 상기 제 2 반응물은 H2O를 포함한다. 일부 실시예들에 있어서, SiO2는 위에서 설명된 바와 같은 선택적 퇴적 공정을 이용하여 기판의 제 2 표면(331) 위에 선택적 퇴적된다(321). 상기 선택적 퇴적 공정에서 Si 전구체는 H2Si(NEt2)2를 포함하고, 상기 제 2 반응물은 O3을 포함한다.
일부 실시예들에 있어서, 유전체의 선택적 퇴적(320, 321)은 상기 제 2 표면 위에 원하는 두께의 유전체 물질이 얻어질 때까지 계속된다. 일부 실시예들에 있어서, 유전체 물질의 선택적 퇴적(320, 321)은 원하는 횟수의 퇴적 사이클들이 완료될 때까지 계속된다. 예를 들면, 일부 실시예들에 있어서, 유전체 물질의 선택적 퇴적을 위한 퇴적 사이클들이 약 1회 내지 약 50회 수행된다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면(330, 331)에 대한 상기 기판의 상기 제 1 표면(340, 341)에서의 상대적인 퇴적, 및/또는 상기 기판의 상기 제 1 표면(340, 341)에 대한 상기 기판의 상기 제 2 표면(330, 331)에서의 상대적인 퇴적은 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, 상기 제 1 표면 위에서만 퇴적이 일어나며, 상기 제 2 표면 위에는 퇴적이 일어나지 않는다. 또는 상기 제 2 표면 위에서만 퇴적이 일어나며, 상기 제 1 표면 위에는 퇴적이 일어나지 않는다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면(330, 331)에 대한 상기 기판의 상기 제 1 표면(340, 341)에서의 상대적인 퇴적, 및/또는 상기 기판의 상기 제 1 표면(340, 341)에 대한 상기 기판의 상기 제 2 표면(330, 331)에서의 상대적인 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다.
일부 실시예들에 있어서, 상기 기판의 제 2 표면(330, 331) 위에 유전체를 동일한 상기 기판의 선택적 퇴적된 Ru 표면에 대하여 상대적으로 선택적 퇴적시킨 후(320, 321), 상기 선택적 퇴적된 Ru 표면 위에 존재할 수 있는 임의의 패시베이션층 또는 표면 처리는 본 명세서에서 앞서 설명된 임의의 방법들에 따라 임의로 제거될 수 있다. 일부 실시예들에 있어서, 상기 제 1 표면의 패시베이션은 본 명세서에서 앞서 설명된 바와 같이 각 유전체 퇴적 사이클 동안에 수행될 수 있다. 예를 들면, 상기 제 1 표면을 패시베이션하기 위하여 알킬아민 패시베이션 화합물 또는 HCOOH 또는 이들 둘 모두는 유전체, 예를 들면 GeO2의 퇴적 사이클 동안 상기 기판과 접촉할 수 있다.
비록 위에서 예시된 이중 선택적 퇴적 공정들(300, 301)은 유전체의 선택적 퇴적 이전에 Ru가 선택적 퇴적되는 것으로 진행되었지만, 통상의 기술자는 이중 선택적 퇴적 공정이 제 2 물질의 선택적 퇴적 이전에 Ru 또는 유전체의 선택적 퇴적으로 시작할 수 있음을 이해할 것이다.
실시예 1
특히 SiO2 및 MgO, GeO2, Al2O3와 같은 다른 유전체 표면들 위에서 Ru 전구체로서 Ru(EtCp)2를 사용하고 제 2 반응물로서 O2/O3를 사용하는 공정들에 대하여, Ru 퇴적이 없도록 하는 조건들이 관찰되었다. 또한 긴 숙성(incubation) 기간 없이 Ru 전구체로서 Ru(EtCp)2를 사용하고 제 2 반응물로서 O2/O3를 사용하는 ALD를 시도하였을 때, Ru 퇴적은 일어나지 않는 경향이 있다. 즉 유전체 표면 위에 아무런 성장 없이 많은 ALD 사이클들이 일어날 수 있다. 일반적으로, Ru(EtCp)2는 Si-OH 기들과 반응하지 않는 것으로 관찰되었다. 또한, 여기에 개시된 다른 Ru 전구체들은 제 1 사이클 동안 Ru가 유전체 표면에 이르게 할 수 있으나, 그런 후에도 긴 성장 숙성(incubation) 기간을 가진 후에야 임의의 Ru 막의 성장이 시작할 것이다. 특정한 임의의 한 이론에 한정되는 것은 아니며, Ru 박막의 성장은 Ru 입자들이 O2를 해리시키기에 충분한 크기를 가질 것을 요구하며 이는 유전체 표면에서는 일어나지 않는 것으로 생각된다.
기판의 제 1 표면 위에 Ru이 동일한 기판의 유전체인 제 2 표면에 대하여 상대적으로 선택적 퇴적될 때, 유전체 물질은 동일한 기판의 퇴적된 Ru 표면에 대하여 상기 기판의 유전체인 제 2 표면 위로 상대적으로 선택적 퇴적되는 것이 바람직하다. 유전체 퇴적과 관련한 선택도를 위하여 상이한 두 Ru 표면의 종결들, 즉 Cp(시클로펜타디에닐) 리간드 종결 및 산소 종결이 테스트되었다. 본 명세서에서 앞서 개시된 바와 같은 알킬아미드 타입의 금속 전구체들에 있어서, 산소 종결되고 선택적 퇴적된 Ru 표면이 본 명세서에서 앞서 개시된 바와 같은 선택적 유전체 퇴적에 대하여 가장 비활성적(de-active)임이 관찰되었다. 아래의 표 1 및 표 2는 LEIS 분석 결과들을 나타낸다. GeO2 퇴적을 5 사이클 수행한 후의 표면 위의 Ge의 분율은 여전히 매우 낮다.
Figure 112021090032708-pat00007
Figure 112021090032708-pat00008
특정한 임의의 한 이론에 한정되는 것은 아니며, Cp 표면 종결들은 상호작용할 알킬아미드 타입 전구체들을 위하여 배위되지 않은 Ru 사이트들을 남기는 것이 가능하다. 이는 일부 실시예들에 있어서, 산화물의 선택적 퇴적에 앞서 알킬아민 펄스에 의하여 퇴적될 수 있다. 일부 실시예들에 있어서, 비배위된 Ru 사이트들은 유전체의 각 선택적 퇴적 사이클 동안 상기 기판을 패시베이션제와 접촉시킴으로써 패시베이션될 수 있다. 예를 들면 알킬아민을 포함하는 화합물은 GeO2 또는 SiO2의 각 선택적 퇴적 사이클 동안 상기 기판과 접촉할 수 있다.
다시, 임의의 한 이론에 한정되는 것은 아니며, Ru의 선택적 퇴적 공정에서 사용된 산소는 Cu 표면을 산화시킬 수 있음이 가능하다. 나아가, 일부 실시예들에 있어서, Ru의 선택적 퇴적 온도는 비교적 높을 수 있고, 또한 상기 두 금속들은 상호 혼합되어, Ru 막의 성장을 정지시킬 수 있다. 따라서, 변경된 공정 흐름에서, 얇은 W 층은 Ru의 선택적 퇴적을 시작하기 전에 WF6와 디실란으로부터 선택적으로 퇴적되는 것이 바람직할 수 있다. 그러나, Cu 표면 위에서 W를 유전체 표면에 대하여 상대적으로 선택적 퇴적하는 것을 달성하기 위하여, 상기 유전체 표면은 Me3SiNMe2와 같은 실릴화 화합물로 OH기들을 제거함으로써 비활성화되는 것이 바람직하다.
Cu 또는 CuO와 유전체의 이중 선택적 성장
도 4를 참조하면, 그리고 일부 실시예들에 있어서, 금속인 제 1 표면(440) 및 상이한 표면인 제 2 표면(430)을 포함하는 기판 위에서 Cu와 유전체의 이중 선택적 퇴적(400)이 달성될 수 있다. 일부 실시예들에 있어서, Cu은 본 명세서의 위에서 설명된 바와 같이 선택적 퇴적 공정에 의하여 금속인 상기 제 1 표면(440) 위에 선택적으로 퇴적될 수 있다(420). 일부 실시예들에 있어서, 상기 이중 선택적 퇴적 공정(420)에서 사용되는 상기 Cu 전구체는 Cu 아미디네이트이다.
일부 실시예들에 있어서, 금속인 상기 제 1 표면(440)은 CuO 표면을 포함한다. 일부 실시예들에 있어서, Cu을 선택적으로 퇴적시키기(420) 전에 상기 CuO 표면은 본 명세서의 위에서 설명된 방법들에 따라 환원제(450)에 노출됨으로써 Cu 표면으로 환원된다. 일부 실시예들에 있어서, 상기 기판의 제 2 표면(430)은 본 명세서에서 앞서 설명된 바와 같은 유전체 표면을 포함할 수 있다. 일부 실시예들에 있어서, 상기 기판의 제 2 표면(430)은 예를 들면 SiO2, MgO, GeO2, 또는 Al2O3 표면을 포함할 수 있다. 일부 실시예들에 있어서, 상기 기판의 제 2 표면(430)은 OH, NHx, 또는 SHx 종결들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 1 표면 또는 제 2 표면은 선택적 퇴적을 개시하기 전에 선택적 퇴적을 향상시키기 위하여 본 명세서에서 앞서 설명된 방법들에 따라 임의로 처리될 수 있다.
일부 실시예들에 있어서, 본 명세서에서 앞서 설명된 방법들에 따라 상기 기판의 제 2 표면(430) 위에는 유전체가, 동일한 상기 기판의 제 1 표면(440)에 대하여 상대적으로 선택적 퇴적된다(410). 일부 실시예들에 있어서, 선택적으로 퇴적된 상기 유전체는 도 4에 도시된 바와 같이 GeO2를 포함할 수 있다. 일부 실시예들에 있어서, 선택적으로 퇴적된 상기 유전체는 SiO2를 포함할 수 있다. 일부 실시예들에 있어서, GeO2는 위에서 설명된 바와 같은 선택적 퇴적 공정을 이용하여 기판의 제 2 표면(430) 위에 선택적 퇴적된다(410). 상기 선택적 퇴적 공정에서 Ge 전구체는 Ge(NMe2)4를 포함하고, 상기 제 2 반응물은 H2O를 포함한다.
일부 실시예들에 있어서, 상기 유전체의 선택적 퇴적(410)은 상기 제 2 표면(430) 위에 원하는 두께의 유전체 물질이 얻어질 때까지 계속된다. 일부 실시예들에 있어서, 유전체 물질의 선택적 퇴적(410)은 원하는 횟수의 퇴적 사이클들이 완료될 때까지 계속된다. 예를 들면, 일부 실시예들에 있어서, 상기 유전체 물질의 선택적 퇴적을 위한 퇴적 사이클들이 약 1회 내지 약 50회까지 수행된다.
일부 실시예들에 있어서, 상기 기판의 제 2 표면 위에 유전체를 상대적으로 선택적 퇴적시킨(410) 후, 선택적 퇴적을 향상시키기 위하여 상기 기판은 본 명세서에서 앞서 설명된 방법들에 따라 임의로 처리될 수 있다(450). 일부 실시예들에 있어서, 이것은 상기 제 1 표면(440)을 환원제에 노출시키는 단계를 포함할 수 있다. 일부 실시예들에 있어서, CuO 표면은 HCOOH에 노출될 수 있으며, 그에 의하여 Cu 표면으로 환원될 수 있다.
일부 실시예들에 있어서, 기판의 제 1 표면(440) 위에 Cu가, 동일한 기판의 선택적으로 퇴적된 유전체 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, 본 명세서에서 앞서 설명된 바와 같이 Cu 아미디네이트를 분해함으로써 Cu가 선택적 퇴적된다.
일부 실시예들에 있어서, Cu의 선택적 퇴적(420)은 상기 제 1 표면 위에 원하는 두께의 Cu가 얻어질 때까지 계속된다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면(430)에 대한 상기 기판의 상기 제 1 표면(440)에서의 상대적인 퇴적, 및/또는 상기 기판의 상기 제 1 표면(440)에 대한 상기 기판의 상기 제 2 표면(430)에서의 상대적인 퇴적은 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, 상기 제 1 표면(440) 위에서만 퇴적이 일어나며, 상기 제 2 표면(430) 위에는 퇴적이 일어나지 않는다. 또는 상기 제 2 표면 위에서만 퇴적이 일어나며, 상기 제 1 표면 위에는 퇴적이 일어나지 않는다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면(430)에 대한 상기 기판의 상기 제 1 표면(440)에서의 상대적인 퇴적, 및/또는 상기 기판의 상기 제 1 표면(440)에 대한 상기 기판의 상기 제 2 표면(430)에서의 상대적인 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다.
일부 실시예들에 있어서, 기판의 제 1 표면(440) 위에 Cu가, 동일한 기판의 선택적으로 퇴적된 유전체 표면에 대하여 상대적으로 선택적 퇴적된(420) 후에, 상기 선택적 퇴적된 유전체 표면 위에 존재할 수 있는 임의의 패시베이션층 또는 표면 처리는 본 명세서에서 앞서 설명된 임의의 방법들에 따라 임의로 제거될 수 있다. 또한, 일부 실시예들에 있어서, 상기 선택적으로 퇴적된 Cu 막은 CuO 표면을 형성하기 위하여 본 명세서에서 앞서 설명된 방법들에 따라 산화될 수 있다.
비록 위에서 예시된 이중 선택적 퇴적 공정들(400)은 Cu의 선택적 퇴적(420) 이전에 유전체의 선택적 퇴적(410)으로 시작하였지만, 통상의 기술자는 이중 선택적 퇴적 공정이 제 2 물질의 선택적 퇴적 이전에 Cu 또는 유전체의 선택적 퇴적으로 시작할 수 있음을 이해할 것이다.
실시예 2
특히 W 표면과 비교하여 CuO 표면 위에 Ge 알킬아미드와 H2O를 이용하는 퇴적 공정들에 대하여, GeO2 퇴적이 없도록 하는 조건들이 관찰되었다. 아래의 표 3 및 표 4에 나타낸 바와 같이, CuO 표면 위에서는 GeO2 퇴적을 10 사이클 수행한 후의 Ge의 분율은 실질적으로 무시할 정도이고(표 3), 반면 W 표면 위에서는 10 사이클 수행한 후 GeO2의 퇴적이 명백히 발생하였다(표 4).
Figure 112021090032708-pat00009
Figure 112021090032708-pat00010
추가적인 분석이 LEIS를 통하여 수행되었는데, 20회의 GeO2 ALD 사이클들 후에 GeO2 막의 성장이 실질적으로 없음을 보여주었다.
Cu 전구체들의 선택도와 관련하여, Cu(I) 아미디네이트는 SiO2 표면들, 특히 Si-OH 종결된 표면들에 대하여 비-반응성임을 보였다. 특정 이론에 한정되지 않으며, GeO2와 SiO2 사이의 유사성이 Cu(I) 아미디네이트와 관련한 유사한 표면 거동을 가져오는 것으로 믿어진다.
Sb와 W의 이중 선택적 성장
도 5를 참조하면, 그리고 일부 실시예들에 있어서, 금속인 제 1 표면(540) 및 상이한 표면인 제 2 표면(530)을 포함하는 기판 위에서 W와 Sb의 이중 선택적 퇴적(500)이 달성될 수 있다. 일부 실시예들에 있어서, Sb는 본 명세서의 위에서 설명된 바와 같이 선택적 퇴적 공정(510)에 의하여 제 2 표면(530) 위에 선택적으로 퇴적될 수 있다. 일부 실시예들에 있어서, 상기 선택적 퇴적 공정(510)에 사용되는 Sb 전구체들은 Sb(Si(CH3)3)3 및 SbCl3이다. 일부 실시예들에 있어서, 본 명세서에서 앞서 설명한 바와 같이 W는 선택적 퇴적 공정(520)에 의하여 제 1 표면(540) 위에 선택적으로 퇴적될 수 있다. 일부 실시예들에 있어서, 상기 선택적 퇴적 공정에 사용되는 제 1 전구체는 디실란이고, W 전구체는 WF6이다.
일부 실시예들에 있어서, 금속인 제 1 표면(540) 및 유전체인 제 2 표면(530)을 포함하는 기판 위에서 W와 Sb의 이중 선택적 퇴적(500)이 달성될 수 있다. 일부 실시예들에 있어서, W와 Sb는 유전체인 제 1 표면과 상이한 표면인 제 2 표면을 포함하는 기판 위에 선택적으로 퇴적될 수 있다. 일부 실시예들에 있어서, 상기 제 1 표면(540)은 Cu 또는 CuO를 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 1 표면은 실리콘 표면을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 1 실리콘 표면은 Si-H 종결들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면(530)은 친수성 표면을 포함한다. 일부 실시예들에 있어서, 상기 제 2 표면(530)은 OH, NHx, 또는 SHx 종결들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면(530)은 SiO2 또는 다른 유전체 표면을 포함할 수 있다.
일부 실시예들에 있어서, 상기 기판은 선택적 퇴적을 시작하기 전에 본 명세서에서 앞서 설명한 방법들에 따라 선택적 퇴적을 향상시키기 위하여 임의적으로 처리된다(550). 일부 실시예들에 있어서, 제 2 표면인 CuO 표면은 선택적 퇴적 공정을 시작하기 전 (550)에서 본 명세서에서 앞서 설명한 방법들에 따라 환원제에 노출되어 Cu 표면으로 환원된다. 일부 실시예들에 있어서, 이전에 환원된 금속 표면은 본 명세서에서 앞서 설명한 방법들에 따라 추가적으로 비활성화될 수 있다. 일부 실시예들에 있어서, 이전에 환원된 표면은 디실란에 노출됨으로써 비활성화되어 Si-H 종결된 표면을 제조할 수 있다.
일부 실시예들에 있어서, Sb는 본 명세서의 위에서 설명된 방법들에 따라 기판의 제 2 표면(530) 위에 동일한 기판의 제 1 표면(540)에 대하여 상대적으로 선택적 퇴적된다(510). 일부 실시예들에 있어서, 상기 선택적 퇴적 공정에 사용되는 Sb 전구체들은 Sb(Si(CH3)3)3 및 SbCl3이다.
일부 실시예들에 있어서, 상기 Sb의 선택적 퇴적(510)은 상기 제 2 표면(530) 위에 원하는 두께의 Sb가 얻어질 때까지 계속된다. 일부 실시예들에 있어서, 상기 Sb의 선택적 퇴적(510)은 원하는 횟수의 퇴적 사이클들이 완료될 때까지 계속된다. 예를 들면, 일부 실시예들에 있어서, 상기 Sb의 선택적 퇴적을 위한 퇴적 사이클들이 약 1회 내지 약 50회까지 수행된다.
일부 실시예들에 있어서, 상기 기판의 제 2 표면(530) 위에 Sb를 선택적 퇴적시킨(510) 후, 선택적 퇴적을 향상시키기 위하여 상기 기판은 본 명세서에서 앞서 설명된 방법들에 따라 임의로 처리될 수 있다.
일부 실시예들에 있어서, 기판의 제 1 표면(540) 위에 W가, 동일한 기판의 선택적으로 퇴적된 Sb 표면에 대하여 상대적으로 선택적 퇴적된다(520). 일부 실시예들에 있어서, W는 본 명세서에서 앞서 설명한 방법들에 따라 선택적으로 퇴적된다(520). 일부 실시예들에 있어서, 상기 선택적 퇴적 공정(520)에 사용되는 제 1 전구체는 디실란이고, W 전구체는 WF6이다.
일부 실시예들에 있어서, W의 선택적 퇴적(520)은 상기 제 1 표면 위에 원하는 두께의 W가 얻어질 때까지 계속된다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면(530)에 대한 상기 기판의 상기 제 1 표면(540)에서의 상대적인 퇴적, 및/또는 상기 기판의 상기 제 1 표면(540)에 대한 상기 기판의 상기 제 2 표면(530)에서의 상대적인 퇴적은 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, 상기 제 1 표면 위에서만 퇴적이 일어나며, 상기 제 2 표면 위에는 퇴적이 일어나지 않는다. 또는 상기 제 2 표면 위에서만 퇴적이 일어나며, 상기 제 1 표면 위에는 퇴적이 일어나지 않는다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면(530)에 대한 상기 기판의 상기 제 1 표면(540)에서의 상대적인 퇴적, 및/또는 상기 기판의 상기 제 1 표면(540)에 대한 상기 기판의 상기 제 2 표면(530)에서의 상대적인 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다.
일부 실시예들에 있어서, 기판의 제 1 표면(540) 위에 W가, 동일한 기판의 선택적으로 퇴적된 Sb 표면에 대하여 상대적으로 선택적 퇴적된(520) 후에, 상기 선택적 퇴적된 Sb 표면 위에 존재할 수 있는 임의의 패시베이션층 또는 표면 처리는 본 명세서에서 앞서 설명된 임의의 방법들에 따라 임의로 제거될 수 있다.
비록 위에서 예시된 이중 선택적 퇴적 공정들(500)은 W의 선택적 퇴적(520) 이전에 Sb의 선택적 퇴적(510)으로 시작하였지만, 통상의 기술자는 이중 선택적 퇴적 공정이 제 2 물질의 선택적 퇴적 이전에 W 또는 Sb의 선택적 퇴적으로 시작할 수 있음을 이해할 것이다.
Ni(O)와 GeO 2 의 이중 선택적 성장
도 6을 참조하면, 그리고 일부 실시예들에 있어서, 제 1 표면(640) 및 상이한 표면인 제 2 표면(630)을 포함하는 기판 위에서 GeO2와 Ni 또는 NiO의 이중 선택적 퇴적(600)이 달성될 수 있다. 일부 실시예들에 있어서, GeO2는 본 명세서의 위에서 설명된 바와 같이 선택적 퇴적 공정(620)에 의하여 제 1 표면(640) 위에 선택적으로 퇴적될 수 있다. 일부 실시예들에 있어서, Ni 또는 NiO는 본 명세서의 위에서 설명된 바와 같이 선택적 퇴적 공정(610)에 의하여 제 2 표면(630) 위에 선택적으로 퇴적될 수 있다. 일부 실시예들에 있어서, 상기 선택적 퇴적 공정(610)에 사용되는 Ni 전구체는 비스(4-N-에틸아미노-3-펜텐-2-N-에틸이미네이토)니켈(II) [Ni(EtN-EtN-pent)2]와 같은 니켈 베타디케티미네이토 화합물을 포함한다. 일부 실시예들에 있어서, 상기 선택적 퇴적 공정(620)에 사용되는 Ge 전구체는 Ge(NMe2)4를 포함하고 상기 제 2 반응물은 H2O를 포함한다. 일부 실시예들에 있어서, Ni 또는 NiO는 GeO2의 선택적 퇴적에 앞서 선택적으로 퇴적되는 것이 바람직하다.
일부 실시예들에 있어서, 상기 제 1 표면(640)은 유전체 물질을 포함한다. 일부 실시예들에 있어서, 상기 제 1 표면(640)은 Si-H 표면 종결들을 포함한다. 일부 실시예들에 있어서, 상기 제 1 표면은 금속을 포함한다. 일부 실시예들에 있어서, 상기 제 2 표면(630)은 친수성 표면을 포함한다. 일부 실시예들에 있어서, 상기 친수성 표면은 SiO2와 같은 유전체 표면을 포함한다. 일부 실시예들에 있어서, 상기 친수성 표면은 OH, NHx, 또는 SHx 종결들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 기판 표면은 선택적 퇴적을 향상시키기 위하여 본 명세서에서 앞서 설명한 방법들에 따라 임의로 처리될 수 있다.
일부 실시예들에 있어서, 기판의 제 2 표면(630) 위에 Ni 또는 NiO가, 동일한 기판의 선택적으로 퇴적된 유전체 표면에 대하여 상대적으로 선택적 퇴적된다(610). 일부 실시예들에 있어서, 위에서 설명된 바와 같이 비스(4-N-에틸아미노-3-펜텐-2-N-에틸이미네이토)니켈(II)의 분해에 의하여 Ni 또는 NiO가 선택적으로 퇴적된다(610). 일부 실시예들에 있어서, 비스(4-N-에틸아미노-3-펜텐-2-N-에틸이미네이토)니켈(II)와 같은 Ni 화합물의 흡착과 그에 뒤이은 NiO를 형성하기 위한 상기 Ni 화합물의 산화에 의하여 NiO가 선택적으로 퇴적된다. 일부 실시예들에 있어서, 본 명세서에서 앞서 설명된 바와 같은 비스(4-N-에틸아미노-3-펜텐-2-N-에틸이미네이토)니켈(II)와 같은 Ni 화합물들의 자기-제한적인 흡착 및 그에 뒤이은 NiO를 분자층까지 형성하기 위한 상기 Ni 화합물의 산화에 의하여 NiO가 선택적으로 퇴적된다.
일부 실시예들에 있어서, Ni 또는 NiO의 선택적 퇴적(610)은 상기 제 2 표면(630) 위에 원하는 두께의 Ni 또는 NiO가 얻어질 때까지 계속된다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면(630)에 대한 상기 기판의 상기 제 1 표면(640)에서의 상대적인 퇴적, 및/또는 상기 기판의 상기 제 1 표면(640)에 대한 상기 기판의 상기 제 2 표면(630)에서의 상대적인 퇴적은 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, 상기 제 1 표면 위에서만 퇴적이 일어나며, 상기 제 2 표면 위에는 퇴적이 일어나지 않는다. 또는 상기 제 2 표면 위에서만 퇴적이 일어나며, 상기 제 1 표면 위에는 퇴적이 일어나지 않는다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적, 및/또는 상기 기판의 상기 제 1 표면에 대한 상기 기판의 상기 제 2 표면에서의 상대적인 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다.
일부 실시예들에 있어서, 상기 기판은 본 명세서에서 앞서 설명한 방법들에 따라 Ni의 선택적 퇴적(610)에 이어서 산화된다. 일부 실시예들에 있어서, 상기 산화는 상기 기판의 제 1 표면(640) 위에 OH 표면 종결들을 생성한다. 일부 실시예들에 있어서, Ni(O)를 형성하기 위하여 상기 산화는 선택적으로 퇴적된 Ni을 산화시킨다.
일부 실시예들에 있어서, 위에서 설명된 바와 같은 선택적 퇴적 공정을 이용하여 상기 기판의 제 1 표면(640) 위에 GeO2가 선택적으로 퇴적된다(620). 여기서 Ge 전구체는 Ge(NMe2)4를 포함하고 상기 제 2 반응물은 H2O를 포함한다. 일부 실시예들에 있어서, 상기 GeO2의 선택적 퇴적(620)은 상기 제 1 표면 위에 원하는 두께의 GeO2가 얻어질 때까지 계속된다. 일부 실시예들에 있어서, 상기 GeO2의 선택적 퇴적(620)은 원하는 횟수의 퇴적 사이클들이 완료될 때까지 계속된다. 예를 들면, 일부 실시예들에 있어서, 상기 GeO2의 선택적 퇴적을 위한 퇴적 사이클들이 약 1회 내지 약 50회까지 수행된다.
일부 실시예들에 있어서, 기판의 제 1 표면(640) 위에 GeO2가, 동일한 기판의 선택적으로 퇴적된 Ni 표면에 대하여 상대적으로 선택적 퇴적된(620) 후에, 상기 선택적 퇴적된 Ni 또는 Ni(O) 표면 위에 존재할 수 있는 임의의 패시베이션층 또는 표면 처리는 본 명세서에서 앞서 설명된 임의의 방법들에 따라 임의로 제거될 수 있다.
비록 위에서 예시된 이중 선택적 퇴적 공정들(600)은 GeO2의 선택적 퇴적(620) 이전에 Ni의 선택적 퇴적(610)으로 시작하였지만, 통상의 기술자는 이중 선택적 퇴적 공정이 제 2 물질의 선택적 퇴적 이전에 GeO2 또는 Ni의 선택적 퇴적으로 시작할 수 있음을 이해할 것이다.
실시예 3
니켈 표면은 Ni(O)를 형성하는 후속적인 저머늄 산화물의 퇴적에 대항하여, 이를 패시베이션하기 위하여 산화될 수 있다. LEIS 분석은 Ni(O) 표면 위에서 GeO2가 성장하지 않거나 또는 극히 미량으로만 성장하는 약간의 숙성(incubation)을 나타내었다:
Figure 112021090032708-pat00011
Ni과 W의 이중 선택적 성장
도 7a 및 도 7b를 참조하면, 그리고 일부 실시예들에 있어서, 제 1 표면(740, 741) 및 상이한 표면인 제 2 표면(730, 731)을 포함하는 기판 위에서 W와 Ni의 이중 선택적 퇴적(700, 701)이 달성될 수 있다. 일부 실시예들에 있어서, Ni은 본 명세서의 위에서 설명된 바와 같이 선택적 퇴적 공정(710, 711)에 의하여 제 2 표면(730, 731) 위에 선택적으로 퇴적될 수 있다. 일부 실시예들에 있어서, 상기 선택적 퇴적 공정(710, 711)에 사용되는 Ni 전구체들은 비스(4-N-에틸아미노-3-펜텐-2-N-에틸이미네이토)니켈(II) [Ni(EtN-EtN-pent)2]와 같은 니켈 베타디케티미네이토 화합물을 포함한다. 일부 실시예들에 있어서, 본 명세서에서 앞서 설명한 바와 같이 W는 선택적 퇴적 공정(720, 721)에 의하여 제 1 표면(740, 741) 위에 선택적으로 퇴적될 수 있다. 일부 실시예들에 있어서, 상기 선택적 퇴적 공정에 사용되는 제 1 전구체는 디실란이고, W 전구체는 WF6이다.
도 7a를 참조하면, 그리고 일부 실시예들에 있어서, 유전체인 제 1 표면(740) 및 상이한 표면인 제 2 표면(730)을 포함하는 기판 위에 W 및 Ni가 선택적으로 퇴적될 수 있다(700). 도 7b를 참조하면, 그리고 일부 실시예들에 있어서, 금속인 제 1 표면(741) 및 상이한 표면인 제 2 표면(731)을 포함하는 기판 위에 W 및 Ni의 이중 선택적 퇴적이 달성될 수 있다(701). 일부 실시예들에 있어서, 상기 제 1 표면(741)은 Cu 또는 CuO를 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 1 표면(740)은 실리콘 표면을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 1 표면(740)은 Si-H 종결들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면(730, 731)은 친수성 표면을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 OH, NHx, 또는 SHx 종결된 표면을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 SiO2 또는 다른 유전체 표면을 포함할 수 있다.
일부 실시예들에 있어서, 상기 기판은 선택적 퇴적을 시작하기 전에 선택적 퇴적(751)을 향상시키기 위하여 본 명세서에서 앞서 설명한 방법들에 따라 임의로 처리된다. 일부 실시예들에 있어서, 제 2 표면인 CuO 표면은 선택적 퇴적 공정을 시작하기 전 본 명세서에서 앞서 설명한 방법들에 따라 환원제에 노출되어 Cu 표면으로 환원된다. 일부 실시예들에 있어서, 이전에 환원된 금속 표면은 본 명세서에서 앞서 설명한 방법들에 따라 추가적으로 비활성화될 수 있다. 일부 실시예들에 있어서, 이전에 환원된 표면은 디실란에 노출됨으로써 비활성화되어(751) Si-H 종결된 표면을 제조할 수 있다.
일부 실시예들에 있어서, 본 명세서에서 앞서 설명한 방법들에 따라 기판의 제 2 표면(730, 731) 위에 Ni이, 동일한 기판의 제 1 표면(740, 741)에 대하여 상대적으로 선택적 퇴적된다(710, 711). 일부 실시예들에 있어서, 상기 선택적 퇴적 공정에 사용되는 Ni 전구체는 비스(4-N-에틸아미노-3-펜텐-2-N-에틸이미네이토)니켈(II) [Ni(EtN-EtN-pent)2]와 같은 니켈 베타디케티미네이토 화합물을 포함한다.
일부 실시예들에 있어서, 상기 Ni의 선택적 퇴적(710, 711)은 상기 제 2 표면(530) 위에 원하는 두께의 Ni이 얻어질 때까지 계속된다. 일부 실시예들에 있어서, 상기 Ni의 선택적 퇴적(710, 711)은 원하는 횟수의 퇴적 사이클들이 완료될 때까지 계속된다. 예를 들면, 일부 실시예들에 있어서, 상기 Ni의 선택적 퇴적을 위한 퇴적 사이클들이 약 1회 내지 약 50회까지 수행된다.
일부 실시예들에 있어서, 상기 기판의 제 2 표면 위에 Ni을 선택적 퇴적시킨 후, 선택적 퇴적을 향상시키기 위하여 상기 기판은 본 명세서에서 앞서 설명된 방법들에 따라 임의로 처리될 수 있다.
일부 실시예들에 있어서, 기판의 제 1 표면(740, 741) 위에 W가, 동일한 기판의 선택적으로 퇴적된 Ni 표면에 대하여 상대적으로 선택적 퇴적된다(720, 721). 일부 실시예들에 있어서, W는 본 명세서에서 앞서 설명한 방법들에 따라 선택적으로 퇴적된다(720, 721). 일부 실시예들에 있어서, 상기 선택적 퇴적 공정에 사용되는 제 1 전구체는 디실란이고, W 전구체는 WF6이다.
일부 실시예들에 있어서, W의 선택적 퇴적(720, 721)은 상기 제 1 표면 위에 원하는 두께의 W가 얻어질 때까지 계속된다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면(730, 731)에 대한 상기 기판의 상기 제 1 표면(740, 741)에서의 상대적인 퇴적, 및/또는 상기 기판의 상기 제 1 표면(740, 741)에 대한 상기 기판의 상기 제 2 표면(730, 731)에서의 상대적인 퇴적은 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, 상기 제 1 표면 위에서만 퇴적이 일어나며, 상기 제 2 표면 위에는 퇴적이 일어나지 않는다. 또는 상기 제 2 표면 위에서만 퇴적이 일어나며, 상기 제 1 표면 위에는 퇴적이 일어나지 않는다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적, 및/또는 상기 기판의 상기 제 1 표면에 대한 상기 기판의 상기 제 2 표면에서의 상대적인 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다.
일부 실시예들에 있어서, 기판의 제 1 표면(740, 741) 위에 W가, 동일한 기판의 선택적으로 퇴적된 Ni 표면에 대하여 상대적으로 선택적 퇴적된(720, 721) 후에, 상기 선택적 퇴적된 Ni 표면 위에 존재할 수 있는 임의의 패시베이션층 또는 표면 처리는 본 명세서에서 앞서 설명된 임의의 방법들에 따라 임의로 제거될 수 있다.
비록 위에서 예시된 이중 선택적 퇴적 공정들(700, 701)은 W의 선택적 퇴적(720, 721) 이전에 Ni의 선택적 퇴적(710, 711)으로 시작하였지만, 통상의 기술자는 이중 선택적 퇴적 공정이 제 2 물질의 선택적 퇴적 이전에 W 또는 Ni의 선택적 퇴적으로 시작할 수 있음을 이해할 것이다.
Al과 SiO 2 의 이중 선택적 성장
도 8을 참조하면, 그리고 일부 실시예들에 있어서, 금속인 제 1 표면(840) 및 유전체인 제 2 표면(830)을 포함하는 기판 위에서 Al 막과 SiO2 막의 이중 선택적 퇴적(800)이 달성될 수 있다. 일부 실시예들에 있어서, Al은 본 명세서의 위에서 설명된 바와 같이 선택적 퇴적 공정(810)에 의하여 금속인 제 1 표면(840) 위에 선택적으로 퇴적될 수 있다. 일부 실시예들에 있어서, 상기 이중 선택적 퇴적 공정에 사용되는 Al 전구체들은 DMAH 또는 DMEAA를 포함한다.
일부 실시예들에 있어서, 기판의 금속인 제 1 표면(840) 위에 Al이 선택적으로 퇴적된다(810). 일부 실시예들에 있어서, 금속인 상기 제 1 표면(840)은 CuO 표면을 포함한다. 일부 실시예들에 있어서, 상기 CuO 표면은 Al의 선택적 퇴적 공정을 시작하기 전 본 명세서에서 앞서 설명한 방법들에 따라 환원제에 노출되어(850) Cu 표면으로 환원된다.
일부 실시예들에 있어서, 상기 기판의 제 2 표면(830)은 본 명세서에서 앞서 설명한 바와 같은 유전체 표면을 포함할 수 있다. 일부 실시예들에 있어서, 상기 기판의 제 2 표면(830)은, 예를 들면 SiO2를 포함할 수 있다. 일부 실시예들에 있어서, 상기 기판의 제 2 표면은 MgO, GeO2, 또는 Al2O3 표면을 포함할 수 있다. 일부 실시예들에 있어서, 상기 기판의 제 2 표면은 OH, NHx, 또는 SHx 종결들을 포함할 수 있다.
일부 실시예들에 있어서, 상기 Al의 선택적 퇴적(810)은 상기 제 1 표면 위에 원하는 두께의 Al이 얻어질 때까지 계속된다. 일부 실시예들에 있어서, 상기 Al의 선택적 퇴적은 원하는 횟수의 퇴적 사이클들이 완료될 때까지 계속된다. 예를 들면, 일부 실시예들에 있어서, 상기 Al의 선택적 퇴적을 위한 퇴적 사이클들이 약 1회 내지 약 50회까지 수행된다.
일부 실시예들에 있어서, 기판의 제 1 표면(840) 위에 Al이, 동일한 기판의 유전체인 제 2 표면(830)에 대하여 상대적으로 선택적 퇴적된 후에, SiO2의 퇴적에 대항하여 상기 Al 표면은 본 명세서에서 앞서 설명된 임의의 방법들에 의하여 임의로 패시베이션될 수 있다. 또한, 일부 실시예들에 있어서, 상기 제 2 표면 위에 임의적으로 제공되었던 모든 패시베이션 처리는 임의로 제거될 수 있다. 일부 실시예들에 있어서, 상기 제 2 표면은 본 명세서에서 앞서 설명된 방법들에 따라 임의적으로 활성화될 수 있다.
표면 처리가 임의적으로 제공된 후, 본 명세서에서 앞서 설명된 방법들에 따라 상기 기판의 제 2 표면(830) 위에 SiO2가, 동일한 기판의 선택적으로 퇴적된 Al 표면에 대하여 상대적으로 선택적 퇴적된다(820). 일부 실시예들에 있어서, 기판의 제 2 표면(830) 위에 앞서 설명된 선택적 퇴적 공정을 이용하여 SiO2가 선택적으로 퇴적된다(820). 여기서 Si 전구체는 H2Si(NEt2)2를 포함하고, 상기 제 2 반응물은 O3를 포함한다.
일부 실시예들에 있어서, 상기 SiO2의 선택적 퇴적(820)은 상기 제 2 표면(830) 위에 원하는 두께의 SiO2 물질이 얻어질 때까지 계속된다. 일부 실시예들에 있어서, 상기 SiO2 물질의 선택적 퇴적은 원하는 횟수의 퇴적 사이클들이 완료될 때까지 계속된다. 예를 들면, 일부 실시예들에 있어서, 상기 SiO2 물질의 선택적 퇴적을 위한 퇴적 사이클들이 약 1회 내지 약 50회까지 수행된다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면(830)에 대한 상기 기판의 상기 제 1 표면(840)에서의 상대적인 퇴적, 및/또는 상기 기판의 상기 제 1 표면(840)에 대한 상기 기판의 상기 제 2 표면(830)에서의 상대적인 퇴적은 적어도 약 90% 선택적이거나, 적어도 약 95% 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99%, 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, 상기 제 1 표면 위에서만 퇴적이 일어나며, 상기 제 2 표면 위에는 퇴적이 일어나지 않는다. 또는 상기 제 2 표면 위에서만 퇴적이 일어나며, 상기 제 1 표면 위에는 퇴적이 일어나지 않는다.
일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적, 및/또는 상기 기판의 상기 제 1 표면에 대한 상기 기판의 상기 제 2 표면에서의 상대적인 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 상기 제 2 표면에 대한 상기 기판의 상기 제 1 표면에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정 응용에 있어서는 충분히 선택적일 수 있다.
일부 실시예들에 있어서, 기판의 제 2 표면(830) 위에 SiO2가, 동일한 기판의 선택적으로 퇴적된 Al 표면에 대하여 상대적으로 선택적 퇴적된(820) 후에, 상기 선택적 퇴적된 Al 표면 위에 존재할 수 있는 임의의 패시베이션층 또는 표면 처리는 본 명세서에서 앞서 설명된 임의의 방법들에 따라 임의로 제거될 수 있다. 일부 실시예들에 있어서, Al 표면의 식각(860)은 선택적인 것이며, 예를 들면 Al 표면 위에 퇴적된 얼마간의 SiO2를 제거하기 위하여 희망될 수 있다.
비록 위에서 예시된 이중 선택적 퇴적 공정(800)은 SiO2의 선택적 퇴적(820) 이전에 Al의 선택적 퇴적(810)으로 시작하였지만, 통상의 기술자는 이중 선택적 퇴적 공정이 제 2 물질의 선택적 퇴적 이전에 SiO2 또는 Al의 선택적 퇴적으로 시작할 수 있음을 이해할 것이다.

Claims (18)

  1. 기판을 제 1 전구체 및 제 2 반응 물질과 교대로 그리고 순차적으로 접촉시키는 단계를 포함하는 퇴적 사이클을 적어도 하나 포함하는 기상 증착 공정에 의해 상기 기판의 제 1 유전체 표면 위에 상기 기판의 제 2 금속 표면에 대하여 상대적으로 제 1 유전체 물질을 선택적으로 퇴적시키는 단계; 및
    상기 기판을 제 3 전구체 및 제 4 반응 물질과 교대로 그리고 순차적으로 접촉시키는 단계를 포함하는 퇴적 사이클을 적어도 하나 포함하는 기상 증착 공정에 의해 상기 기판의 상기 제 2 금속 표면 위에 상기 기판의 상기 제 1 유전체 표면에 대하여 상대적으로 제 2 물질을 선택적으로 퇴적시키는 단계;
    를 포함하고,
    상기 제 2 물질은 상기 제 1 유전체 물질과 상이한 제 2 유전체 물질을 포함하고,
    상기 제 2 유전체 물질은 저머늄 산화물, 안티몬 산화물, 비스무트 산화물, 마그네슘 산화물, 알루미늄 산화물, 실리콘 산화물 및 티타늄 산화물로부터 선택되는 방법.
  2. 제 1 항에 있어서,
    상기 제 1 유전체 물질이 저머늄 산화물, 안티몬 산화물, 비스무트 산화물, 마그네슘 산화물, 알루미늄 산화물, 실리콘 산화물, 니켈 산화물, 철 산화물, 티타늄 산화물, 또는 코발트 산화물을 포함하는 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서,
    상기 기판의 상기 제 1 유전체 표면 및 상기 기판의 제 2 금속 표면이 이웃하는 것을 특징으로 하는 방법.
  4. 제 1 항에 있어서,
    상기 제 1 전구체가 금속 전구체를 포함하고, 상기 제 2 반응 물질이 산소 소스를 포함하는 것을 특징으로 하는 방법.
  5. 제 4 항에 있어서,
    상기 금속 전구체가 금속 베타디케토네이트(betadiketonate) 화합물, 금속 베타디케티미네이토(betadiketiminato) 화합물, 금속 아미노알콕사이드(aminoalkoxide) 화합물, 금속 아미디네이트(amidinate) 화합물, 금속 시클로펜타디에닐(cyclopentadienyl) 화합물, 및 금속 카르보닐 화합물로 구성되는 군으로부터 선택된 것을 특징으로 하는 방법.
  6. 제 4 항에 있어서,
    상기 산소 소스가 물, 오존, 분자 산소, N2O, NO, NO2, ClO2, HClO4, 과산류(peracids), 알코올류, 산소 라디칼류, 히드록시 라디칼, 및 H2O2로 구성된 군으로부터 선택된 것을 특징으로 하는 방법.
  7. 제 1 항에 있어서,
    상기 제 1 유전체 물질이 상기 기판의 상기 제 1 유전체 표면 위에 상기 기판의 상기 제 2 금속 표면에 대하여 적어도 80%의 선택도로 퇴적되는 것을 특징으로 하는 방법.
  8. 제 1 항에 있어서,
    상기 제 2 물질이 상기 기판의 상기 제 2 금속 표면 위에 상기 기판의 상기 제 1 유전체 표면에 대하여 적어도 80%의 선택도로 퇴적되는 것을 특징으로 하는 방법.
  9. 제 1 항에 있어서,
    상기 제 1 유전체 표면 위에 상기 제 1 유전체 물질을 선택적으로 퇴적시키는 단계의 이전에 상기 기판의 상기 제 2 금속 표면을 패시베이션하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  10. 제 1 항에 있어서,
    상기 제 2 금속 표면 위에 상기 제 2 물질을 퇴적시키는 단계의 이전에 상기 제 2 물질이 상기 제 1 유전체 표면 위에 퇴적되는 것을 저해하도록 상기 제 1 유전체 표면을 처리하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  11. 제 1 항에 있어서,
    상기 제 1 유전체 물질을 선택적으로 퇴적시키는 단계 및 상기 제 2 물질을 선택적으로 퇴적시키는 단계 중의 적어도 하나는 원자층 증착(atomic layer deposition, ALD) 공정을 포함하는 것을 특징으로 하는 방법.
  12. 제 1 항에 있어서,
    상기 제 1 유전체 물질을 선택적으로 퇴적시키는 단계 및 상기 제 2 물질을 선택적으로 퇴적시키는 단계 중의 하나는 화학 기상 증착(chemical vapor deposition, CVD) 공정을 포함하는 것을 특징으로 하는 방법.
  13. 제 1 항에 있어서,
    상기 제 1 유전체 표면이 SiO2, MgO, GeO2, 또는 Al2O3를 포함하는 것을 특징으로 하는 방법.
  14. 제 1 항에 있어서,
    상기 제 2 금속 표면이 Cu, Ru, Al, W, Ni, Co 및 Sb으로 구성된 군으로부터 선택된 금속을 포함하는 것을 특징으로 하는 방법.
  15. 제 1 항에 있어서,
    상기 제 1 유전체 표면 위에 상기 제 1 유전체 물질을 퇴적시키는 단계의 이전에, 상기 제 2 금속 표면은 금속 산화물 표면을 제공하도록 산화되는 것을 특징으로 하는 방법.
  16. 제 1 항에 있어서,
    동일한 반응기 내에서 상기 기판의 상기 제 1 유전체 표면 위에 상기 제 1 유전체 물질이 선택적으로 퇴적되고 상기 기판의 상기 제 2 금속 표면 위에 상기 제 2물질이 선택적으로 퇴적되는 것을 특징으로 하는 방법.
  17. 제 1 항에 있어서,
    상기 제 1 유전체 물질의 선택적 퇴적과 상기 제 2 물질의 선택적 퇴적 사이에 추가적인 처리 없이, 상기 제 1 유전체 물질이 상기 기판의 상기 제 1 유전체 표면 위에 선택적으로 퇴적되고 상기 제 2 물질이 상기 기판의 상기 제 2 금속 표면 위에 선택적으로 퇴적되는 것을 특징으로 하는 방법.
  18. 제 1 항에 있어서,
    상기 제 1 유전체 물질의 선택적 퇴적과 상기 제 2 물질의 선택적 퇴적 사이에 에어브레이크(airbreak) 없이, 상기 제 1 물질이 기판의 상기 제 1 유전체 표면 위에 선택적으로 퇴적되고 상기 제 2 물질이 상기 기판의 상기 제 2 금속 표면 위에 선택적으로 퇴적되는 것을 특징으로 하는 방법.

KR1020210102581A 2014-04-16 2021-08-04 이중 선택적 퇴적 KR102367720B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220022740A KR20220030970A (ko) 2014-04-16 2022-02-22 이중 선택적 퇴적

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461980373P 2014-04-16 2014-04-16
US61/980,373 2014-04-16
KR1020200182326A KR102288383B1 (ko) 2014-04-16 2020-12-23 이중 선택적 퇴적

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020200182326A Division KR102288383B1 (ko) 2014-04-16 2020-12-23 이중 선택적 퇴적

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220022740A Division KR20220030970A (ko) 2014-04-16 2022-02-22 이중 선택적 퇴적

Publications (2)

Publication Number Publication Date
KR20210099547A KR20210099547A (ko) 2021-08-12
KR102367720B1 true KR102367720B1 (ko) 2022-02-25

Family

ID=54321505

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020150053760A KR102197048B1 (ko) 2014-04-16 2015-04-16 이중 선택적 퇴적
KR1020200182326A KR102288383B1 (ko) 2014-04-16 2020-12-23 이중 선택적 퇴적
KR1020210102581A KR102367720B1 (ko) 2014-04-16 2021-08-04 이중 선택적 퇴적
KR1020220022740A KR20220030970A (ko) 2014-04-16 2022-02-22 이중 선택적 퇴적

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020150053760A KR102197048B1 (ko) 2014-04-16 2015-04-16 이중 선택적 퇴적
KR1020200182326A KR102288383B1 (ko) 2014-04-16 2020-12-23 이중 선택적 퇴적

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220022740A KR20220030970A (ko) 2014-04-16 2022-02-22 이중 선택적 퇴적

Country Status (3)

Country Link
US (4) US10047435B2 (ko)
KR (4) KR102197048B1 (ko)
TW (1) TWI652734B (ko)

Families Citing this family (397)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
SG11201605837TA (en) * 2014-03-03 2016-08-30 Picosun Oy Protecting an interior of a gas container with an ald coating
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
JP6243290B2 (ja) * 2014-05-01 2017-12-06 東京エレクトロン株式会社 成膜方法及び成膜装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016178978A1 (en) 2015-05-01 2016-11-10 Applied Materials, Inc. Selective deposition of thin film dielectrics using surface blocking chemistry
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10192775B2 (en) 2016-03-17 2019-01-29 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10358719B2 (en) * 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
JP6747273B2 (ja) * 2016-12-13 2020-08-26 株式会社村田製作所 電子部品の製造方法及び電子部品
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN109689838A (zh) * 2016-12-26 2019-04-26 秀博瑞殷株式公社 蚀刻用组合物和使用该蚀刻用组合物制造半导体器件的方法
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10319868B2 (en) 2017-01-06 2019-06-11 Nanoclear Technologies Inc. Methods and systems to boost efficiency of solar cells
US10121919B2 (en) * 2017-01-06 2018-11-06 Nanoclear Technologies Inc. Control of surface properties by deposition of particle monolayers
US10017384B1 (en) 2017-01-06 2018-07-10 Nanoclear Technologies Inc. Property control of multifunctional surfaces
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6832776B2 (ja) * 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
JP6980406B2 (ja) * 2017-04-25 2021-12-15 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10950500B2 (en) * 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
EP3619323A4 (en) 2017-05-05 2021-01-27 Quantum-si Incorporated SUBSTRATES WITH MODIFIED SURFACE REACTIVITY AND ANTIFOULING PROPERTIES IN BIOLOGICAL REACTIONS
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
CN115233183A (zh) * 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR102331718B1 (ko) 2017-06-08 2021-11-26 삼성전자주식회사 반도체 장치 제조 방법
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10937645B2 (en) 2017-07-14 2021-03-02 Asm Ip Holding B.V. Methods for preparing self-assembled monolayers
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
TWI722301B (zh) * 2017-07-18 2021-03-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP2019062142A (ja) * 2017-09-28 2019-04-18 東京エレクトロン株式会社 選択成膜方法および半導体装置の製造方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) * 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11584986B1 (en) * 2017-11-01 2023-02-21 The Board Of Trustees Of The University Of Illinois Area selective CVD of metallic films using precursor gases and inhibitors
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
JP7413258B2 (ja) 2017-11-19 2024-01-15 アプライド マテリアルズ インコーポレイテッド 金属表面上の金属酸化物のaldのための方法
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
TWI790320B (zh) * 2017-12-16 2023-01-21 美商應用材料股份有限公司 釕的選擇性原子層沉積
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) * 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019200234A1 (en) * 2018-04-13 2019-10-17 Applied Materials, Inc. Methods of selective atomic layer deposition
KR20200137016A (ko) * 2018-04-27 2020-12-08 도쿄엘렉트론가부시키가이샤 접촉이 향상된 캡 층 형성용 영역 선택적 증착
TW202407763A (zh) 2018-04-28 2024-02-16 美商應用材料股份有限公司 基於氣體脈衝的共享前驅物分佈系統及其使用方法
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US11421318B2 (en) * 2018-05-04 2022-08-23 Applied Materials, Inc. Methods and apparatus for high reflectivity aluminum layers
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
WO2019229785A1 (ja) * 2018-05-28 2019-12-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP6995997B2 (ja) * 2018-07-17 2022-02-04 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
WO2020096976A1 (en) * 2018-11-08 2020-05-14 Entegris, Inc. Chemical vapor deposition processes using ruthenium precursor and reducing gas
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
SG11202105970RA (en) * 2018-12-21 2021-07-29 Air Liquide PRECURSORS AND PROCESSES FOR DEPOSITION OF SI-CONTAINING FILMS USING ALD AT TEMPERATURE OF 550ºC OR HIGHER
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111837221B (zh) 2019-02-14 2024-03-05 株式会社日立高新技术 半导体制造装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11778929B2 (en) 2019-02-27 2023-10-03 International Business Machines Corporation Selective encapsulation for metal electrodes of embedded memory devices
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7154159B2 (ja) 2019-03-15 2022-10-17 東京エレクトロン株式会社 成膜方法および成膜装置
CN113316836B (zh) * 2019-03-20 2024-04-09 株式会社国际电气 半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10777411B1 (en) 2019-05-31 2020-09-15 International Business Machines Corporation Semiconductor device with selective dielectric deposition
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210015076A (ko) * 2019-07-31 2021-02-10 삼성전자주식회사 코발트 화합물, 이를 이용한 코발트 금속층의 선택적 형성 방법 및 반도체 소자의 제조 방법
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
US11043628B2 (en) 2019-10-08 2021-06-22 International Business Machines Corporation Multi-layer bottom electrode for embedded memory devices
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20220079943A (ko) 2019-10-11 2022-06-14 퀀텀-에스아이 인코포레이티드 증기 상에서의 표면 개질
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11217481B2 (en) 2019-11-08 2022-01-04 International Business Machines Corporation Fully aligned top vias
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11101128B1 (en) * 2020-03-12 2021-08-24 Applied Materials, Inc. Methods for gapfill in substrates
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11542597B2 (en) * 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
JP7204718B2 (ja) * 2020-09-29 2023-01-16 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US20220181599A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Lithium metal surface modification using carbonate passivation
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
US11942426B2 (en) 2021-05-06 2024-03-26 International Business Machines Corporation Semiconductor structure having alternating selective metal and dielectric layers
US11702733B2 (en) 2021-05-07 2023-07-18 Applied Materials, Inc. Methods for depositing blocking layers on conductive surfaces
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023090251A (ja) * 2021-12-17 2023-06-29 株式会社Kokusai Electric 基板処理方法、基板処理装置、およびプログラム
KR20230105309A (ko) 2022-01-03 2023-07-11 아주대학교산학협력단 3차원 구조를 갖는 멤리스터 소자 및 그 제조방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100248473A1 (en) 2009-03-31 2010-09-30 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices

Family Cites Families (276)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR100775159B1 (ko) 2000-05-15 2007-11-12 에이에스엠 인터내셔널 엔.붸. 집적회로의 생산 공정
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) * 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
KR100869326B1 (ko) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR101437250B1 (ko) 2002-11-15 2014-10-13 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
CN101061253B (zh) 2004-11-22 2010-12-22 应用材料股份有限公司 使用批式制程腔室的基材处理装置
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
KR20080080612A (ko) 2005-12-07 2008-09-04 엔엑스피 비 브이 반도체 장치용 구조체에서 제 2 물질에 내장된 제 1 물질표면 위의 층 형성 방법
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
EP2191034B1 (en) 2007-09-14 2013-03-13 Sigma-Aldrich Co. LLC Methods of preparing thin films by atomic layer deposition using monocyclopentadienyl triamino zirconium precursors
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
KR20100098380A (ko) * 2007-11-06 2010-09-06 에이치시에프 파트너스, 엘.피. 원자 층 증착 공정
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8309446B2 (en) 2008-07-16 2012-11-13 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
US7951637B2 (en) 2008-08-27 2011-05-31 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
KR20110084275A (ko) 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
JP2012510706A (ja) 2008-12-01 2012-05-10 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 有機電子デバイス用のアノード
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
KR101078309B1 (ko) 2009-03-25 2011-10-31 포항공과대학교 산학협력단 선택적 증착법을 이용한 반도체 소자의 콘택트 형성방법
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
US20120189868A1 (en) 2009-07-31 2012-07-26 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
WO2011160004A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
JP5400964B2 (ja) 2010-07-01 2014-01-29 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) * 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
WO2012107138A1 (en) 2011-02-07 2012-08-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR101995602B1 (ko) 2011-06-03 2019-07-02 노벨러스 시스템즈, 인코포레이티드 상호접속을 위한 캡핑층들을 함유하는 금속 및 실리콘
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) * 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI627303B (zh) 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
US20150114429A1 (en) 2012-05-18 2015-04-30 Atmi Taiwan Co., Ltd. Aqueous clean solution with low copper etch rate for organic residue removal improvement
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US9371338B2 (en) 2012-07-20 2016-06-21 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
CN104968724B (zh) 2013-01-31 2017-09-26 大日本印刷株式会社 电子束固化性树脂组合物、反射器用树脂框架、反射器、半导体发光装置、及成形体的制造方法
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
CN105308719B (zh) 2013-06-28 2019-07-26 英特尔公司 基于选择性外延生长的iii-v族材料的器件
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
CA2922688C (en) 2013-09-20 2018-10-30 Baker Hughes Incorporated Composites for use in stimulation and sand control operations
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
CN105556644B (zh) 2013-09-27 2019-04-19 英特尔公司 通过包括限制材料层在相邻区域上方的侵蚀的选择性的化学反应来在小区域上方形成材料层
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
JP6325669B2 (ja) 2013-12-19 2018-05-16 インテル・コーポレーション 半導体構造、集積回路構造、及びそれらの製造方法
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US9932671B2 (en) 2014-03-27 2018-04-03 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ALD) and chemical vapor deposition (CVD)
CN106030758B (zh) 2014-03-28 2020-07-17 英特尔公司 选择性外延生长的基于iii-v材料的器件
US10047435B2 (en) * 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
WO2016178978A1 (en) 2015-05-01 2016-11-10 Applied Materials, Inc. Selective deposition of thin film dielectrics using surface blocking chemistry
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US10464959B2 (en) 2015-06-18 2019-11-05 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
KR20230026514A (ko) 2016-10-02 2023-02-24 어플라이드 머티어리얼스, 인코포레이티드 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100248473A1 (en) 2009-03-31 2010-09-30 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices

Also Published As

Publication number Publication date
US10443123B2 (en) 2019-10-15
KR20150119816A (ko) 2015-10-26
US11525184B2 (en) 2022-12-13
KR20220030970A (ko) 2022-03-11
KR102197048B1 (ko) 2020-12-31
US11047040B2 (en) 2021-06-29
US20150299848A1 (en) 2015-10-22
US20190100837A1 (en) 2019-04-04
TW201543570A (zh) 2015-11-16
KR20210099547A (ko) 2021-08-12
TWI652734B (zh) 2019-03-01
US10047435B2 (en) 2018-08-14
KR102288383B1 (ko) 2021-08-11
US20200181766A1 (en) 2020-06-11
KR20200146036A (ko) 2020-12-31
US20210285097A1 (en) 2021-09-16

Similar Documents

Publication Publication Date Title
KR102367720B1 (ko) 이중 선택적 퇴적
KR102586705B1 (ko) 금속들, 금속 산화물들, 및 유전체들의 선택적 퇴적
TWI718308B (zh) 將薄膜選擇性地沈積於基底上的製程
JP6813983B2 (ja) アルミニウム及び窒素を含む材料の選択的堆積
TWI586826B (zh) 碳化鈦膜之矽烷與硼烷處理
KR101506019B1 (ko) 금속 카바이드 막의 기상 증착
JP2009536267A (ja) 誘電膜の原子層堆積のための化学物質の光励起のための方法および装置
CN109087885B (zh) 金属膜的选择性沉积
WO2018129295A1 (en) Water assisted highly pure ruthenium thin film deposition

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant