KR101506019B1 - 금속 카바이드 막의 기상 증착 - Google Patents

금속 카바이드 막의 기상 증착 Download PDF

Info

Publication number
KR101506019B1
KR101506019B1 KR1020097011136A KR20097011136A KR101506019B1 KR 101506019 B1 KR101506019 B1 KR 101506019B1 KR 1020097011136 A KR1020097011136 A KR 1020097011136A KR 20097011136 A KR20097011136 A KR 20097011136A KR 101506019 B1 KR101506019 B1 KR 101506019B1
Authority
KR
South Korea
Prior art keywords
metal
delete delete
atomic layer
layer deposition
group
Prior art date
Application number
KR1020097011136A
Other languages
English (en)
Other versions
KR20090085654A (ko
Inventor
카이-에릭 엘레르스
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20090085654A publication Critical patent/KR20090085654A/ko
Application granted granted Critical
Publication of KR101506019B1 publication Critical patent/KR101506019B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

금속 카바이드 박막들을 형성하기 위한 방법들이 제공된다. 바람직한 실시예들에 따르면, 금속 카바이드 박막들은 원자층증착(ALD) 공정에서 반응 공간 내의 기판을 금속 소스 케미컬, 환원제, 및 탄소-함유 화합물의 공간적으로 그리고 시간적으로 분리된 기상 펄스들과 교대로 그리고 순차적으로 접촉시켜 형성된다. 상기 환원제는 바람직하게는 수소의 여기된 종들 및 실리콘-함유 화합물들로 구성된 군에서 선택된다.

Description

금속 카바이드 막의 기상 증착{VAPOR DEPOSITION OF METAL CARBIDE FILMS}
본 발명은 일반적으로 반도체 제조 분야에 관한 것이고, 특히 원자층증착(atomic layer deposition; ALD)을 이용한 금속 카바이드 박막의 형성에 관한 것이다.
집적회로 내 구성들의 집적도가 증가하면서, 배선을 포함하여 보다 작은 구성들에 대한 필요가 생기고 있다. 디자인 규격(design rule)은 0.2 ㎛ 또는 그보다 작은 피쳐 크기(feature size)를 얘기하고 있다. 이는 깊은 비어 내에서 막 도포성을 얻기가 어렵게 만든다.
피쳐 크기의 감소 경향은 예를 들어 메모리 회로 또는 소자, 예컨대 디램(dynamic random access memories; DRAMs), 플래시 메모리, 에스램(static random access memories; SRAMs), 강자성 메모리(ferroelectric memories), 및 집적회로 구성, 예컨대 상보성 금속 산화물 반도체(CMOS) 소자 내 게이트 전극 및 확산 장벽에서 뚜렷하다.
금속 카바이드는 전자 산업에서 게이트 전극으로부터 확산 장벽까지 널리 이용되고 있다. 예를 들어, 탄탈 카바이드(TaC)는 n-형 금속 산화물 반도체(NMOS) 게이트 전극으로 통상적으로 이용된다. 나아가, TaC는 금속 배선들 및 금속 라인들 사이의 계면에서 귀금속 원자들의 전기적이동(electromigration)을 방지하는 데 효과적인 것으로 알려졌다. 다른 예로, 금속 카바이드 막은 다마신(damascene) 및 듀얼 다마신 구조에서 장벽층으로 이용되어 왔다.
천이 금속 카바이드는 전형적으로 주기율표상의 4, 5, 6, 7, 8, 9, 10 또는 11족의 하나 또는 그 이상을 포함한다. 천이 금속 카바이드는 일반적으로 비교적 불활성이고 매우 높은 용융점을 갖고, 극도로 단단하고 내마모적이고, 그리고 높은 열전도성과 금속과 같은 전기 전도성을 갖는다. 이러한 이유로, 천이 금속 카바이드는 반도체 제조에서 저저항 확산 장벽용으로 제안되어 왔다(예컨대 국제특허출원 WO 00/01006호; 미국특허 US 5,916,365호를 보라).
천이 금속 카바이드는 넓은 범위의 조성을 갖는다. 정렬된(ordered) 그리고 정렬되지 않은(non-ordered) 탄소 부족 형태가 존재하고, 텅스텐 카바이드, WCx가 그 예이다. 이러한 형태에서, 탄소는 금속 원자들 사이의 침입형 캐비티(interstitial cavity) 내에 머문다. 금속 카바이드에 대한 일반적인 정보는 예를 들어, Ullmann의 산업화학 백과사전 5판, A5권, VCH Verlagsgesellschaft, 1986, 61-77쪽, 및 화학기술의 Kirk-Othemer 백과사전, 4판, 4권, John Wiley & Sons, Inc., 1992, 841-878쪽에서 찾을 수 있다.
금속 카바이드 막 또는 박막용으로 이용 가능한 증착 방법은 화학기상증착(chemical vapor deposition; CVD), 물리기상증착(physical vapor deposition; PVD) 및 원자층증착(ALD)(이는 때로 원자층에피탁시(atomic layer epitaxy; ALE)로도 불림)을 포함한다.
텅스텐 헥사플루오라이드(hexafluoirde), 수소, 및 탄소-함유 기체로부터 텅스텐 카바이드를 증착하기 위한 CVD법이 예를 들어, 국제특허출원 WO 00/47796에 개시된다. 탄소-함유 화합물은 초기에 열적으로 활성화된다. 기체 소스 케미컬 모두는 동시에 반응 챔버 내에 유입되어 기판 상에 비활성 텅스텐 카바이드 증착을 이룬다. WF6과 트리메틸아민(trimethylamine) 및 H2의 CVD 반응은 700℃-800℃에서 WC 막을 생성하고, 400℃-600℃에서 베타-WCx 막을 생성한다고 알려져 있다(Nakajima 등, J. Electrochem. Soc. 144 (1997) 2096-2100). H2 유량은 텅스텐 카바이드의 증착 속도에 영향을 미친다. 개시된 공정의 문제점은 기판 온도가 해당 반도체 제조, 특히 금속화 단계의 열 수지(thermal budget)에 비해서 다소 높다는 것이다.
PVD 공정은 일반적으로 가시선(line-of-sight)을 따라서 증착한다. PVD에 의해서 확산 장벽용으로 탄탈 카바이드를 증착하는 하나의 방법이 미국특허 US 5,973,400에 개시되어 있다. 탄탈 카바이드는 탄탈 또는 탄탈 카바이드를 N2/CH4/공기 환경에서 스퍼터링하여 형성되었다. 그러나 가시선 증착은 복잡한 기판 윤곽 내 가려진 영역에 불충분한 막 증착이 있다는 것을 의미한다. 부가적으로, 가시선 증착은 소스로부터 기판에 도달하는 저-휘발성 소스 물질이 그가 만나는 첫 번째 고상 표면상에 부착되어 저-등각(low-conformality) 도포를 야기한다는 것을 의미한다.
금속 카바이드 막을 형성하기 위한 "열" ALD법이 미국특허, US 6,482,262호 에 개시되고, 여기에서 기판은 순차적으로 그리고 교대로 둘 또는 그 이상의 소스 케미컬의 기상 펄스와 접촉된다. 여기에 기술된 방법에 따르면, 천이 금속 소스 케미컬 및 탄소 소스 기체는 교대로 그리고 순차적으로 반응 공간 내 상승된 온도에서 유지되는 기판에 노출된다. 요구되는 두께의 금속 카바이드(예컨대, TaC)를 형성하기 위해 펄스 순서가 반복된다. ALD의 자기-한정(self-limiting) 특성 대문에, 박막은 약 단층(monolayer; ML) 증분으로 성장된다. 따라서 ALD는 실질적으로 균일하고 고도로 등각적인 금속 카바이드 막을 생성하는 잠재력을 갖는다.
금속 카바이드를 형성하기 위한 종래기술의 문제점은 낮은 불순물 농도를 갖는 막 생성의 어려움을 포함한다. 사용된 전구체에 따라서, 금속 탄소 막은 높은 할로겐, 산소 및/또는 탄소 불순물들을 포함하고, 이 것이 막 품질 및 소자 성능을 심각하게 감소시킨다. ALD는 기하학적으로 도전적인 구조 상에서 균일한 금속 카바이드 막을 형성할 수 있음에도 불구하고, 고품질(즉, 낮은 불순물) 막을 생성하는 것은 종래 방법으로 달성하기 어려울 수 있다.
이에 따라 낮은 불순물 농도를 갖는 금속 카바이드 막을 증착하기 위한 개선된 ALD법이 필요하다.
본 발명의 일부 실시예들에 따르면, 환원제가 원소 금속 박막을 증착하기 위한 ALD-타입 공정에 이용된다. 이러한 막들은 이어서 탄소 소스 케미컬에 노출되어 금속 카바이드 박막들을 형성한다. 바람직한 실시예에서, 상기 환원제는 수소(H2)의 여기된 종들 및 실리콘-함유 화합물들로 구성된 군에서 선택된다. 상기 ALD-타입 공정은 전형적으로 다중 증착 사이클을 포함하고, 상기 환원제는 특정 ALD-타입 공정의 각 증착 사이클에 또는 상기 ALD-타입 공정 동안 단속적으로 제공될 수 있다.
본 발명의 일부 실시예들에서, 금속 카바이드 막을 성장시키기 위한 원자층증착(ALD) 공정이 개시된다. 상기 공정은 기판을 금속 소스 케미컬, 환원제, 및 탄소-함유 화합물의 공간적으로 그리고 시간적으로 분리된 기상 펄스들과 접촉시키는 단계를 포함한다.
본 발명의 다른 실시예들에서, 금속 카바이드 막을 성장하기 위한 원자층증착(ALD) 공정들이 제공된다. 상기 공정들은 바람직하게는 a) 반응 공간 내 기판을 금속 소스 케미컬의 기상 펄스와 접촉시키는 단계; b) 상기 반응 공간으로부터 여분의 금속 소스 케미컬을 제거하는 단계; c) 상기 기판을 환원제의 기상 펄스와 접촉시키는 단계; d) 상기 반응 공간으로부터 여분의 환원제를 제거하는 단계; e) 상기 기판을 탄소-함유 화합물의 기상 펄스와 접촉시키는 단계; 및 f) 상기 반응 공간으로부터 여하의 여분의 탄소-함유 화합물을 제거하는 단계를 포함한다. 여분의 반응물들 및 반응 부산물들은 기상 펄스들 중간에 또한 제거될 수 있다. 본 발명의 또 다른 실시예들에서, 금속 카바이드 박막을 성장시키기 위한 플라즈마-강화 원자층증착(plasma enhanced atomic layer deposition; PEALD) 공정들이 개시된다. 상기 공정들은 상기 기판의 노출된 표면상에 약 하나의 단일층의 금속 박막만 형성하는 금속 소스 물질; 상기 금속 박막을 원소 금속 박막으로 환원시키는 수소(H2)의 여기된 종들; 및 약 하나의 단일층의 금속 카바이드 박막만 형성하는 탄소 소스 물질의 공간적으로 그리고 시간적으로 분리된 기상 펄스들과 반응 공간 내의 기판을 교대로 그리고 순차적으로 접촉시키는 단계를 포함하고, 여하의 여분의 금속 소스 물질, 수소의 여기된 종들 및 탄소 소스 물질은 상기 펄스들의 각각의 후에 상기 반응 공간으로부터 제거된다.
이러한 실시예들의 모두는 여기에 개시된 본 발명의 범위 내에 있다. 본 발명의 이러한 그리고 다른 실시예들은 첨부된 도면을 참조한 하기의 상세한 설명으로부터 해당기술 분야에서 통상의 지식을 가진 자에게 명백할 것이고, 본 발명은 개시된 여하의 특정 바람직한 실시예들에 제한되지 않는다.
상기 발명은 바람직한 실시예들의 상세한 설명으로부터 그리고 상기 발명을 제한하지 않고 도시하기 위한 첨부된 도면들로부터 보다 더 잘 이해될 수 있다.
도 1은 원자층증착(ALD) 타입 공정에 의해 이성분 화합물의 형성 방법을 일반적으로 도시하는 순서도이고, 여기에서 환원제는 금속 소스 케미컬 후에 제공된다.
도 2는 도전성 금속 카바이드의 층 또는 박막을 포함하는 게이트 전극 구조의 개략적인 단면도이다.
도 3은 트렌치 및 비어 위에 형성된 금속 카바이드 얇은 장벽층을 포함하는 듀얼 다마신 구조의 개략적인 단면도이다.
여기에 기술된 ALD-타입 방법들은 종래기술 방법에 비해서 낮거나 또는 감소된 불순물 레벨을 갖는 금속 카바이드 박막의 형성을 효과적으로 가능하게 하고, 이에 의해서 막 품질을 향상시킨다. 바람직한 실시예들에서, 상기 ALD-타입 공정의 증착 사이클은 기판 표면을 금속 소스 케미컬에 노출하여 사이클당 최대 약 하나의 단일층(monolayer; ML)의 금속-함유 박막을 형성하는 단계 및 상기 금속-함유 박막을 환원제에 노출하여 상기 박막을 원소(elemental) 금속 박막으로 환원하는 단계를 바람직하게는 포함한다.
바람직한 실시예들의 방법에 따라 형성된 금속 카바이드 박막은 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 바나듐(V), 니오븀(Nb), 탄탈(Ta), 크롬(Cr), 몰리브덴(Mo), 텅스텐(W), 망간(Mn), 레늄(Re), 철(Fe), 코발트(Co), 니켈(Ni), 구리(Cu), 은(Ag), 금(Au), 팔라듐(Pd), 백금(Pt), 로듐(Rh), 이리듐(Ir), 루테늄(Ru), 오스뮴(Os) 및 알루미늄(Al)으로 구성된 군으로부터 선택된 하나 또는 그 이상의 금속들을 바람직하게는 포함한다.
정의
본 발명의 내용에서, "ALD 공정" 또는 "ALD-타입 공정"은 일반적으로 기판 상에 박막을 자기-포화(self-saturating) 그리고 자기-한정적(self-limiting) 화학 반응을 이용하여 분자층 단위로 제조하기 위한 공정을 지칭한다. "ALD-타입 공정"은 제한 없이 열 ALD 및 플라즈마-강화(plasma-enhanced) ALD(PEALD) 공정을 포함한다. ALD의 일반적인 원칙은 미국특허 US 4,058,430호 및 5,711,811호에 개시되고, 그 개시는 참조에 의해서 여기에 포함된다. ALD 공정에서, 전구체 또는 일부 경우에 소스 케미컬이라고도 불리는 기체 반응물은 반응기 내 반응 공간 내로 안내되어, 그곳에서 기판과 접촉하고 기판 표면과 자기-한정 방식으로 반응한다. 반응 챔버의 압력 및 온도는 전구체들의 물리흡착(physisorption) 및 열분해가 방지되는 범위로 조절된다. 입체적인 장애 때문에, 물질의 하나의 단일층(즉, 원자층 또는 분자층)까지만 각 펄스 사이클 동안의 시간에 증착된다. 따라서 전형적으로 Å/펄스 사이클로 표현되는 박막의 실제 성장 속도는 예를 들어 이용 가능한 반응 표면 사이트들 또는 그 표면상의 활성 사이트들의 수 및 화학흡착 분자들의 부피의 크기(bulkiness)에 의존한다. 전구체 펄스들이 시간에 따라서 서로 분리되고 반응 챔버가 비활성(inactive) 또는 불활성(inert) 기체(예컨대, 질소, 알곤 또는 수소)로 퍼지거나 그리고/또는 예컨대 전구체 펄스들 사이에 챔버로부터 여분의 기체 반응물들 및 반응 부산물들을 제거하도록 펌핑 시스템을 이용하여 비워지기 때문에, 전구체들 및 여하의 요구되지 않은 부산물들 사이의 기상 반응은 방지된다.
"반응 공간"은 챔버 또는 그 내에 임의로 정의된 부피로서 ALD에 의한 박막 성장에 영향을 미치도록 조건이 조절되는 부피를 지칭하도록 사용된다. 전형적으로, 반응 공간은 통상 반응 동안 확산 또는 비말동반(entrained) 흐름에 의해서 그로부터 기체 또는 입자들이 기판으로 유동되는 모든 반응 기체 펄스에 종속하는 표면들을 포함한다. 반응 공간은 예를 들어, 다중 기판들 상에서 증착이 동시에 일어나는 단일-웨이퍼 ALD 반응기 또는 배치 ALD 반응기 내일 수 있다. 다른 예로, 반응 공간은 PEALD 반응기 내일 수 있다. 예를 들어, ASM America, Inc.으로부터 이용 가능한 EmerALDTM 반응기가 이용될 수 있다.
"흡착(adsorption)"은 표면상의 원자 또는 분자의 화학적 부착을 지칭할 수 있다.
"박막(thin film)"은 소스로부터 기판으로 분리된 이온, 원자, 또는 분자로 이송되는 원소 또는 화합물로부터 기판 상에 성장된 막을 의미한다. 막의 두께는 그 적용에 의존하며, 넓은 범위, 바람직하게는 하나의 원자층으로부터 1,000 나노미터(nm) 또는 그 이상의 범위일 수 있다. 일부 실시예들에서, 박막은 바람직하게는 약 20 nm 미만, 보다 바람직하게는 약 10 nm 미만, 가장 바람직하게는 약 5 nm 미만의 두께를 가질 수 있다.
"금속 카바이드 막" 및 "금속 카바이드 박막"은 금속(또는 복수의 금속들) 및 탄소를 포함하는 박막을 지칭한다. 금속 카바이드 막은 일반적으로 MxCy로 지칭될 수 있으며, 여기에서 'M'은 금속 또는 복수의 금속들이고, 'C'는 탄소이고 'X' 및 'Y'는 숫자이다. 금속 카바이드 막은 화학양론적(즉, x=y)이거나 또는 비-화학양론적(즉, x≠y)일 수 있다.
바람직한 ALD법
여기에 제시된 방법은 기판 표면상에 금속 카바이드 박막의 조절된 증착을 가능하게 한다. 바람직한 실시예들에서, 상기 금속 카바이드 막은 적어도 하나의 할로겐-함유 반응물을 이용하여 증착된다. 바람직한 실시예들에서, 원자층증착(ALD) 타입 공정이 기판, 예컨대 집적회로 제품상에 금속 카바이드 박막을 형성하기 위해서 이용된다. 상기 박막이 그 위에 증착되는 상기 표면은 다양한 형태를 가질 수 있다. 예들은 비제한적으로 실리콘, 실리콘 산화물(SiO2), 코팅된 실리콘, 유전 물질, 저유전율(low-k) 물질, 금속, 예컨대 구리 및 알루미늄, 금속 합금, 금 속 산화물 및 다양한 질화물, 예컨대 천이 금속 질화물 및 실리콘 질화물 또는 위 물질의 조합을 포함한다. ALD로 특징지어지는 자기-포화 표면 반응을 이용하여 달성될 수 있는 고도로 등각적인 증착으로 인해서 기하학적으로 도전적인 응용이 또한 가능하다.
일 실시예에서, 기판 또는 제품은 반응 공간 내에 놓여지고, 금속 카바이드 막은 그 위에 자기-한정적인 증착 사이클의 반복을 포함하는 ALD-타입 공정에 의해서 형성된다. 바람직하게는, 각 증착 사이클은 공간적으로 그리고 시간적으로 분리된 적어도 세 개의 구별되는 국면(phase)의 적어도 세 개의 다른 반응물들 또는 소스 케미컬들의 기상 펄스와 기판을 교대로 그리고 순차적으로 접촉시키는 단계를 포함한다. 일부 실시예들에서, 각 증착 사이클은 세 개의 구별된 국면(phase)들을 포함하고 여기에서 "세 단계(three step)" 또는 "세 국면(three phase)" 방법으로 지칭된다. 제 1 반응물은 금속 전구체이고 기판 표면상에 단지 약 하나의 단일층을 형성하도록 화학흡착되고 증착될 박막 내에서 요구되는 금속 종(metal species)을 포함한다. 즉, 일단 모든 가능한 결합 사이트들이 채워지면, 더 이상의 전구체가 기판 표면상으로 또는 기판 표면과 반응하기 위해서 화학적으로 흡착될 수 없다. 제 2 반응물은 환원제이고 바람직하게는 금속(또는 금속성) 박막을 환원한다. 제 3 반응물은 탄소-함유 물질이고 금속 박막과 반응하여 단지 약 하나의 단일층의 금속 카바이드 박막을 기판 표면상에 형성한다. 증착될 막의 속성에 따라서, 하나 또는 그 이상의 반응물들이 예컨대 제 2 금속 반응물로 이용될 수 있다.
제 1 반응물은 또한 여기에서 "금속 소스 케미컬"또는 "금속 소스 물질"로 불릴 수 있고, 자기-한정적인 방식으로 화학흡착된다. 상기 제 2 반응물은 할로겐화물(halide)일 수 있고, 따라서 증착된 단일층은 할로겐 리간드로 종결될 수 있다. 금속 소스 케미컬의 펄스에 의해서 남겨진 화학흡착층은 그 펄스의 남겨진 화학과 비-반응적인 표면으로 자기-종결된다(self-terminated). 금속 할로겐화물은 금속 브롬화물(bromide), 금속 염화물(chloride), 금속 플루오르화물(fluoride) 및 금속 요오드화물(iodide)을 포함한다. 바람직한 실시예들에서, 금속 소스 케미컬은 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 바나듐(V), 니오븀(Nb), 탄탈(Ta), 크롬(Cr), 몰리브덴(Mo), 텅스텐(W), 망간(Mn), 레늄(Re), 철(Fe), 코발트(Co), 니켈(Ni), 구리(Cu), 은(Ag), 금(Au), 팔라듐(Pd), 백금(Pt), 이리듐(Ir), 로듐(Rh), 루테늄(Ru), 오스뮴(Os) 및 알루미늄(Al)으로 구성된 군으로부터 선택된 금속을 포함한다. 예로써, 금속 소스 케미컬은 TiCl4, ZrCl4, HfCl4, VCl5, NbCl5, TaCl5, CrCl4, WCl5, WCl6, WF6, 또는 AlCl3를 포함한다. 다른 실시예에서, 금속 소스 케미컬은 금속 유기 화합물이고 증착된 단일층은 탄소 불순물들을 포함할 수 있다. 금속 유기 화합물들은 금속 디알킬아미도(dialkylamido) 화합물 및 금속 시클로펜타다이에닐(cyclopentadienyl) 화합물들로 구성된 군으로부터 선택될 수 있다.
제 2 반응물은 바람직하게는 환원제이다. 상기 환원제는 성장 막 내의 금속(또는 복수의 금속들)을 보다 낮은 산화 상태로, 바람직하게는 원소 금속 상태로, 보다 바람직하게는 실질적으로 금속 상태로 환원할 수 있고 그에 따라 환원된 금속 막을 형성한다. 바람직한 실시예들에서, 상기 제 2 반응물은 할로겐화물 및/또는 다른 불순물들(예컨대, 탄소, 산소) 종들을 성장 막 및/또는 반응 공간으로부터 제거할 수 있다. 상기 제 2 화합물은 수소(H2)의 여기 종들(exited species)일 수 있다. 상기 수소의 여기 종들은 예컨대, 플라즈마 제너레이터 또는 라디칼 제너레이터에 의해서 인 시츄(in situ) 또는 원격으로 생성될 수 있다. 다른 실시예들에서, 상기 제 2 반응물은 실리콘-함유 화합물일 수 있다. 상기 실리콘-함유 화합물들은 예를 들어, 실란(silane; SiH4), 디실란(Si2H6) 및 트리실란(Si3H8)으로 구성된 군으로부터 선택될 수 있다. 상기 제 2 화합물은 또한 붕소-함유 화합물일 수 있다. 상기 붕소-함유 화합물들은 예를 들어, 보란(borane; BH3) 및 디보란(diborane; B2H6)으로 구성된 군으로부터 선택될 수 있다.
상기 환원제는 ALD 공정의 각 증착 사이클에 또는 증착 공정 동안의 단속적으로 제공될 수 있다. 예를 들어, 상기 환원제의 펄스는 상기 금속 소스 케미컬의 펄스에 앞설 수 있다. 다른 예로써, 상기 환원제는 매 1 내지 25 증착 사이클마다 제공될 수 있다. 바람직하게는, 상기 환원제가 제공되는 간격은 상기 금속 카바이드 박막들에 걸쳐서 조성적인 균일성을 담보하도록 선택된다. 상기 환원제는 이후 단계에서 형성되는 상기 금속(또는 금속성) 막과 반응하고 이를 환원하여, 그에 따라 상기 탄소-함유 화합물에 활성 사이트들을 제공한다. 상기 환원제가 제공되는 시간에, 사장 최근 사이클에 성장된 막은 바람직하게는 충분히 얇아서 상기 환원제가 그 막을 침투할 수 있다. 게다가, 만일 상기 환원제가 라디칼들, 예컨대 수소 라디칼들을 포함하면, 그것은 바람직하게는 초기에 증착 공정 내 한 점에서 제공되어 그 결과 그것이 증착된 막을 침투하지 못하고 하부 기판 물질을 손상시키지 않도록 한다.
제 3 화합물은 바람직하게는 상기 환원 금속 막에 탄소를 기여할 수 있다. 상기 제 3 화합물은 일부 실시예를 제외하고는 전형적으로 할로겐화물이 아니다. 바람직한 실시예에서, 상기 제 3 화합물은 탄화수소(hydrocarbon)이고, 보다 바람직하게는 알칸(alkanes), 알켄(alkenes) 및 알킨(alkynes)으로 구성된 군에서 선택된 탄화수소이다. 일부 실시예들에서, 상기 제 3 화합물은 아세틸렌(acetylene, C2H2)이다. 다른 실시예들에서, 상기 제 3 화합물은 붕소를 포함하는 탄소-함유 화합물, 예컨대 트리에틸 붕소(triethyl boron, TEB)이다. 상기 제 3 화합물은 여기에서 또한 "탄소 소스 물질", "탄소-함유 화합물", 또는 "탄소 소스 케미컬"로 불린다. 제 1, 제 2 및 제 3 반응물로 불리고 있음에도 불구하고, 이러한 지칭은 반응물들이 ALD 공정 내에 제공되는 순서와 반드시 대응하지는 않는다.
예시적인 실시예들에서, 균일한 금속 카바이드 막은 세 국면 ALD-타입 방법에 따라서 증착된다. ALD 증착 사이클의 "제 1 국면"에서, 금속 막이 기판 상에 증착된다. ALD 증착 사이클의 "제 2 국면"에서, 상기 금속 막은 원소 금속 막으로 환원된다. ALD 증착 사이클의 "제 3 국면"에서, 상기 금속 막은 탄소 소스 케미컬에 노출되어 금속 카바이드 막을 형성한다.
상기 제 1 국면에서(또한 "금속 국면"으로 불림), 금속 종들을 포함하는 반응물 또는 소스 케미컬이 반응 챔버 내에 공급되고 기판 표면에 화학흡착된다. 이 국면에서 공급된 상기 반응물은 바람직한 조건 하에서 상기 표면에 접할 수 있는 반응물의 양이 이용 가능한 결합 사이트들의 수 및 화학흡착된 종들(리간드들을 포함)의 물리적인 크기에 의해서 결정되도록 선택된다. 상기 금속 반응물의 펄스에 의해서 남겨진 화학흡착층은 그 펄스의 남은 화학과 비-반응적인 표면으로 자기-종결된다. 이러한 현상은 여기에서 "자기-포화"라고 지칭된다. 해당기술 분야에서 통상의 지식을 가진 자라면 이 국면의 자기-한정 특성이 전체 ALD 사이클이 자기 한정적이 되도록 하는 것을 인식할 것이다. 여분의 반응물 및 반응 부산물들(만일 있다면)은 반응 공간으로부터 예컨대 배기(evacuate) 또는 불활성 기체의 퍼지(purge)로 제거된다.
제품 표면상의 최대 모서리 도포성(step coverage)은 금속 소스 케미컬 분자의 약 단일 분자층(단일층)만이 각 자기-한정적인 펄스 내에서 화학흡착될 때 얻어진다. 화학흡착된 종들의 크기 및 반응 사이트들의 수 때문에, 다소 단일층(ML) 미만이 금속 반응물의 각 펄스 동안 증착될 수 있다.
일부 실시예들에서, 제 1 국면 전에, 상기 기판 표면에 초기 표면 종결이 제공된다. 이것은 상기 금속 소스 케미컬의 흡착을 증진시키기 위한 씨드층의 제공을 수반할 수 있다. 일부 경우에, 상기 씨드층은 상기 표면을 때리는 금속 소스 케미컬이 상기 표면상으로 화학흡착되는 확률(이는 통상적으로 부착 계수(sticking coefficient)로 불림)을 증진시킨다. 이에 따라, 상기 씨드층은 상기 금속 소스 케미컬의 부착 계수를 크게 하여, 따라서 상기 금속 소스 케미컬이 상기 표면상으로 흡착되는 효율을 증대시킨다.
상기 제 2 국면에서, 환원제의 펄스가 제공되어 앞서는 펄스에 의해서 상기 기판 표면상에 남겨진 분자들과 반응한다. 일부 실시예들에서, 상기 환원제는 여기된 수소 종들을 포함한다. 다른 실시예들에서, 상기 환원제는 실리콘-함유 화합물, 예컨대 실란, 디실란 및 트리실란을 포함한다. 부가적인 다른 실시예에서, 상기 환원제는 붕소-함유 화합물, 예컨대 보란 및 디보란을 포함한다. 상기 환원제는 바람직하게는 상기 금속 국면에서 증착된 상기 금속의 산화 상태를 낮춘다. 이 국면은 여기에서 "환원 국면"으로 불린다.
여분의 환원제 및 존재하는 여하의 반응 부산물들은, 퍼지 및/또는 배기에 의해서 반응 공간으로부터 제거된다.
상기 제 3 국면에서, 탄소 소스 케미컬이 제공된다. 바람직한 실시예들에서, 상기 탄소 소스 케미컬은 상기 환원 국면에 의해서 남겨진 상기 단일층과 반응하고 상기 막에 탄소 원자를 제공한다. 상기 탄소 소스 케미컬은 바람직하게는 탄화수소이고, 보다 바람직하게는 알칸, 알켄, 알킨의군에서 선택된 탄화수소이다. 상기 탄소 소스 케미컬은 예를 들어, 아세틸렌(C2H2)일 수 있다.
일 실시예에서, ALD-타입 공정은 다음을 포함한다:
1. 상기 반응 공간 내에 금속 할로겐화물을 제공하는 단계;
2. 상기 반응 공간으로부터 여하의 여분의 금속 할로겐화물 및 반응 부산물들을 제거하는 단계;
3. 상기 반응 공간 내로 환원제를 제공하는 단계;
4. 상기 반응 공간으로부터 여하의 여분의 환원제 및 반응 부산물들을 제거하는 단계;
5. 상기 반응 공간 내로 탄소 소스 케미컬을 제공하는 단계; 및
6. 상기 반응 공간으로부터 여하의 여분의 탄소 소스 케미컬 및 반응 부산물들을 제거하는 단계.
단계 1 및 2는 상기 제 1 단계에 대응하고, 단계 3 및 4는 상기 제 2 단계에 대응하고, 단계 5 및 6은 상기 제 3 단계에 대응한다. 제 1 단계, 제 2 단계 및 제 3 단계로 지칭되었음에도 불구하고, 이러한 지정은 이러한 단계들이 ALD 공정 내에서 수행되는 순서를 반드시 지칭하지는 않는다. 상기 ALD 공정은 바람직하게는 상기 세 국면들의 여하의 하나로 시작할 수 있다. 예를 들어, 상기 ALD공정은 상기 제 2 국면으로 시작하고, 상기 제 1 국면 및 상기 제 3 국면이 이어질 수 있다.
여분의 반응물들(또는 소스 케미컬들) 및 부산물들의 제거는 바람직하게는 퍼지 기체(예컨대, Ar, He)의 도움으로 수행된다. 일부 실시예들에서, 소스 케미컬은 캐리어 기체(예컨대, H2)의 도움으로 펄스화 될 수 있다. 그 경우, 캐리어 기체는 퍼지 기체로 기능할 수 있고, 반응물 제거 단계는 상기 소스 케미컬의 유동을 정지시키고 상기 캐리어 기체를 계속적으로 흘리는 것을 수반한다. 대안으로, 여분의 반응물들 및 부산물들의 제거는 펌프 시스템에 의해서 생성된 진공으로 배기하는 것을 수반한다. 반응물 제거는 퍼지 기체 및 펌프 시스템에 의해서 생성된 진공의 결합 사용을 수반할 수 있다.
도 1을 참조하면, 세-국면(또는 세-단계) 공정의 예시적인 실시예들에서, 초기표면 종결 후에, 필요하다면, 금속 소스 케미컬 펄스가 반응 공간 내 기판 또는 제품에 제공된다(102). 바람직한 실시예에 따라서, 상기 금속 소스 케미컬 펄스는 캐리어 기체 흐름(예컨대, Ar 또는 H2) 및 상기 기판의 관심 표면과 반응하는 휘발성 할로겐화물 종들을 포함하고, 상기 증착된 층의 일부를 형성할 금속 종들을 더 포함한다. 이에 따라, 할로겐-함유 종들은 상기 제품 표면상에 흡착된다. 상기 금속 소스 케미컬 펄스는 자기-포화적이고 상기 층이 상기 금속 소스 케미컬과 더 반응하는 것을 방지하면서 상기 단일층을 종결시키는 할로겐화물 말단을 초래하고, 그 결과 상기 제 1 반응물 펄스의 여하의 여분의 구성이 상기 초기 화학흡착된 단일층과 더 이상 반응하지 않게 된다. 상기 금속 소스 케미컬은 따라서 상기 제품 표면상에 금속의 약 하나의 단일층만 남긴다.
여분의 제 1 금속 반응물은 이어서 반응 공간으로부터 제거된다(104). 바람직하게는 이 단계(104)는 단지 여분의 반응물들 및 반응 부산물들을 상기 반응 공간으로부터 퍼지하기에 충분한 시간 동안 캐리어 기체를 계속 흘리면서 상기 제 1 반응물의 유동을 중단시키는 것을 수반한다. 바람직하게는, 두 반응 챔버 부피보다 크고, 보다 바람직하게는 약 세 반응 챔버 부피보다 큰 퍼지 기체가 반응물 펄스 중간에 상기 챔버를 통해서 흐른다. 바람직하게는 상기 제거 단계(104)는 상기 제 1 반응물 펄스의 유동을 중단시킨 후에 약 0.1초 및 20초 사이 동안 퍼지 기체를 계속 흘리는 것을 포함한다. 펄스간 퍼지는 예를 들어, 1999년 9월 8일 출원된 미국특허 US 6,511,539호에 설명되고, 그 개시는 참조에 의해서 여기에 포함된다. 다른 배열에서, 상기 챔버는 교호의 화학 작용들 사이에 하향 펌핑될 수 있다. 예를 들어, 1996년 6월 6일 공개되고 그 개시가 여기에 참조에 의해서 포함된 PCT 공개번호 WO96/17107을 보라. 상기 흡착 단계(102) 및 상기 반응물 제거 단계(104)는 함께 ALD 사이클에서 금속 국면으로 지칭된 제 1 국면(105)을 나타낸다.
도 1을 계속 참조하면, 환원제 펄스가 이어서 상기 제품에 제공된다(106). 상기 환원제는 상기 금속 소스 케미컬에 의해서 남겨진 상기 단일층과 반응하거나 그 위에 흡착되어 상기 제품 표면상에 원소 금속의 약 단일층만 남긴다. 상기 환원제는 바람직하게는 상기 금속 막으로부터 적어도 일부 할로겐화물 및/또는 다른 불순물들(예컨대, 탄소, 산소)을 제거하여, 상기 금속 막 내 상기 금속의 산화 상태를 낮춘다. 이 단계는 바람직하게는 상기 기판 상에 원소 금속 박막을 초래한다.
상기 단일층을 상기 환원제 펄스(106)로 완전히 포화시키고 반응시키기에 충분한 시간 간격 후에, 여분의 환원제 및 여하의 반응 부산물들은 상기 반응 공간으로부터 제거된다(108). 상기 제 1 반응물의 제거(104) 후에, 이 단계(108)는 바람직하게는 상기 환원제의 유동을 중단시키고 여분의 반응물들 및 상기 환원제로부터 휘발성 반응 부산물들이 상기 반응 공간으로부터 퍼지되기에 충분한 시간 간격 동안 캐리어 기체를 계속적으로 흘리는 것을 포함한다. 상기 제 2 반응물 펄스(106) 및 상기 제거(108)는 함께 도시된 공정 내에서 환원 국면으로 지칭될 수 있는 제 2 국면(109)을 나타낸다.
상기 환원제 펄스의 여분의 반응물들은, 만일 존재한다면, 상기 챔버로부터 제거되고(108), 탄소 소스 케미컬 펄스가 상기 제품에 제공된다(110). 바람직하게는, 상기 탄소 소스 케미컬은 상기 환원 국면에서 형성된 상기 금속 막과 반응하여 금속 카바이드 박막을 형성한다. 온도 및 압력 조건들은 바람직하게는 이러한 반응을 촉진하고 상기 탄소 소스 케미컬이 상기 단일층을 통해서 하부의 물질로 확산하 는 것을 방지하도록 설정된다.
상기 단일층을 상기 탄소 소스 케미컬과 반응시키기에 충분한 시간 간격 후, 여부의 탄소 소스 케미컬 및 여하의 휘발성 반응 부산물들은 상기 반응 공간으로부터 바람직하게는 퍼지 기체의 도움으로 제거된다(112). 상기 제거는 단계(104)에 설명된 바와 같다. 상기 탄소 소스 케미컬 펄스(110) 및 상기 제거(112)는 함께 도시된 ALD 공정의 제 3 국면(113)을 나타내고, 이는 탄소-기여 국면(carbon-contributing phase)으로 여겨질 수 있다. 이 단계는 상기 금속 국면에서 증착된 상기 금속의 산화 상태를 증가시키기 때문에, 이 단계는 산화 국면으로 또한 여겨질 수 있다.
상기 제거 단계(112) 후, 상기 세-국면 공정이 반복되어(114), 요구되는(또는 소정의) 두께의 금속 카바이드 막을 형성한다. 일부 경우에, 수 나노미터 두께의 금속 카바이드 막을 형성하는 것은 상기 세-단계 공정을 여러 번 반복하는 것을 요한다. 일부 실시예들에서, 상기 세-단계 공정은 적어도 10번 반복된다. 다른 실시예들에서, 상기 세-단계 공정은 적어도 100번 반복된다. 더 다른 실시예들에서, 상기 세-단계 공정은 1000번 반복된다. 부가적인 다른 실시예들에서, 각 국면은 요구되는 만큼 반복될 수 있다. 예를 들어, 상기 금속 국면(105)은 상기 환원 국면(109) 전에 적어도 한번 반복될 수 있다. 다른 예로, 상기 금속 국면(105) 및 환원 국면(109)이 상기 탄소-기여 국면(113) 전에 적어도 한번 반복될 수 있다.
결과적으로 균일한 금속 카바이드 막 또는 고순도 박막이 상기 제품 상에 형성된다.
탄소-함유 막의 증착
전술한 실시예들은 특정 막 화학 범주에서 설명될 것이다.
일 실시예에서, 금속 카바이드 박막 증착용 일반적인 펄스 순서는 아래와 같다:
(MXy + 퍼지 + 환원제 + 퍼지 + 탄소 소스 케미컬 + 퍼지) x m,
여기에서 'm'은 총 사이클의 수이고, 'M'은 금속 원자이고, 바람직하게는 Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Co, Ni, Cu, Ag, Au, Pd, Pt, Rh, Ir, Ru, Os 및 Al으로 구성된 군에서 선택된다. 그러나 다른 실시예들에서, M은 Zn, Cd, Ge, Si, Sn, Sb, Ga 및 B로 구성된 군에서 선택된다. 상기 환원제는 바람직하게는 수소, 실리콘-함유 화합물 및 붕소-하유 화합물의 여기된 종들로 구성된 군에서 선택된다. 실리콘-함유 화합물은 제한 없이 실란(SiH4), 디실란(Si2H6) 및 트리실란(Si3H8)을 포함한다. 붕소-함유 화합물은 제한 없이 보란(BH3) 및 디보란(B2H6)을 포함한다.
'X'는 하나 또는 그 이상의 M 리간들이고, 'y'는 하나 또는 그보다 큰 정수이다. 각 X는 바람직하게는 I, Br, Cl 및 F으로 구성된 군으로부터 선택된 할로겐 리간드이다. 그러나 일부 실시예들에서, 적어도 하나의 X는 유기 리간드, 예컨대 시클로펜타다이에닐(cyclopentadienyl) (예를 들어, 시클로펜타다이에닐, 메틸시클로펜타다이에닐(methylcyclopentadienyl), 펜타메틸시클로펜타다이에 닐(pentamethylcyclopentadienyl), 에틸시클로펜타다이에닐(ethylcyclopentadienyl), 이소프로필시클로펜타다이에닐(isopropylcyclopentadienyl), 터트뷰틸시클로펜타다이에닐(tertbutylcyclopentadienyl), 및 인데닐(indenyl)), 알콕사이드(alkoxide) (예를 들어, 메톡사이드(methoxide), 에톡사이드(ethoxide), 아이소프로폭사이드(isopropoxide) 및 터트뷰톡사이드(tertbutoxide)), 알킬(alkyl) (예를 들어, 메틸, 에틸, 프로필, 및 뷰틸), 카르보닐(carbonyl), 시클로-옥타디엔(cyclo-octadiene), 벤젠(benzene) 또는 수소 리간드(ligand)일 수 있다. 그러나, Xy 리간드들 중 적어도 하나는 바람직하게는 할로겐이다. 혼합 리간드를 갖는 금속 반응물의 일 예로써, 금속 소스 케미컬은 비스(bis)(시클로펜타다이에닐)하프늄 디클로라이드 또는 비스(시클로펜타다이에닐)탄탈(V) 트리클로라이드일 수 있다. 상기 탄소 소스 케미컬 (또는 탄소 소스 물질)은 바람직하게는 탄화수소, 보다 바람직하게는 알칸, 알켄 및 알킨으로 구성된 군에서 선택된 탄화수소이다. 예를 들어, 상기 탄소 소스 케미컬은 아세틸렌(C2H2)일 수 있다. 일부 실시예들에서, 상기 탄소 소스 케미컬은 붕소를 포함하는 탄소-함유 화합물, 예컨대 TEB (B(CH2CH3))이다.
TaC 막 형성용 예시적인 ALD 펄스 순서는 다음을 포함한다:
(TaF5 펄스 + 퍼지 + H2 플라즈마 펄스 + 퍼지 + C2H2 펄스 + 퍼지) x m;
(TaF5 펄스 + 퍼지 + H2 플라즈마 펄스 + 퍼지 + TEB 펄스 + 퍼지) x m; 및
(TaF5 펄스 + 퍼지 + SiH4 펄스 + 퍼지 + C2H2 펄스 + 퍼지) x m,
여기에서, 'm'은 총 사이클 수이고 각 경우에 변경될 수 있다.
위 ALD 예에서, 기판 또는 제품 온도는 금속 카바이드 막의 형성을 촉진시키도록 조절될 수 있다(예컨대, 열 ALD).
텅스텐-카바이드(WC) 박막 형성용 예시적인 ALD 펄스 순서는 다음을 포함한다:
(WF6 펄스 + 퍼지 + SiH4 펄스 + 퍼지 + C2H2 펄스 + 퍼지)] x m.
AlC 막 형성용 예시적인 ALD 펄스 순서는 다음을 포함한다:
(AlCl3 펄스 + 퍼지 + H2 플라즈마 펄스 + 퍼지 + C2H2 펄스 + 퍼지)] x m.
탄탈 카바이드 막은 ALD-타입 공정 내 실리콘 디옥사이드(SiO2) 기판 상에서 증착되었다. 단계들의 순서는 공간적으로 그리고 시간적으로 분리된 기상 펄스의 탄탈 플루오라이드(TaF5), 수소 라디칼들("H2 *") 및 탄소 소스 케미컬(TEB 또는 C2H2)로 자연 산화물을 포함하는 실리콘 기판을 교대로 그리고 순서대로 접촉하는 것을 포함했다. 상기 기판은 PEALD 반응기 내의 서셉터 상에 지지되었다. 증착은 약 300℃-350℃ 사이의 서셉터 온도에서 수행되었다. 반응기 압력은 약 3 토르(torr)였다. 상기 TaF5 소스 기체는 약 125℃의 온도에서 유지되었다. 알곤(Ar)은 상기 반응기 내로 약 650 sccm의 유량으로 유입되었고 캐리어 및 퍼지 기체로 기능하였다. H2 *는 상기 기판 상에 배치된 샤워헤드에 플라즈마 전력(약 100-400W)을 공급함으로써 생성되었다. 상기 샤워헤드 온도는 약 250℃에서 유지되었다. 기체 펄스의 순서 및 펄스 시간(밀리초, 'ms')은 아래와 같다:
(1) TaF5 펄스 (900 ms)
(2) Ar 퍼지 (3000 ms);
(3) H2 * 펄스 (2000-4000 ms);
(4) Ar 퍼지 (2000 ms);
(5) TEB 또는 C2H2 펄스 (1000-2000 ms); 및
(6) Ar 퍼지 (4000-5000 ms).
단계 (1)-(6)은 약 800번 반복되었다.
금속 카바이드 막 성장 속도, 두께, 및 특성(밀도, 거칠기 및 비저항)은 x-선 반사측정(reflectometry, XRR)에 의해서 결정되었고 사용된 탄소 소스 케미컬(TEB 또는 C2H2)에 따라서 변했다. 이러한 결과는 표 1에 요약되었다.
표 1: 탄탈 카바이드 막 특성
TEB C2H2
성장 속도 0.29 Å/사이클 0.44 Å/사이클
두께 233 Å 350 Å
밀도 12.5 g/cm3 13.3 g/cm3
거칠기 23 Å 20 Å
비저항 349 μΩ㎝ 20 3μΩ㎝
상기 막의 탄탈, 탄소 및 산소 농도는 상기 탄소 소스 케미컬에 따라서 심하게 변하지는 않았다. 상기 막내 탄탈, 탄소 및 산소의 분포는 오제 전자 분광기(auger electron spectroscopy; AES)에서 결정된 바와 같이, 각각 약 50%, 40%, 10%이었다. 산소 농도는 증착 후 막의 공기 중 노출에 기인할 수 있다. 산소 농도는 또한 금속 전구체 내에 존재하는 산소로부터 기인할 수 있다. 예를 들어, 일 형태의 전구체는 산화되어 메탈옥소할라이드(metaloxohalides)(예컨대, MOxFy)를 형성한다. 이러한 화합물은 증발하여 기판 상으로 이송될 수 있도록 충분히 높은 증기압을 가질 수 있다.
바람직한 방법에 따라 형성된 막들은 X-선 회절(XRD) 분석에 의해서 결정된 바와 같이, (111), (200), 및 (220) 결정학적 도메인들을 갖는다.
반도체 소자 응용
반도체 소자 구조의 제조 방법이 이제 설명된다. 몇 가지 구체적인 내용으로 설명되었음에도 불구하고, 해당기술 분야에서 통상의 지식을 가진 자라면 여기에 기술된 공정들이 많은 다른 내용에 또한 적용될 수 있음을 인식할 것이다.
일부 실시예들에서, 본 발명의 방법들은 도전성 금속 카바이드 전극을 형성하기 위해서 사용될 수 있다. 도 2를 참조하면, 실리콘 기판(200)이 고유전율(high-k dielectric) 물질(210)의 층을 포함하는 것으로 도시된다. 상기 기판은 고유전율 물질의 증착 전에 전처리된다. 예를 들어, 일부 실시예들에서, 얇은 계면 층(미도시)이 고유전율 물질의 증착 전에 증착될 수 있다. 일 실시예에서, 얇은 화학 산화물 또는 산질화물(oxynitride)이 표면상에 형성된다. 다른 실시예에서, 열 산화물이 기판 상에 성장된다.
"고유전율(high-k)"은 일반적으로 실리콘 산화물보다 큰 유전 상수(k)를 갖는 유전 물질을 지칭한다. 바람직하게는, 고유전율 물질은 5보다 큰, 보다 바람직하게는 10보다 큰 유전 상수를 갖는다. 예시적인 고유전율 물질은 제한 없이 HfO2, ZrO2, Al2O3, TiO2, Ta2O5, Sc2O3, 란탄족(lantanide) 산화물 및 그 혼합물, 실리케이트 및 물질들, 예컨대 YSZ (이트리어 안정된 지르코니아(yttria-stabilized zirconia)), 바륨 스트론튬 티타네이트(BST), 스트론튬 티타네이트(ST), 스트론튬 비스무스 탄탈레이트(bismuth tantalate; SBT) 및 비스무스 탄탈레이트(BT)를 포함한다. 바람직하게는, 고유전율 물질은 ALD 공정에 의해서 증착된다.
도전성 금속 카바이드(220)의 층 또는 박막이 ALD-타입 공정에 의해서 유전(고유전율 물질)층(210) 상에 전술한 바와 같이 증착되어 도시된 구조를 형성한다. 도신된 실시예들에서 층들은 그 스케일에 따라서 반드시 도시된 것은 아니란 것이 이해되어야 한다. 금속 카바이드 및 하부의 고유전율 물질은 전극을 형성하도록 패터닝 된다.
금속 카바이드 박막(220)은 바람직하게는 상기 기판을 전술한 금속 소스 케미컬, 환원제 및 탄소 소스 케미컬의 교호의 펄스들로 접촉시켜서 유전층(210) 상에 증착된다. 상기 금속 소스 케미컬은 바람직하게는 할로겐화 화합물(예컨대 TaCl5)이다. 상기 환원제는 바람직하게는 수소 및 실리콘-함유 화합물의 여기된 종들로 구성된 군으로부터 선택되고; 상기 탄소 소스 케미컬은 바람직하게는 탄화수소이다.
반응하지 않은 소스 케미컬들 및 반응 부산물들은 각 소스 케미컬 펄스 후에 예컨대 불활성 기체(예컨대, N2 또는 Ar)로 퍼지 및/또는 배기에 의해서 제거된다. 일부 실시예들에서, 배기는 진공 펌프 또는 복수의 진공 펌프들의 도움으로 달성된다. 펄스 사이클은 요구되는 두께의 금속 카바이드층이 형성될 때까지 반복된다. 바람직하게는, 상기 금속 카바이드층은 약 5Å 및 1000Å 사이의 두께를 갖는다. 펄스 사이클은 환원 국면으로 또는 탄소 소스 케미컬의 펄스로 끝난다.
일부 실시예들에서, 상기 전극을 형성하기 위해 증착된 상기 도전성 금속 카바이드들은 Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Re, Fe, Co, Ni, Cu, Ag, Au, Pd, Pt, Rh, Ir, Ru, Os 및 Al으로 구성된 군에서 선택된 하나 또는 그 이상의 금속들을 포함한다. 다른 실시예들에서, 상기 금속 카바이드는 Zn, Cd, Ge, Si, Sn, Sb, Ga 및 B로 이루어진 군으로부터 선택된 금속을 포함한다. 나아가, 비도전성 카바이들, 예컨대 SiC 또한 증착될 수 있다.
일부 실시예들에서, 금속 카바이드는 전극을 형성한다. 다른 실시예들(미도시)에서, 다른 도전성 물질, 예컨대 금속 또는 폴리-실리콘이 상기 금속 카바이드 상에 증착된다. 부가적인 도전성 물질은 ALD 또는 다른 증착 공정, 예컨대 CVD 또는 PVD에 의해서 증착될 수 있다. 상기 증착은 선택적일 수 있고, 이어서 패터닝 단계가 이어질 수 있다.
더 다른 실시예에 따르면, 어닐링이 금속 카바이드 증착 후에 수행될 수 있다. 적절한 대기, 예컨대 N2 또는 포밍 기체(N2/H2) 및 다른 어닐링 조건은 해당기술 분야의 전문가에서 명백하다.
부가적인 공정 단계들, 예컨대 스페이서 증착 및 소스/드레인 이온주입은 해당기술 분야의 전문가에게 명백하다.
다른 실시예들에서, 금속 카바이드 박막은 (전술한) ALD-타입 공정에 의해서 배선 금속화용 장벽층을 형성하도록 증착될 수 있다. 상기 기판은 높은 애스펙트비의 트렌치 및 비어를 갖는 다마신 또는 듀얼 다마신 구조를 포함한다. 도 3을 참조하면, 일 실시예에서, 듀얼 다마신 구조(300)는 기판(305) 상에 트렌치(310), 비어(320) 및 유전층들(340, 350)을 포함한다. 구조(300)는 ALD 또는 PEALD 반응 챔버 내에 놓여지고, 금속 카바이드 박막 장벽층(360)이 그 구조(300)를 전술한 금속 소스 케미컬, 환원제 및 탄소 소스 케미컬의 교호의 펄스에 접촉시킴으로써 트렌치(310) 및 비어(320) 상에 증착된다.
전술한 모든 실시예들에서, 일 실시예에서 사용된 여하의 구성도 그러한 교환이 가능한 이상 다른 실시예들에서 교환적으로 사용될 수 있다.
본 발명의 사상으로부터 벗어나지 않고 수많은 그리고 다양한 변형이 행해질 수 있음이 해당기술 분야에서 통상의 지식을 가진 자에 의해서 이해될 수 있다. 따라서 여기에 제시된 실시예들은 본 발명의 범위를 제한할 목적으로 제공된 것이 아니라는 것이 분명하게 이해되어야 한다. 모든 수정 및 변화는 첨부된 청구항에 의 해서 한정된 바와 같이 본 발명의 범위 내로 의도된다.

Claims (65)

  1. 반응 공간 내의 기판 상에 금속 카바이드 막을 성장하기 위한 원자층 증착(atomic layer deposition, ALD) 공정으로서,
    상기 기판을 금속 소스 케미컬, 환원제, 및 탄소-함유 화합물의 공간적으로 그리고 시간적으로 분리된 기상 펄스들과 교대적으로(alternately) 그리고 순차적으로(sequentially) 접촉시키는 단계를 포함하는 원자층 증착(ALD) 공정.
  2. 제 1 항에 있어서, 상기 펄스들 각각의 후에 여분의 금속 소스 케미컬, 환원제 또는 탄소-함유 화합물을 제거하는 단계를 더 포함하는 원자층 증착 공정.
  3. 제 2 항에 있어서, 상기 제거하는 단계는 상기 반응 공간을 불활성 기체로 퍼지하는 단계를 포함하는 원자층 증착 공정.
  4. 제 2 항에 있어서, 상기 제거하는 단계는 펌프 시스템에 의해서 생성된 진공을 이용하여 상기 반응 공간을 배기시키는 단계를 포함하는 원자층 증착 공정.
  5. 반응 공간 내의 기판 상에 금속 카바이드 박막을 성장시키기 위한 원자층 증착(atomic layer deposition, ALD) 공정으로서,
    a) 상기 기판을 금속 소스 케미컬의 기상 펄스와 접촉시키는 단계;
    b) 상기 반응 공간으로부터 여분의 금속 소스 케미컬을 제거하는 단계;
    c) 상기 기판을 환원제의 기상 펄스와 접촉시키는 단계;
    d) 상기 반응 공간으로부터 여분의 환원제를 제거하는 단계;
    e) 상기 기판을 탄소-함유 화합물의 기상 펄스와 접촉시키는 단계; 및
    f) 상기 반응 공간으로부터 여하의(any) 여분의 탄소-함유 화합물을 제거하는 단계를 포함하는 원자층 증착(ALD) 공정.
  6. 제 5 항에 있어서, 상기 단계 a) 내지 상기 단계 f)를 소정 두께의 금속 카바이드 막이 상기 기판 상에 형성될 때까지 반복하는 단계를 더 포함하는 원자층 증착(ALD) 공정.
  7. 제 5 항에 있어서, 상기 단계 a) 내지 상기 단계 d)는 상기 단계 e) 및 상기 단계 f) 전에 소정 회수만큼 반복되는 원자층 증착(ALD) 공정.
  8. 제 1 항 또는 제 5 항에 있어서, 상기 환원제는 실란(SiH4), 디실란(Si2H6) 및 트리실란(Si3H8)으로 구성된 군으로부터 선택된 실리콘-함유 화합물인 원자층 증착 공정.
  9. 제 1 항 또는 제 5 항에 있어서, 상기 환원제는 보란(BH3) 및 디보란(B2H6)으로 구성된 군으로부터 선택된 붕소-함유 화합물인 원자층 증착 공정.
  10. 제 1 항 또는 제 5 항에 있어서, 상기 환원제는 수소(H2)의 여기된 종들을 포함하는 원자층 증착 공정.
  11. 제 10 항에 있어서, 상기 수소의 여기된 종들은 상기 반응 공간 내에서 생성된 원자층 증착 공정.
  12. 제 10 항에 있어서, 상기 수소의 여기된 종들은 원격으로 생성된 원자층 증착 공정.
  13. 제 1 항 또는 제 5 항에 있어서, 상기 금속 카바이드 막은 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 바나듐(V), 니오븀(Nb), 탄탈(Ta), 크롬(Cr), 몰리브덴(Mo), 텅스텐(W), 망간(Mn), 레늄(Re), 철(Fe), 코발트(Co), 니켈(Ni), 구리(Cu), 은(Ag), 금(Au), 팔라듐(Pd), 백금(Pt), 로듐(Rh), 이리듐(Ir), 루테늄(Ru), 오스뮴(Os) 및 알루미늄(Al)으로 구성된 군으로부터 선택된 하나 또는 그보다 많은 금속을 포함하는 원자층 증착 공정.
  14. 제 1 항 또는 제 5 항에 있어서, 상기 금속 소스 케미컬은 적어도 하나의 리간드(ligand)를 포함하는 원자층 증착 공정.
  15. 제 14 항에 있어서, 상기 리간드는 다이알킬아미도(dialkylamido) 화합물, 시클로펜타다이에닐(cyclopentadienyl) 화합물, 알콕사이드(alkoxide) 화합물, 알킬(alkyl) 화합물, 카르보닐(carbonyl), 시클로-옥타디엔(cyclo-octadiene), 벤젠(benzene) 및 하이드로간드(hydrogand)로 구성된 군에서 선택된 유기 종들을 포함하는 원자층 증착 공정.
  16. 제 14 항에 있어서, 상기 리간드는 브롬(Br), 염소(Cl), 불소(F) 및 요오드(I)로 구성된 군에서 선택된 할로겐을 포함하는 원자층 증착 공정.
  17. 제 16 항에 있어서, 상기 금속 소스 케미컬은 TiCl4, ZrCl4, HfCl4, VCl5, NbCl5, TaCl5, TaF5, CrCl4, WCl5, WCl6, WF6 및 AlCl3으로 구성된 군에서 선택된 원자층 증착 공정.
  18. 제 1 항 또는 제 5 항에 있어서, 상기 탄소-함유 화합물은 탄화수소(hydrocarbon)인 원자층 증착 공정.
  19. 제 18 항에 있어서, 상기 탄화수소는 알칸(alkanes), 알켄(alkenes) 및 알킨(alkynes)으로 구성된 군에서 선택된 원자층 증착 공정
  20. 제 19 항에 있어서, 상기 탄화수소는 아세틸렌(C2H2)인 원자층 증착 공정.
  21. 제 1 항 또는 제 5 항에 있어서, 상기 탄소-함유 화합물은 붕소를 포함하는 원자층 증착 공정.
  22. 제 21 항에 있어서, 상기 탄소-함유 화합물은 트리에틸 붕소(B(CH2CH3)3)인 원자층 증착 공정.
  23. 제 1 항 또는 제 5 항에 있어서, 상기 환원제는 상기 ALD 공정 동안 단속적으로(at intervals) 제공된 원자층 증착 공정.
  24. 기판 상에 금속 카바이드 박막을 성장시키기 위한 플라즈마-강화 원자층 증착(PEALD) 공정으로서,
    상기 기판의 노출된 표면상에 하나의 단일층의 금속 박막만 형성하는 금속 소스 물질;
    상기 금속 박막을 원소 금속 박막으로 환원시키는 수소(H2)의 여기된 종들(species); 및
    하나의 단일층의 금속 카바이드 박막만 형성하는 탄소 소스 물질의 공간적으로 그리고 시간적으로 분리된 기상 펄스들과 반응 공간 내의 상기 기판을 교대로 그리고 순차적으로 접촉시키는 단계를 포함하고,
    여하의 여분의 금속 소스 물질, 수소의 여기된 종들 및 탄소 소스 물질은 상기 펄스들의 각각의 후에 상기 반응 공간으로부터 제거되는 플라즈마-강화 원자층 증착 공정.
  25. 제 24 항에 있어서, 상기 금속 카바이드 박막은 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 바나듐(V), 니오븀(Nb), 탄탈(Ta), 크롬(Cr), 몰리브덴(Mo), 텅스텐(W), 망간(Mn), 레늄(Re), 철(Fe), 코발트(Co), 니켈(Ni), 구리(Cu), 은(Ag), 금(Au), 팔라듐(Pd), 백금(Pt), 로듐(Rh), 이리듐(Ir), 루테늄(Ru), 오스뮴(Os) 및 알루미늄(Al)으로 구성된 군으로부터 선택된 하나 또는 그보다 많은 금속을 포함하는 플라즈마-강화 원자층 증착 공정.
  26. 제 24 항에 있어서, 상기 금속 소스 물질은 금속 할로겐화물 및 금속 유기 화합물로 구성된 군에서 선택되는 플라즈마-강화 원자층 증착 공정.
  27. 제 26 항에 있어서, 상기 금속 소스 물질은 TiCl4, ZrCl4, HfCl4, VCl5, NbCl5, TaCl5, TaF5, CrCl4, WCl5, WCl6, WF6 및 AlCl3으로 구성된 군에서 선택된 플라즈마-강화 원자층 증착 공정.
  28. 제 26 항에 있어서, 상기 금속 유기 화합물은 금속 다이알킬아미도(dialkylamido) 화합물 및 금속 시클로펜타다이에닐(cyclopentadienyl) 화합물로 구성된 군에서 선택되는 플라즈마-강화 원자층 증착 공정.
  29. 제 24 항에 있어서, 상기 펄스들 각각의 후에 상기 반응 공간으로부터 여하의 반응 부산물들을 제거하는 단계를 더 포함하는 플라즈마-강화 원자층 증착 공정.
  30. 제 24 항에 있어서, 상기 탄소 소스 물질은 탄화수소인 플라즈마-강화 원자층 증착 공정.
  31. 제 30 항에 있어서, 상기 탄화수소는 알칸(alkanes), 알켄(alkenes) 및 알킨(alkynes)으로 구성된 군에서 선택되는 플라즈마-강화 원자층 증착 공정.
  32. 제 24 항에 있어서, 상기 탄소 소스 물질은 붕소를 포함하는 플라즈마-강화 원자층 증착 공정.
  33. 제 32 항에 있어서, 상기 탄소 소스 물질은 트리에틸 붕소(B(CH2CH3)3)인 플라즈마-강화 원자층 증착 공정.
  34. 제 24 항에 있어서, 상기 수소의 여기된 종들은 상기 반응 공간 내에서 생성된 플라즈마-강화 원자층 증착 공정.
  35. 제 24 항에 있어서, 상기 수소의 여기된 종들은 원격 플라즈마 제너레이터에서 생성된 플라즈마-강화 원자층 증착 공정.
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
  63. 삭제
  64. 삭제
  65. 삭제
KR1020097011136A 2006-11-01 2007-10-22 금속 카바이드 막의 기상 증착 KR101506019B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/591,845 2006-11-01
US11/591,845 US7611751B2 (en) 2006-11-01 2006-11-01 Vapor deposition of metal carbide films
PCT/US2007/082131 WO2008057749A1 (en) 2006-11-01 2007-10-22 Vapor deposition of metal carbide films

Publications (2)

Publication Number Publication Date
KR20090085654A KR20090085654A (ko) 2009-08-07
KR101506019B1 true KR101506019B1 (ko) 2015-03-25

Family

ID=39166952

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097011136A KR101506019B1 (ko) 2006-11-01 2007-10-22 금속 카바이드 막의 기상 증착

Country Status (5)

Country Link
US (1) US7611751B2 (ko)
JP (1) JP5497442B2 (ko)
KR (1) KR101506019B1 (ko)
TW (1) TWI410515B (ko)
WO (1) WO2008057749A1 (ko)

Families Citing this family (418)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
KR100760920B1 (ko) * 2006-07-25 2007-09-21 동부일렉트로닉스 주식회사 반도체 집적회로 소자에서 구리 배선을 형성하는 방법
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US20090315093A1 (en) * 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US7666474B2 (en) * 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) * 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US8189364B2 (en) 2008-12-17 2012-05-29 Qs Semiconductor Australia Pty Ltd. Charge retention structures and techniques for implementing charge controlled resistors in memory cells and arrays of memory
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110042685A1 (en) * 2009-08-18 2011-02-24 Qs Semiconductor Australia Pty Ltd Substrates and methods of fabricating epitaxial silicon carbide structures with sequential emphasis
TWI400357B (zh) * 2010-05-05 2013-07-01 Hon Hai Prec Ind Co Ltd 表面強化基體及其製備方法
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP5957179B2 (ja) * 2011-01-28 2016-07-27 ソウル バイオシス カンパニー リミテッドSeoul Viosys Co.,Ltd. 炭化アルミニウム薄膜、炭化アルミニウム薄膜を形成した半導体基板及びそれらの製造方法
JP5938164B2 (ja) * 2011-02-21 2016-06-22 東京エレクトロン株式会社 成膜方法、成膜装置、半導体装置及びその製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
TWI545628B (zh) * 2011-06-20 2016-08-11 應用材料股份有限公司 具有起始層之n型金屬薄膜沉積
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6022228B2 (ja) 2011-09-14 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9625372B2 (en) * 2012-04-16 2017-04-18 Sensor Electronic Technology, Inc. Ultraviolet-based ozone sensor
US10151685B2 (en) * 2012-04-16 2018-12-11 Sensor Electronic Technology, Inc. Ultraviolet-based gas sensor
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9580828B2 (en) 2012-09-17 2017-02-28 The United States of America, as represented by the Secretary of Commerce (NIST) Self-terminating growth of platinum by electrochemical deposition
US11579344B2 (en) 2012-09-17 2023-02-14 Government Of The United States Of America, As Represented By The Secretary Of Commerce Metallic grating
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI559381B (zh) * 2013-02-19 2016-11-21 應用材料股份有限公司 金屬合金薄膜的原子層沉積
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9310684B2 (en) * 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) * 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR101849861B1 (ko) * 2014-03-28 2018-05-31 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9624577B2 (en) 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9875890B2 (en) * 2015-03-24 2018-01-23 Lam Research Corporation Deposition of metal dielectric film for hardmasks
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP6604801B2 (ja) * 2015-09-29 2019-11-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
KR102451440B1 (ko) 2016-06-15 2022-10-05 이스트만 케미칼 컴파니 물리적 증착된 바이오센서 컴포넌트
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US11630075B2 (en) 2016-09-16 2023-04-18 Eastman Chemical Company Biosensor electrodes prepared by physical vapor deposition
JP7096816B2 (ja) 2016-09-16 2022-07-06 イーストマン ケミカル カンパニー 物理蒸着によって製造されるバイオセンサー電極
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
JP6797068B2 (ja) * 2017-04-28 2020-12-09 株式会社Adeka 原子層堆積法による炭化チタン含有薄膜の製造方法
WO2018204709A1 (en) 2017-05-05 2018-11-08 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6853355B2 (ja) * 2017-06-12 2021-03-31 株式会社アルバック 薄膜の形成方法
EP3642605A1 (en) 2017-06-22 2020-04-29 Eastman Chemical Company Physical vapor deposited electrode for electrochemical sensors
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) * 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11643721B2 (en) 2017-09-12 2023-05-09 Applied Materials, Inc. Low temperature deposition of iridium containing films
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102550652B1 (ko) 2018-04-02 2023-07-05 삼성전자주식회사 반도체 소자의 제조 방법
KR102504958B1 (ko) * 2018-04-02 2023-03-03 삼성전자주식회사 박막 증착 방법 및 박막 증착 장치
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7321730B2 (ja) * 2019-03-14 2023-08-07 キオクシア株式会社 半導体装置の製造方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
EP3816100A1 (en) 2019-10-28 2021-05-05 Murata Manufacturing Co., Ltd. Mems element with increased density
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112442675B (zh) * 2020-11-24 2021-10-22 江南大学 一种纳米碳化锰材料的制备方法
CN112458432B (zh) * 2020-11-26 2021-10-22 江南大学 一种原子层沉积技术生长NbxC薄膜的方法
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN112626500A (zh) * 2020-12-03 2021-04-09 无锡市邑晶半导体科技有限公司 一种基于等离子体增强原子层沉积技术制备纳米金颗粒薄膜的方法
CN112680712A (zh) * 2020-12-03 2021-04-20 无锡市邑晶半导体科技有限公司 一种基于原子层沉积技术制备纳米金颗粒薄膜的方法
CN112626491B (zh) * 2020-12-14 2022-02-01 江南大学 一种纳米FeCx材料的制备方法
CN112647059B (zh) * 2020-12-14 2021-10-22 江南大学 一种利用原子层沉积技术快速生长NixC薄膜的方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115584486A (zh) * 2022-10-12 2023-01-10 厦门中材航特科技有限公司 一种碳化钽涂层制品及制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020040877A (ko) * 1999-10-15 2002-05-30 추후제출 전이 금속 질화물 박막의 증착 방법
KR20040025791A (ko) * 2002-09-17 2004-03-26 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
KR20040044931A (ko) * 2001-09-14 2004-05-31 에이에스엠 아메리카, 인코포레이티드 환원펄스를 이용한 원자층증착에 의한 질화금속증착

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
US4085430A (en) 1974-01-24 1978-04-18 U.S. Philips Corporation Thin film magnetic head with a gap formed between a loop shaped core part and a bridging core part
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
EP0149044B1 (en) 1983-11-11 1987-05-13 Research Development Corporation of Japan Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5356673A (en) 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5438028A (en) 1993-10-15 1995-08-01 Texaco Inc. Method of enhancing finished catalysts
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
DE69517158T2 (de) 1994-11-30 2001-01-25 Micron Technology Inc Verfahren zum auftragen von wolframnitrid unter verwendung eines silicium enthaltenden gases
US5964943A (en) 1994-12-27 1999-10-12 Siemens Aktiengesellschaft Method of producing boron-doped monocrystalline silicon carbide
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
CA2172870A1 (en) 1996-03-28 1997-09-29 Michael Lambert Connectors for a modular building set
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
SI1158070T1 (sl) 1999-02-11 2009-02-28 Hardide Ltd Prevleke volframovega karbida in postopek za njihovo pripravo
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6902763B1 (en) 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482740B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
WO2002097864A2 (en) * 2001-05-30 2002-12-05 Asm America, Inc Low temperature load and bake
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7667277B2 (en) * 2005-01-13 2010-02-23 International Business Machines Corporation TiC as a thermally stable p-metal carbide on high k SiO2 gate stacks
KR100640550B1 (ko) * 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
TW200634982A (en) * 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020040877A (ko) * 1999-10-15 2002-05-30 추후제출 전이 금속 질화물 박막의 증착 방법
KR20040044931A (ko) * 2001-09-14 2004-05-31 에이에스엠 아메리카, 인코포레이티드 환원펄스를 이용한 원자층증착에 의한 질화금속증착
KR20040025791A (ko) * 2002-09-17 2004-03-26 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법

Also Published As

Publication number Publication date
JP2010508661A (ja) 2010-03-18
US7611751B2 (en) 2009-11-03
KR20090085654A (ko) 2009-08-07
US20080102204A1 (en) 2008-05-01
TWI410515B (zh) 2013-10-01
JP5497442B2 (ja) 2014-05-21
TW200831695A (en) 2008-08-01
WO2008057749A1 (en) 2008-05-15

Similar Documents

Publication Publication Date Title
KR101506019B1 (ko) 금속 카바이드 막의 기상 증착
US10964534B2 (en) Enhanced thin film deposition
KR102367720B1 (ko) 이중 선택적 퇴적
KR101540077B1 (ko) 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법
US9587307B2 (en) Enhanced deposition of noble metals
US8268409B2 (en) Plasma-enhanced deposition of metal carbide films
US9583348B2 (en) Silane and borane treatments for titanium carbide films
JP2006257551A (ja) Aldによる貴金属の促進された堆積

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20180219

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20200218

Year of fee payment: 6