KR20040025791A - 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법 - Google Patents

반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법 Download PDF

Info

Publication number
KR20040025791A
KR20040025791A KR1020020056390A KR20020056390A KR20040025791A KR 20040025791 A KR20040025791 A KR 20040025791A KR 1020020056390 A KR1020020056390 A KR 1020020056390A KR 20020056390 A KR20020056390 A KR 20020056390A KR 20040025791 A KR20040025791 A KR 20040025791A
Authority
KR
South Korea
Prior art keywords
gas
plasma
reactor
atomic layer
wafer
Prior art date
Application number
KR1020020056390A
Other languages
English (en)
Other versions
KR100497748B1 (ko
Inventor
신철호
조병하
심상태
김정수
이원형
김대식
Original Assignee
주식회사 무한
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 무한 filed Critical 주식회사 무한
Priority to KR20020056390A priority Critical patent/KR100497748B1/ko
Priority to US10/661,439 priority patent/US20040082171A1/en
Publication of KR20040025791A publication Critical patent/KR20040025791A/ko
Application granted granted Critical
Publication of KR100497748B1 publication Critical patent/KR100497748B1/ko
Priority to US11/376,402 priority patent/US7435445B2/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/32779Continuous moving of batches of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/913Diverse treatments performed in unitary chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 반도체 소자 제조용 원자층 증착 장치 및 증착 방법에 관한 것으로, 특히 반응 기체 분사 장치가 고정된 위치에서 제 1 반응 기체와 플라즈마로 여기된 제 2 반응 기체를 연속적으로 분사하는 가운데 웨이퍼가 안착된 회전 디스크부가 회전하며 연속적으로 원자층 형성 공정이 이루어지는 플라즈마를 이용한 원자층 형성 방법으로서, 플라즈마를 이용한 원자층 증착 장치는 증착 반응이 이루어지도록 내부에 반응실을 형성하는 하우징과, 상기 하우징 내부에 설치되어 웨이퍼가 수용된 다수의 서셉터를 상부에 안착시켜 이동시키는 회전 디스크부와, 상기 회전 디스크부의 상부에 위치하며 제1 반응기체 분사기, 제 2 반응 기체 분사기, 불활성 기체 분사기가 교번적으로 설치되어 웨이퍼가 수용된 상기 반응실내로 기체를 공급하는 기체 공급부와, 상기 회전 디스크부의 주위로 형성된 기체 배출부 및 제2 반응기체를 플라즈마 여기시키는 플라즈마 발생기로 구성되어지며, 웨이퍼를 회전시키는 가운데 반응기내에 모든 반응기체를 동시에 일정하게 공급함으로써 공정의 안정성을 확보할 수 있고, 빈번한 밸브 동작과 플라즈마 시스템의 파워 동작이 필요치 않도록 하여 장비의 내구 수명을 증가시킬 수 있다.

Description

반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법{ALD equament and ALD methode}
본 발명은 반도체 소자 제조용 원자층 증착(Atomic Layer Depositioin : 이하, ALD라 칭함) 장치 및 ALD 방법에 관한 것으로, 특히 가스공급부를 개선하여 종래 ALD 장치의 낮은 생산성을 개선하고, 반응기 내로 보다 균일하게 가스들을 공급할 수 있고, 저온 공정이 가능하며, 박막의 물성을 개선할 수 있는 ALD 장치 및 ALD 방법에 관한 것이다.
일반적인 반도체 소자의 제조 공정에서는 반도체 기판상에 각종 박막을 증착하는 방법으로 물리적 증착 방법인 스퍼터링 방법을 많이 사용하였으나, 스퍼터링 방법은 기판 표면에 단차가 형성되어 있는 경우 표면을 원만하게 덮어주는 단차피복성 (step coverage)이 떨어진다. 이에 따라 최근에는 금속 유기물 전구체를 사용한 화학기상증착(Chemical Vapor Deposition; 이하 CVD라 칭함)법이 널리 이용되고 있다.
그러나, CVD 장치를 이용한 박막 형성 방법은 단차피복성이 우수하고 생산성이 높은 장점을 가지고 있는 반면에, 박막의 형성 온도가 높고, 두께를 수 Å 단위로 정밀하게 제어할 수 없는 문제점을 가지고 있다. 또한 두 가지 이상의 반응 가스가 동시에 반응기 내부로 공급되어 기체 상태에서 반응을 일으키므로 이 과정에서 오염원이 되는 입자가 생길 수도 있다.
최근 반도체 공정이 더욱 미세화 되면서 박막의 두께가 얇아져 이들의 정밀한 제어가 필요하게 되고, 특히 반도체 소자의 유전막, 액정 표시 소자의 투명한 도전체 또는 전자 발광 박막 표시 소자(electroluminescent thin film display)의 보호층 등 다양한 부분에서 CVD의 이러한 한계를 극복하기 위하여, 원자층 단위의 미소한 두께를 가지는 박막을 형성하는 방법으로서 ALD 방법이 제안되었다.
이러한 ALD 방법은 기판(웨이퍼)에 각각의 반응물을 분리 주입하여 반응물(reactant)이 화학적으로 기판 표면에 포화 흡착되는 반응 사이클을 수차례 반복하여 박막을 형성하는 방법이다.
상기 ALD법에 의한 박막증착 과정 및 원리에 대해 설명하기로 한다.
도 1a 및 도 1e은 ALD 방법을 설명하기 위한 개략도로서, 두차례의 ALD 공정으로 박막을 형성하는 예이다.
먼저, 반응기 내부에 준비되어진 반도체기판인 웨이퍼(10) 상부에 제1 반응기체(12)를 공급한다. 이때 상기 제1 반응기체(12)는 웨이퍼(10) 상부의 표면과 반응을 하여 포화 상태가 될 때까지 화학 흡착한다. (도 1a, 1b 참조).
그다음 제1 반응기체(12)와 웨이퍼(10) 상부 표면간의 반응이 포화상태를 이루게 되면, 과잉의 제1 반응기체(12)는 더 이상 반응을 하지 않게 된다. 이 상태에서 불활성기체(도시되지않음)를 사용하여 과잉의 상기 제1 반응기체(12)를 반응기의 외부로 빠져나가게 하여 제거한다. (도 1c 참조).
그후, 반응기 내부에서 제1 반응기체(12)가 완전히 제거되면, 제2 반응기체(14)가 웨이퍼(10)의 상부에 공급되어지며, 공급된 제2 반응기체(14)는 웨이퍼(10) 상부 표면과 반응하여 화학 흡착하게 된다. 이때 반도체기판(10) 표면에는 제1 및 제2 반응기체(12,14)가 화학 결합하여 목표하던 물질 박막이 원자층 단위로 형성된다. (도 1d 참조).
그다음 제2 반응기체(14)와 기판 표면간의 반응이 포화상태를 이루게 되면, 과잉의 제2 반응기체(14)는 더 이상 반응을 하지 않게 되므로 이 상태에서 다시 불활성 기체를 사용하여 과잉의 제2 반응기체(14)를 반응기의 외부로 제거한다.(도 1e 참조).
상기 도 1a 에서부터 도 1e 까지의 과정이 하나의 사이클을 형성하며, 이러한 사이클을 반복하여 원하는 두께의 원자층 박막을 성장시킬 수 있다.
한편, 상기와 같이 기판 상부로 공급되어 기판의 표면과 상호 반응하여 화학 흡착이 이루어지는 반응기체를 교번적으로 공급하기 위한 방법으로 가장 많이 사용되어지는 방법이 밸브 제어 장치를 사용하는 방법이다.
도 2는 종래 ALD 장치의 개략도로서, 웨이퍼의 운동등에 관한 부분은 생략되어있다.
먼저, 반응기가 되는 진공챔버(20)와, 상기 진공챔버(20)의 내부에서 상하로 움직이며 그 상부면에 웨이퍼(24)가 탑재되는 베이스(22)와, 상기 진공챔버(20)의 일측에 설치되어 있는 가스 흡기구(26)와, 상기 진공챔버(20)의 타측에 설치되어 있는 가스 배기구(28)와, 상기 가스 흡기구(26)와 연결되어 있는 가스 공급부(30)로 구성되어 있으며, 상기 베이스(22)의 내부에는 히터가 내장되어있다.
여기서 상기 가스 공급부(30)는 형성하고자하는 박막의 종류에 따라 제1 및 제 2 반응기체용기(32,34)와 불활성 가스인 퍼지가스용기(36)가 구비되고, 각각의 용기에는 유량을 조절하기 위한 밸브들(37,38,39)이 구비되어있다.
따라서 상기의 ALD 장치로 도 1a 내지 도 1e의 공정을 진행하기 위하여는 도 3과 같은 공정 싸이클을 진행하여야한다.
먼저, 제1 반응기체용기(32)의 제1 밸브(37)만이 열려져 진공챔버(20)로 제1 반응기체를 공급하여 웨이퍼상에 흡착이 완료되면 제1밸브(37)를 닫고, 제3 밸브(39)를 개방하여 퍼지 가스를 흘려준다.
그다음 상기 제1 반응기체가 완전히 제거된 후, 상기 제3밸브(39)를 닫고, 제2 반응기체용기(34)의 제2 밸브(38)를 개방하여 웨이퍼(24) 상에서 반응이 완료되어 박막이 형성되면 다시 제2 밸브(38)를 닫고, 제3 밸브(39)를 개방하여 퍼지 가스를 흘려주어 한 싸이클의 공정을 완료하며, 이를 다수번 진행하여 원하는 두께의 박막을 형성한다. (도 3 참조).
상기와 같은 종래 ALD 장치를 사용하여 박막을 증착하는 공정에는 여러 가지 제약 조건이 따르며, 이는 ALD 방법의 원리상 불가피한 부분이기도 하다.
즉 일차로 반도체 기판상에 흡착되어 있는 제1 반응기체를 제2 반응기체와 반응시키는 공정은 제1 반응기체가 기판에서 분해되지 않는 낮은 온도 범위에서진행되어야하며, 이 온도에서 화학 반응이 일어나기 위해서는 제2 반응기체의 반응성이 매우 커야 하므로 반응 물질의 선택 폭이 제한된다.
예를들어 유전체나 전극으로 사용되는 금속 산화물 형성 공정에서는 반응성이 큰 제2 반응기체로서 물, 오존 등이 주로 사용되는데 이 재료들에서 문제가 발생된다.
상기 물은 반응챔버 내에 흡착하여 쉽게 배기되지 않기 때문에 퍼지 시간이 길어져 생산성이 저하되고, 오염원인 파티클이 발생하여 박막의 균일도 및 신뢰성이 저하되는 문제가 있으며, 오존을 제2 반응기체로 사용할 경우, 오존은 반응성이 높아서 반응챔버까지 유도하는데 어려운 문제가 있다.
또한 금속 질화막 형성 공정에서는 제2 반응기체로 주로 암모니아(NH3)가 사용되지만, 암모니아는 기판 이외의 부위에도 쉽게 흡착되어 제거하기 어렵고, 완전히 제거되지 않을 경우 다음 싸이클의 반응기체와 기상 반응하여 파티클의 원인이되고 박막내의 불순물 양을 증가시키게 된다.
더욱이 ALD 방법으로는 W, Al, Cu, Pt, Ir, Ru 등의 단원소 물질을 증착하기가 매우 어렵다.
따라서 근래에는 상기의 문제점들을 극복하기 위해서, 플라즈마를 이용하는 플러즈마 유도 ALD(Prasma enhancede ALD; 이하 PEALD 라 칭함) 방법이 개발되었다.
상기의 PEALD 방법은 플라즈마에 의해 여기된 제2 반응기체를 반응챔버에 공급하는 ALD 방법이다.
도 3은 종래 ALD 공정 순서에 따른 가스 공급 그래프이다.
먼저, 웨이퍼가 구비된 반응챔버에 제1 반응기체를 공급하여 웨이퍼 표면에 흡착시킨 뒤, 퍼지 기체를 공급하여 잔류한 제1 반응기체를 반응챔버에서 제거한 후, 플라즈마 발생기에 의해 여기된 제2 반응기체를 반응챔버로 공급하여 기판 위에 흡착된 제1 반응기체와의 반응을 촉진한다.
그다음 플라즈마 발생을 중단시키고, 제2 반응기체의 공급을 중단한 후, 퍼지 기체로 잔류한 제 2 반응 기체를 씻어 낸다.
이와 같은 PEALD 방법은 제1 반응기체와 제2 반응기체 간의 반응성이 낮더라도 제2 반응기체가 플라즈마로 활성화되므로 ALD 방법을 용이하게 적용할 수 있다. 그러나, 제1 반응기체를 공급할 때, 플라즈마 발생기의 전원을 켜면 제1 반응기체가 기체 상태에서 분해되기 때문에 입자 오염이 발생하거나 단차 피복성이 나빠질 수 있다. 따라서 제 1 반응 기체를 공급할 때에는 플라즈마 발생기의 전원을 끄고,제 2 반응 기체를 공급할 때에는 플라즈마 전원을 켜는 방식으로 플라즈마 발생기에 공급되는 전원을 기체 공급 주기와 동기화(synchronization)하는 것이 유리하다.
상기의 PEALD 방법은 제1 반응기체와 플라즈마로 여기되어진 제2 반응기체를 반응기내로 시간차를 두고 공급하기 위해서 다수의 밸브를 복잡한 여러 과정을 통하여 조작하는 방식이 사용되며, 이러한 방법은 밸브의 잦은 동작으로 밸브의 수명을 급격히 단축시키고, 반응챔버 내로 공급되어지는 각각의 반응기체의 양과 퍼지가스의 공급 양이 서로 달라 반응챔버 내의 압력이 수시로 변화하여 공정의 안정성이 감소하는 단점을 지니고 있다.
즉, 상기 PEALD 방법에 사용되는 장치는 밸브와 플라즈마 시스템등이 복잡하게 설계되어지고, 빈번한 온/오프 동작에 의해 밸브와 플라즈마시스템의 수명을 단축시키며, 이에 따라 장비의 유지 보수 비용을 증가시킬 뿐만아니라, 장비 보수에 따른 장비의 숏 다운 (shot down) 시간을 증가시키는 문제점을 안고 있다.
또한 PEALD에서는 플라즈마 발생기 때문에 반응 기체의 유량을 전자적으로 제어하는 유량조절기(MFC ; Mass Flow Control)를 사용할 수 없고, 밸브를 온/오프 동작에서의 시간 지연 및 동작 속도 지연등과 같은 문제점이 나타난다.
따라서, 반응 기체의 정확한 유량을 제어하기 어렵기 때문에 ALD 공정의 안정성을 확보할 수 없게 된다.
본 발명은 상기와 같은 문제점을 해결하기 위한 것으로서, 본 발명의 목적은반응기체의 공급을 안정적으로 시행하여 박막의 균일성 및 막질을 향상시킬 수 있는 ALD 장치를 제공함에 있다.
본 발명의 다른 목적은 밸브나 플라즈마 발생장치의 빈번한 온/오프를 방지하여 장비의 손상을 방지하고, 그에 따른 유지 보수 시간 및 비용을 절감할 수 있는 ALD 장치를 제공함에 있다.
본 발명의 또 다른 목적은 모든 필요 가스를 동시에 연속적으로 공급하여 밸브 동작에 따른 시간 지연이나 유량의 미세 변화등을 방지하여 공정 속도를 증가시키고, 공정 안정성을 향상시키며, 플라즈마 발생장치의 온/오프 동작을 최소화하여 그에 따른 장비 손상이나 막질 저하를 방지할 수 있는 ALD 장치를 제공함에 있다.
본 발명의 또 다른 목적은 여러장의 기판을 동시에 ALD 작업하여 생산성을 증가시킬 수 있는 ALD 장치를 제공함에 있다.
도 1a 내지 도 1e는 ALD 방법을 설명하기 위한 개략도.
도 2는 종래 ALD 장치의 개략도로서, 2는 종래 기술에 따른 원자층 증착 장치의 개략적인 단면도.
도 3은 종래 ALD 공정 순서에 따른 가스 유량 그래프도.
도 4는 본 발명에 따른 ALD 장치의 개략도.
도 5는 도 4에서의 회전 디스크부의 개략도.
도 6은 도 4에서의 가스 분사부의 개략도.
도 7은 도 4 장치의 동작상태에서의 회전 다스크부와 가스 분사부를 동시에 도시한 개략도.
도 8은 본 발명에 따른 ALD 공정의 프로우 차트도.
도 9는 본 발명에 따른 ALD 공정 순서에 따른 가스유량 그래프도.
도 10a 내지 도 10d는 도 5의 회전디스크부의 ALD 공정중의 평면도.
도 11은 본 발명의 다른 실시예에 사용되는 가스 분사부의 평면도.
도 12는 도 11의 전자 분사용암과 연결된 전자샤워기의 개략도.
< 도면의 주요 부분에 대한 부호의 설명 >
10, 24, 124 : 웨이퍼 12 : 제1 반응기체
14 : 제2 반응기체 20 : 진공챔버
22 : 베이스 26 : 가스 흡기구
28 : 가스 배기구 30 : 가스 공급부
32, 161 : 제1 반응기체용기 34, 162 : 제2 반응기체용기
36, 163 : 퍼지가스용기 37, 38, 39, 164, 165, 166 : 밸브
100 : 하우징 120 : 회전디스크부
122 : 서셉터 126 : 회전 디스크 구동부
140 : 가스 분사부 142 : 디스크
144,145,146 : 암 147 : 분사구
149 : 퍼지가스 배출구 160 : 가스 공급부
180 : 플라즈마 시스템 190 : 가스 배출구
상기와 같은 목적을 달성하기 위한 본 발명에 따른 ALD 장치의 특징은,
증착반응이 이루어지도록 내부에 반응실이 형성되는 하우징과,
상기 하우징 내부에 설치되어 웨이퍼가 수용된 다수의 서셉터를 상부에 안착시켜 이동시키는 회전 디스크부와,
상기 회전 디스크부의 상부에 위치하며 하우징의 상부에 안착되며, 원형 디스크의 하부에 제1 반응기체 분사기와 제2 반응기체 분사기 및 불활성 기체 분사기가 설치되어 상기 하우징내로 가스를 분사시키는 가스 분사부와,
상기 기체분사부와 연결되어 제1 및 제2반응기체와 퍼지가스를 공급하는 가스 공급부와,
상기 회전 디스크부의 주위에 형성되어 있는 기체 배출구와,
상기 제2 반응기체를 플라즈마 시킬 수 있는 플라즈마 발생기를 포함한다.
또한 본 발명의 다른 특징은, 상기 가스분사부는 반응기체 분사기와 불활성 기체 분사기가 교번적으로 설치되고, 가스 분사부의 중앙부에 퍼지가스 배출구를 구비하며, 상기 각 단위 기체 분사기는 웨이퍼의 크기에 맞추어 일정한 길이를 가진 막대형의 부재로 형성되며, 길이 방향을 따라 그 중심부에 기체가 분사되는 공간인 분사홈이 형성되고, 각 단위 기체 분사기들은 그 중심부를 축으로 서로 대향되게 설치되고, 제2 반응기체는 반응기의 외부 또는 내부에서 플라즈마 여기된다.
본 발명에 따른 ALD 방법의 특징은,
제1항의 원자층 증착장치를 이용한 원자층 형성방법에 있어서,
박막을 증착하고자 하는 다수개의 웨이퍼를 회전 디스크의 서셉터에 안착시키는 단계와,
하우징내의 온도를 조절하여 증착 공정 온도를 유지하는 단계와,
상기 회전 디스크부가 가스 분사부와 대응되는 위치로 상하 이동하는 단계와,
상기 회전디스크부를 회전시키는 단계와,
상기 가스 분사부의 분사구에서 제1 반응기체와 플라즈마에 의해서 여기된 제 2 반응 기체 및 불활성 기체를 분사하여 상기 웨이퍼의 상부 표면에 박막을 증착하는 단계를 구비함에 있다.
또한 다른 특징은 상기 회전 디스크부의 회전속도는 5 rpm∼100 rpm 로 하고, 하우징 내부의 압력은 10mTorr ∼100 Torr, 온도는 25℃ ∼ 500℃로 유지하고, 상기 제1 반응기체는 Al, Si, Ti, Ga, Ge, Co, Sr, Y, Zr, Nb, Ru, Ba, La, Hf, Ta, Ir, Pb, Bi, W 소스 및 이들의 혼합물 소스로 이루어지는 군에서 임의로 선택되며, 상기 제2 반응기체는 단원자 박막을 증착하기 위해서 수소 가스, 질화물 박막을 증착하기 위해서 N2와 NH3, 산화물 박막을 증착하기 위해서 산소와 N2O 가스, 탄화물 박막을 증착하기 위해서 메탄, 에탄, 프로판을 포함하며, 웨이퍼에 공급되어지기 전에 플라즈마에 의해서 여기된 상태로 공급되어진다.
또한 상기 원자층 증착 공정후 인슈트 플라즈마 처리를 실시할 수 있으며, 상기 인슈트 플라즈마 처리를 하기 위해서 사용되어지는 가스는 Ar, N2, O2 및 H2 로 이루어지는 군에서 임의로 선택되는 하나의 가스를 이용한다.
또한 상기 원자층 증착 공정전에 플라즈마 여기된 클리링 가스로 웨이퍼를 클리링하는 공정을 포함하거나, 상기 반응기 내부에 증착되어진 박막을 플라즈마 시스템을 사용하여 제거하기 위한 인슈트 클리링 공정을 포함할 수도 있다.
이하, 첨부된 도면을 참조하여 본 발명에 따른 반도체 소자 제조용 ALD 및 증착 방법의 적합한 실시 예에 대해 상세히 설명하기로 한다.
<PEALD 장치>
도 4는 본 발명에 따른 PEALD 장치를 설명하기 위한 개략도로서, 내부에 증착 반응 유도하는 일정 크기의 공간을 확보하는 하우징(100)와, 상기 하우징(100)의 내부에 위치하여 웨이퍼(124)를 수용하는 다수의 서셉터(122)를 수용할 수 있으며, 회전운동하도록 설치되어 있는 회전 디스크부(120)와, 상기 회전 디스크부(120)를 구동시키는 회전 디스크 구동부(124)와, 상기 회전 디스크부(120)의 상부에 위치하도록 하우징(100)의 상부에 안착되고, 반응기체를 가스 분사기인 암들(144,145,146)의 분사구(147)를 통하여 균일하게 공급하는 가스 분사부(140)와, 상기 가스 분사부(140)와 연결되어 반응가스들과 퍼지가스를 상기 암들(144,145,146)에 공급하는 가스 공급부(160)와, 하나의 반응가스를 플라즈마 여기 시키는 플라즈마 시스템(180) 및 상기 회전 디스크부(120)의 주위 공간을 통하여 반응챔버(100)의 하부로 가스를 배출시키도록 형성되어있는 가스 배출구(190)로 크게 구성된다.
도 5는 도 4에서의 회전 디스크의 평면도로서, 상기 회전 디스크부(120)는 상기 가스 분사부(140)의 하부에 위치하며, 웨이퍼(124)를 수용하는 웨이퍼 서셉터(122)를 다수 개, 예를들어 4개를 상부에 고정시킬 수 있는 구조로 되어있다. 즉, 상부면에 다수의 웨이퍼 서셉터(122)를 고정시키고 상기 회전 디스크부(120)의 중심부에 위치하여 회전 디스크부(120)의 상하 이동과 회전 운동을 유도하는 회전 디스크 구동부(126)로 구성되고, 내부에 히터를 구비한다.
상기 회전 디스크부에서의 디스크 회전은 반응 기체를 웨이퍼 전면에 고르게 공급하는 역할을 수행하는 것 뿐 아니라, 기존의 플라즈마를 이용한 원자층 증착 장치에 있어서, 다수의 밸브를 이용한 복잡한 동작에 의하여 조절되는 각종 반응 기체의 공급과 반응 후 여분의 기체를 제거하는 과정(Cycle)을 조절하는 역할을 수행하는 것이다.
도 6은 도 4에서의 가스 분사부의 평면도로서, 2가지 가스를 사용하여 형성되는 박막을 형성하기 위하여 8개의 암을 구비하는 예이다.
먼저, 하우징(100)의 상부에 안착되어지는 디스크(142) 하부에 총 8개의 기체분사기인 암들이 설치되어있으며, 수직으로 배치된 두 개의 암이 제1반응기체 분사용 암(144)이고, 그와 수직하게 배치된 2개의 암이 제2반응기체 분사용 암(145)이며, 그들의 사이에 위치하는 4개의 암이 퍼지가스 분사용 암(146)이고, 상기 디스크(142)의 중앙 부분에 반응가스들의 혼합을 방지하기 위한 퍼지가스 배출구(149)가 형성되어있다.
또한 각각의 암들(144,145,146)에는 다수개의 분사구(147)들이 일정 간격 및 크기로 일직선으로 배열되어 형성되어 있으며, 상기 암들(144,145,146)들과 퍼지가스 배출구(149)는 각각 연결관을 통하여 가스 공급부(160)와 연결된다.
한편, 본 발명의 기체 배출구(149)는 상기 도 4 및 도 5에 도시된 바와 같이, 상기 회전 디스크의 둘레 전체를 따라 형성되어 있으며, 상기 기체 배출구(149)는 반응기체들의 배출과 반응기체들의 균일한 흐름을 유도하는 역할을 한다.
이러한 PEALD 장치의 상부에 위치한 상기 가스 분사부(140)는 상기 하우징의 상부에 안착되며, 제1 반응기체와 제2 반응기체와 불활성기체를 분사하는 다수의 기체 분사기(도시되지 않음)를 내부에 포함하고 있다.
상기 가스 분사부(140)는 PEALD 장치의 상단부에 위치하되, 반응기체와 불활성기체를 분사하는 암들(144,145,146)이 디스크(142)에 교번적으로 존재하여 각각의 반응 기체의 혼합을 막을 수 있으며, 여분의 반응 기체들은 웨이퍼의 상단면에서 효과적으로 제거되도록 하고 있다.
본 실시 예에서는 8개의 암으로 형성되어 있으며, 제1 반응기체와 제2 반응기체는 그 중심부를 축으로 서로 대향되게 설치되어 있다. 상기 도면에 도시된 바와 같이, 한 개의 각 단위 기체 암은 웨이퍼의 크기에 맞추어 일정한 길이를 가진 막대형의 부재로 형성되어 있다.
또한 제2 반응기체를 플라즈마 여기 시키기 위한 플라즈마 시스템(180)은 반응기 외부에 존재한다. 그러나, 제2 반응가스를 플라즈마화 시키기 위해서는 제2 반응가스의 연결 배관에서 제2 반응가스가 플라즈마 시스템(180)을 거쳐 플라즈마화 된 후, 밸브(167)와 제2 반응기체 분사용 암(145)을 거쳐 웨이퍼에 분사된다.
여기서 제2반응기체 분사용 암(145)과 웨이퍼의 사이에서 플라즈마를 발생시킬 수도 있다. 또한 상기 암(144,145,146)들은 막대 형상이 아니라 ALD 공정에 적합한 형상, 예를들어 깔대기 형상등으로 형성할 수도 있다.
도 7은 본 발명에 ALD 장치의 동작 상태에서의 회전 디스트부와 가스 분사부를 동시에 도시한 개략도이며, 여기서 암들이 웨이퍼의 크기에 맞게 형성되어있으며, 웨이퍼도 공정의 편의성을 위하여 4개가 디스크의 각 분면에 탑제되는 것을 알수 있다.
도 4에서의 가스 공급부는 형성하고자하는 박막의 종류에 따라 제1 및 제2 반응기체용기(161,162)와 불활성 가스인 퍼지 가스용기(163) 가 구비되고, 각각의 용기에는 유량을 조절하기 위한 밸브들(164,165,166)이 구비되어있으며, 상기 제2반응기체용기(162)와 가스 분사부(140)의 사이에 플라즈마 시스템(180)이 구비되어있다.
<PEALD 공정>
상기와 같은 본 발명에 따른 ALD 장치를 사용한 공정 순서를 살펴 보면 다음과 같다.
도 8은 본 발명에 따른 PEALD 공정의 플로우 차트도이다.
먼저, 박막을 증착하고자 하는 웨이퍼를 반응실인 하우징 내부로 이송하여 웨이퍼 서셉터들에 안착시킨다. 이 후 반응실내의 온도를 조절하여 증착 온도를 유지하도록 한다. 다음 웨이퍼가 안착되어있는 서셉터가 최적의 공정 위치가 되도록 회전디스크부(120)가 이동한 후, 상기 회전 디스크부(120)를 일정 속도로 회전시킨다.
이후 증착 공정에서 제1 반응기체와, 플라즈마로 여기된 제2 반응기체 및 불활성 기체를 동시에 공급하여 웨이퍼의 상부 표면에 박막을 증착하고, 가스 공급을 중단한 후 퍼지하고 박막 증착이 완료된 웨이퍼는 외부로 이송하여 적재한다. 여기서 상기 회전디스크부(120)의 회전 속도는 1rpm ~ 100rpm 의 범위로 하는 것이 바람직한데, 이는 웨이퍼 상에 증착되는 반응물의 균일한 박막 두께를 얻기 위함이다. 즉, 회전 속도가 너무 빠르면 표화 흡착이 제대로되지 않아 박막의 두께 균일성이 떨어지고, 너무 느리면 박막 증착은 균일해질수 있으나 불필요한 가스의 낭비가 일어나고, 과량의 가스가 옆 웨이퍼에 흡착되어 막질을 저하시키고 반응기를 오염시키는 등의 부적용이 예상된다. 따라서 상기의 반응시에 필요한 하우징 내부의압력은 수 10 mTorr ~ 100 Torr이며, 온도는 20℃ ~ 500℃로 유지하도록 한다.
또한 본 발명의 다른 실시예로서, 상기의 PEALD 장치를 사용하여 기판(웨이퍼) 위에 박막을 형성한 후, 인슈트(in-situ)로 플라즈마 처리를 수행할 수도 있다. 이는 박막의 표면 처리 공정으로서, 결함을 줄이고 박질을 향상시킬 수 있으며, 플라스마 시스템에서 산소, 아르곤, 질소, 수소 등과 같은 가스를 여기시켜 사용한다.
도 10a 내지 도 10d는 본 발명에 따른 ALD 공정중의 회전 디스크부의 평면도이며, 도 9는 제1 웨이퍼의 공정 순서에 따른 가스 유량 그래프도이다.
상기 회전 디스크부(120) 상에 웨이퍼(124a,124b,124c,124d) 4개를 각각 탑재시키고, 각 암들을 통하여 가스들을 분사하며, 공정을 진행하며, 빗금으로 표시된 제1웨이퍼(124a)를 기준으로 하여 공정을 설명한다.
먼저, 탑재가 완료된 상태의 회전 디스크부(120)는 각도가 0도에 위치하며, 이때 제1 웨이퍼(124a)와 제3 웨이퍼(124c)는 각각 제1 반응기체 분사기가 위치한 곳에 위치하므로 제1 반응기체와 반응하게 된다. (도 10a 참조).
상기 회전 디스크부(120)가 각도 0도의 위치에서 시계방향으로 돌아서 45도 위치에 도달하게 되면, 제1 및 제3 웨이퍼(124a, 124b)는 불활성 가스에 의해 상부의 과잉 제1 반응기체가 제거된다. (도 10b 참조).
다시 회전 디스크부(120)가 45도의 위치에서 시계방향으로 돌아 90도의 위치에 도달하면, 상기 제1 및 제3 웨이퍼(124a, 124b)는 제 2 반응기체 분사기가 위치해 있는 곳에 위치하므로 플라즈마에 의해서 여기된 제2 반응기체와 반응을 하게된다. (도 10c 참조).
상기 회전 디스크(120)가 90도의 위치에서 시계방향으로 다시 돌아 135도의 위치에 도달하게 되면, 제 1 , 3 웨이퍼(124a, 124b)는 불활성 기체에 의해 그 상부의 과잉 제2 반응기체는 제거된다. (도 10d 참조).
이와 같은 방식으로 회전 디스크가 회전함에 따라 제1 반응기체와 플라즈마에 의해서 여기되어진 제2 반응기체가 웨이퍼상에 교번적으로 공급되어진다.
또한, 제 2 웨이퍼 및 제 4 웨이퍼도 상기 제 1 웨이퍼와 마찬가지로 동일한 방식으로 웨이퍼 상에 제1 반응기체 및 플라즈마에 의해서 여기된 제2 반응기체가 교번적으로 공급되어진다.
상기와 같은 방식으로 각 웨이퍼가 135도 회전을 하게 되면 원자층 증착방법에서 한 사이클을 구현하게 되며, 상기 사이클의 반복함으로써 웨이퍼 상에 원하는 두께의 박막을 증착할 수 있는 것이다.
본 발명은 디스크의 회전 속도와 가스량 및 싸이클 수을 조절하여 PEALD 방법으로 두께를 미세하게 조절할 수 있는 박막을 안정적으로 균일한 박막을 얻을 수 있다.
또한 상기 박막 증착 공정전단계에서 웨이퍼를 회전 디스크부에 탑재한 후, 플라즈마로 여기된 클리링 가스로 반응기 내부로 이송하여 웨이퍼 위에 존재하는 파티클 또는 이물질을 제거하는 클리링 공정을 실시할 수도 있으며, 웨이퍼가 제거된 상태에서 플라즈마로 여기된 클리링 가스로 반응기 내부로 이송하여 인슈트 클리링 공정을 실시할 수도 있다.
상기 본 발명에 따른 PEALD는 ALD 박막 공정시에 가스를 동시에 공급하므로 기체의 확산에 의해서 약간의 제 1 반응 기체와 플라즈마로 여기된 제 2 반응 기체가 혼합되어 기체 반응을 일으킬 수 있다. 따라서 기체 끼리의 반응을 최대한 억제하고, 표면 반응에 의해서 원자층 단위로 박막을 증착시키기 위해서, 제 2 반응 기체가 일정한 영역에서만 에너지를 가지게 하기 위해서 전자 샤워기를 설치할 수도 있다.
도 11 및 도 12는 본 발명의 다른 실시예를 설명하기 위한 도면들로서, 서로 연관시켜 설명한다.
먼저, 상기 디스크(142)의 제2 반응기체 분사용 암(145)들의 양측에 전자 샤워기(150)의 전자 샤워용 암(152)가 설치되어있고, 상기 전자 샤워용 암(152)들에는 일정간격으로 일정한 크기의 전자 배출구(154)들이 형성되어있으며, 상기 전자 샤워용 암(152)은 외부의 전자 발생기(156)과 연결되어 있다.
여기서 상기 전자샤워기(150)의 전자 배출구(154)에서 박막 형성 중에 계속해서 전자를 방출하여, 플라즈마 여기된 제 2 반응 기체가 제 1 반응 기체와 혼합되기 이전에 제2 반응기체를 중성자로 만들어 버린다. 이렇게 에너지를 잃은 제2 반응기체는 제1 반응기체와 혼합되어도 기체 반응이 일어나지 않아 기체 반응이 억제된 상태에서 원자층 박막을 구현할 수 있게 된다.
<PEALD 실시예>
본 실시 예에서는 PEALD 장치로 구현할 수 있는 공정의 구체적인 예로서, 탄화물 박막 증착, 질화물 박막 증착, 산화물 박막 증착 및 단원소 박막 증착의 예가있다.
여기서 제1 반응기체로 사용되어지는 물질은 Al, Si, Ti, Ga, Ge, Co, Sr, Y, Zr, Nb, Ru, Ba, La, Hf, Ta, Ir, Pb, Bi, W 소스 또는 이들의 혼합물 소스 등이 있으며, 제2 반응기체로 사용되어지는 물질은 형성되어지는 박막이 단원소, 탄화물, 질화물, 산화물의 종류에 따라서 달라지게 된다.
1. 단원소 박막 증착 방법.
단원소 박막을 증착하는 경우에는 제2 반응기체로서 수소를 사용하게 되며, 플라즈마에 의해서 활성화된 수소 가스가 웨이퍼 표면에 흡착되어있는 제1 반응기체를 환원시켜 단원소의 물질 원자층이 증착된다.
2. 산화물 박막 증착 방법.
산화물 박막을 증착하는 경우에는 제2 반응기체로서 산소, N2O 또는 이들의 혼합물의 가스를 플라즈마 상태로 여기시켜 웨이퍼에 공급하고, 공급되어진 제2 반응기체들은 웨이퍼 표면에 흡착되어있는 제1 반응기체를 산화막으로 치환시켜 산화물 박막이 형성된다.
3. 질화물 박막을 형성 방법
질화물 박막을 증착하는 경우에는 제2 반응기체로서 질소 또는 암모니아를 플라즈마 상태로 여기시켜 웨이퍼 위에 공급하며, 공급되어진 제2 반응기체들은 웨이퍼 표면에 흡착되어있는 제1 반응기체를 질화막으로 치환시켜 질화막 박막이 형성된다.
4. 탄화물 박막을 형성하는 방법
탄화물 박막을 증착하는 경우에는 제2 반응기체로서 메탄, 에탄, 프로판 등의 탄화 수소를 플라즈마 상태로 여기시켜 웨이퍼 위에 공급하고, 공급되어진 제2 반응기체들은 웨이퍼 표면에 흡착되어있는 제1 반응 기체를 탄화막으로 치환시켜 탄호물 박막이 형성된다.
5. 3성분계 박막을 형성하는 방법
3성분계 박막을 증착시킬 경우에는 앞서의 8개 암을 가진 가스 분사부가 아니라 제1 기체 분사기, 제2 기체 분사기, 제 3 기체 분사기 및 불활성 기체 분사기가 교번적으로 설치된 가스분사부를 준비하여 실시할 수 있다. 상기 3 성분계 박막을 증착시키기 위해서, 제1 반응기체와 제3 반응기체로서, Al, Si, Ti, Ga, Ge, Co, Sr, Y, Zr, Nb, Ru, Ba, La, Hf, Ta, Ir, Pb, Bi, W 소스 또는 이들의 혼합물 소스 등이 사용되어지며, 제2 반응기체는 플라즈마를 여기된 기체를 사용한다.
상기의 제 2 반응 기체로 사용되어지는 가스가 플라즈마 상태를 안정하게 유지하기 위해서 제 2 반응 기체가 공급되어질 때, He, N2, Ar 가스 중 하나 이상을 더욱 혼합시켜 주입할 수도 있다.
이와 같이 실시예에 의한 방법은 제 1 반응 기체와 플라즈마에 의해서 여기되어진 제 2 반응 기체가 원자층 박막 증착 공정 중에 항상 일정한 가스량이 공급되어지고, 디스크 회전에 의해서 제 1 반응 기체 → 퍼지 가스 → 플라즈마에 의해서 여기되어진 제 2 반응 기체 → 퍼지 가스 → 제3 반응기체 → 퍼지가스의 스텝이 웨이퍼의 표면에서 수행되어 질 수 있게 되어진다.
이상에서 설명한 바와 같이, 본 발명에 따른 PEALD는, 가스 공급 라인상의 밸브를 제어하는 것이 아니라 다수개의 가스 분사기를 빗살모양으로 배치하고 그 하부에 위치하는 웨이퍼가 안착된 디스크를 회전시키면서 동시에 반응기체를 공급하도록 하였으므로, 회전 속도에 의한 박막 증착 공정의 사이클 반복 횟수를 조절하여 종래의 밸브 제어 수단을 통해 박막을 증착하는 원자층 증착 장치에 있어서의 밸브의 수명 단축에 따라 발생하는 비용 증가 및 시스템의 불안정성과 박막 두께 조절의 어려움을 해결하고 박막의 막질도 향상시킬 수 있는 이점이 있다.
또한 본 발명의 반응기에 의하면, 각각의 반응 기체와 퍼지 기체가 공정 중에는 일정한 속도를 가지고 정확한 유량을 제어하게 함으로서 공정의 안정성을 확보할 수 가 있다.
또한, 원자층 증착 공정을 수행하는 동안에 모든 반응 기체를 동시에 공급함으로서 종래의 밸브 제어 수단에 의해서 순차적인 기체 공급시 압력이 변화하여 공정의 안정성이 감소하는 문제점을 해결할 수가 있다.
또한, 원자층 증착 공정을 수행하는 동안에 모든 반응 기체를 동시에 공급함으로서 밸브의 단점, 즉, 밸브 작동 속도의 한계와 밸브 작동 중에 발생되어지는 속도 지연으로 인하여 발생되어지는 공정 시간 증가를 줄임으로써, 공정 속도 증가를 확보할 수가 있다.
또한 본 발명의 반응기에 의하면, 원자층 증착 공정을 수행하는 동안에 제 2 반응 기체를 플라즈마를 연속해서 발생시킴으로서 종래의 반복적인 플라즈마 시스템의 파워의 on /off에 의해서 발생되어지는 플라즈마 시스템의 수명 단축과 시스템의 불안정성 등의 문제점을 해결할 수가 있다.
또한 본 발명의 반응기에 의하면, 여러 장의 웨이퍼를 동시에 박막 형성 공정을 수행함으로서 종래의 낮은 생산성을 가지고 있는 원자층 증착 방법의 문제점을 해결할 수가 있다.
또한 공정이 완료된 웨이퍼를 인슈트 플라즈마 처리를 수행함으로써, 원자층으로 증착되어진 박막의 물성을 향상시킬 수가 있다.

Claims (13)

  1. 증착반응이 이루어지도록 내부에 반응실이 형성되는 하우징과,
    상기 하우징 내부에 설치되어 웨이퍼가 수용된 다수의 서셉터를 상부에 안착시켜 이동시키는 회전 디스크부와,
    상기 회전 디스크부의 상부에 위치하며 하우징의 상부에 안착되며, 원형 디스크의 하부에 제1 반응기체 분사기와 제2 반응기체 분사기 및 불활성 기체 분사기가 설치되어 상기 하우징내로 가스를 분사시키는 가스 분사부와,
    상기 기체분사부와 연결되어 제1 및 제2반응기체와 퍼지가스를 공급하는 가스 공급부와,
    상기 회전 디스크부의 주위에 형성되어 있는 기체 배출구와,
    상기 제2 반응기체를 플라즈마 시킬 수 있는 플라즈마 발생기를 포함하는 것을 특징으로 하는 플라즈마 유도 원자층 증착 장치.
  2. 제 1 항에 있어서,
    상기 가스분사부는 반응기체 분사기와 불활성 기체 분사기가 교번적으로 설치되고, 가스 분사부의 중앙부에 퍼지가스 배출구가 구비되어 있는 것을 특징으로 하는 플라즈마 유도 원자층 증착 장치.
  3. 제 1 항에 있어서,
    상기 각 단위 기체 분사기는 웨이퍼의 크기에 맞추어 일정한 길이를 가진 막대형의 부재로 형성되며, 길이 방향을 따라 그 중심부에 기체가 분사되는 공간인 분사구가 형성되고, 각 단위 기체 분사기들은 그 중심부를 축으로 서로 대향되게 설치된 것을 특징으로 하는 플라즈마 유도 원자층 증착 장치.
  4. 제 1 항에 있어서,
    제 2 반응 기체를 반응기의 외부에서 플라즈마 여기시키거나, 반응기의 내부에서 플라즈마를 발생시키는 방법 중 어느 하나를 사용하는 것을 특징으로하는 플라즈마 유도 원자층 증착 장치.
  5. 제 1 항에 있어서,
    상기의 기체 공급부의 제 2 기체 분사기를 중심으로 좌측과 우측에 전자 샤워기를 포함하는 플라즈마를 이용한 원자층 증착 장치.
  6. 제 1 항의 원자층 증착장치를 이용한 원자층 형성방법에 있어서,
    박막을 증착하고자 하는 다수개의 웨이퍼를 회전 디스크의 서셉터에 안착시키는 단계와,
    하우징내의 온도를 조절하여 증착 공정 온도를 유지하는 단계와,
    상기 회전 디스크부가 가스 분사부와 대응되는 위치로 상하 이동하는 단계와,
    상기 회전디스크부를 회전시키는 단계와,
    상기 가스 분사부의 분사구에서 제1 반응기체와 플라즈마에 의해서 여기된 제 2 반응 기체 및 불활성 기체를 분사하여 상기 웨이퍼의 상부 표면에 박막을 증착하는 단계를 구비하는 플라즈마 유도 원자층 증착 방법.
  7. 제 6 항에 있어서,
    상기 회전 디스크부의 회전속도는 5 rpm∼100 rpm 로 하고, 하우징 내부의 압력은 10mTorr ∼100 Torr, 온도는 25℃ ∼ 500℃로 유지하는 것을 특징으로 하는 플라즈마를 이용한 플라즈마 유도 원자층 증착 방법.
  8. 제 6 항에 있어서,
    상기 제1 반응기체는 Al, Si, Ti, Ga, Ge, Co, Sr, Y, Zr, Nb, Ru, Ba, La, Hf, Ta, Ir, Pb, Bi, W 소스 및 이들의 혼합물 소스로 이루어지는 군에서 임의로 선택되는 하나를 사용하는 플라즈마를 이용한 원자층 증착 방법.
  9. 제 6 항에 있어서,
    상기 제2 반응기체는 단원자 박막을 증착하기 위해서 수소 가스, 질화물 박막을 증착하기 위해서 N2와 NH3, 산화물 박막을 증착하기 위해서 산소와 N2O 가스, 탄화물 박막을 증착하기 위해서 메탄, 에탄, 프로판을 포함하며, 웨이퍼에 공급되어지기 전에 플라즈마에 의해서 여기된 상태로 공급되어지는 것을 특징으로하는 플라즈마 유도 원자층 증착 방법
  10. 제 6 항에 있어서,
    상기 원자층 증착 공정후 인슈트 플라즈마 처리를 실시하는 것을 특징으로 플라즈마 유도 원자층 증착 방법.
  11. 제 10 항에 있어서,
    상기 인슈트 플라즈마 처리를 하기 위해서 사용되어지는 가스는 Ar, N2, O2 및 H2 로 이루어지는 군에서 임의로 선택되는 하나의 가스를 이용하는 것을 특징으로하는 플라즈마 유도 원자층 증착 방법.
  12. 제 7 항에 있어서,
    상기 원자층 증착 공정전에 웨이퍼 위에 존재하는 파티클 또는 이물질을 제거하기 위해서 플라즈마로 여기된 클리링 가스로 웨이퍼를 클리링하는 공정을 포함하는 것을 특징으로 플라즈마 유도 원자층 증착 방법.
  13. 제 7 항에 있어서,
    상기 플라즈마 시스템을 사용하여 반응기 내부에 증착되어진 박막을 제거하기 위한 인슈트 클리링 공정을 포함하는 것을 특징으로 하는 플라즈마 유도 원자층 증착 방법.
KR20020056390A 2002-09-17 2002-09-17 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법 KR100497748B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR20020056390A KR100497748B1 (ko) 2002-09-17 2002-09-17 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US10/661,439 US20040082171A1 (en) 2002-09-17 2003-09-11 ALD apparatus and ALD method for manufacturing semiconductor device
US11/376,402 US7435445B2 (en) 2002-09-17 2006-03-15 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR20020056390A KR100497748B1 (ko) 2002-09-17 2002-09-17 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법

Publications (2)

Publication Number Publication Date
KR20040025791A true KR20040025791A (ko) 2004-03-26
KR100497748B1 KR100497748B1 (ko) 2005-06-29

Family

ID=36780275

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20020056390A KR100497748B1 (ko) 2002-09-17 2002-09-17 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법

Country Status (2)

Country Link
US (2) US20040082171A1 (ko)
KR (1) KR100497748B1 (ko)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100589206B1 (ko) * 2004-09-30 2006-06-14 주식회사 하이닉스반도체 회전식 인젝터를 이용한 반도체소자의 박막 증착 방법
KR20070004151A (ko) * 2005-07-01 2007-01-09 주성엔지니어링(주) 가스 분사 시스템 및 이를 포함하는 박막 제조 장치
KR100928290B1 (ko) * 2007-06-24 2009-11-25 어플라이드 머티어리얼스, 인코포레이티드 Hvpe 샤우어헤드
KR100936694B1 (ko) * 2007-12-27 2010-01-13 주식회사 케이씨텍 플라즈마 발생부를 구비하는 원자층 증착 장치
WO2010019007A2 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor deposition reactor for forming thin film
KR100949914B1 (ko) * 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
KR100982842B1 (ko) * 2008-04-25 2010-09-16 주식회사 케이씨텍 원자층 증착 장치
KR101145118B1 (ko) * 2010-06-01 2012-05-15 주성엔지니어링(주) 박막 제조 장치 및 이를 이용한 박막 증착 방법
KR101145119B1 (ko) * 2011-11-09 2012-05-15 주성엔지니어링(주) 박막 제조 장치 및 이를 이용한 박막 증착 방법
KR101286034B1 (ko) * 2011-12-09 2013-07-19 주식회사 테스 기판처리장치
WO2013180451A1 (ko) * 2012-05-29 2013-12-05 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR20140004570A (ko) * 2012-06-29 2014-01-13 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR101464227B1 (ko) * 2007-01-12 2014-11-21 비코 인스트루먼츠 인코포레이티드 가스 처리 시스템
KR101485506B1 (ko) * 2008-11-19 2015-01-28 주식회사 원익아이피에스 박막 증착방법
KR101504138B1 (ko) * 2008-11-19 2015-03-19 주식회사 원익아이피에스 박막 증착장치 및 이 장치의 세정방법
KR101506019B1 (ko) * 2006-11-01 2015-03-25 에이에스엠 아메리카, 인코포레이티드 금속 카바이드 막의 기상 증착
US9890454B2 (en) 2014-03-31 2018-02-13 Samsung Display Co., Ltd. Atomic layer deposition apparatus
KR20180017421A (ko) * 2016-08-09 2018-02-21 에이피시스템 주식회사 박막 증착장치
KR20230048448A (ko) * 2018-09-29 2023-04-11 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 흡기 시스템, 원자층 증착 디바이스 및 방법

Families Citing this family (445)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040035360A1 (en) * 2002-05-17 2004-02-26 Semiconductor Energy Laboratory Co., Ltd. Manufacturing apparatus
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US6800530B2 (en) * 2003-01-14 2004-10-05 International Business Machines Corporation Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors
KR100575092B1 (ko) * 2003-12-24 2006-05-03 한국전자통신연구원 게이트 절연막의 형성 방법
KR100574569B1 (ko) * 2004-04-30 2006-05-03 주성엔지니어링(주) 박막 증착방법 및 분리된 퍼지가스 분사구를 구비하는박막 증착장치
KR100469132B1 (ko) * 2004-05-18 2005-01-29 주식회사 아이피에스 주기적 펄스 두 단계 플라즈마 원자층 증착장치 및 방법
DE102004056170A1 (de) * 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US20060062914A1 (en) * 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
US7351656B2 (en) * 2005-01-21 2008-04-01 Kabushiki Kaihsa Toshiba Semiconductor device having oxidized metal film and manufacture method of the same
US20060210723A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) * 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
KR100640654B1 (ko) * 2005-07-16 2006-11-01 삼성전자주식회사 ZrO2 박막 형성 방법 및 이를 포함하는 반도체 메모리소자의 커패시터 제조 방법
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
KR100773123B1 (ko) * 2005-11-28 2007-11-02 주식회사 에이이티 2단계 증착에 의한 다결정 실리콘 박막의 형성 방법
KR101213392B1 (ko) * 2006-03-08 2012-12-18 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR20070099913A (ko) * 2006-04-06 2007-10-10 주성엔지니어링(주) 산화막 형성 방법 및 산화막 증착 장치
KR100757855B1 (ko) 2006-09-11 2007-09-11 세메스 주식회사 기판 처리 장치 및 이를 이용한 기판의 처리 방법
JP4299863B2 (ja) * 2007-01-22 2009-07-22 エルピーダメモリ株式会社 半導体装置の製造方法
US8012259B2 (en) * 2007-03-09 2011-09-06 Hitachi Kokusai Electric, Inc. Substrate processing apparatus
US20080314317A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Showerhead design with precursor pre-mixing
US20090136652A1 (en) * 2007-06-24 2009-05-28 Applied Materials, Inc. Showerhead design with precursor source
KR100905278B1 (ko) * 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
CN101755073B (zh) * 2007-07-30 2011-10-12 Ips股份有限公司 在晶圆上沉积薄膜的反应器
KR100967881B1 (ko) * 2007-07-30 2010-07-05 주식회사 아이피에스 박막증착장치
KR100967882B1 (ko) * 2007-07-30 2010-07-05 주식회사 아이피에스 박막증착장치
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
KR100960958B1 (ko) * 2007-12-24 2010-06-03 주식회사 케이씨텍 박막 증착 장치 및 증착 방법
KR100936695B1 (ko) * 2007-12-26 2010-01-13 주식회사 케이씨텍 원자층 증착장치
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
KR100978569B1 (ko) 2008-06-02 2010-08-27 삼성엘이디 주식회사 서셉터 및 이를 구비하는 화학 기상 증착 장치
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
CN101665920A (zh) * 2008-09-04 2010-03-10 东京毅力科创株式会社 成膜装置、基板处理装置及旋转台
JP5280964B2 (ja) * 2008-09-04 2013-09-04 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5276387B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
CN101665923A (zh) * 2008-09-04 2010-03-10 东京毅力科创株式会社 成膜装置、基板处理装置及成膜方法
JP5253933B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5062144B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 ガスインジェクター
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
US20100227059A1 (en) * 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
JP5107285B2 (ja) * 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101311362B1 (ko) * 2009-07-02 2013-09-25 주식회사 원익아이피에스 박막증착장치
KR101334643B1 (ko) * 2009-07-02 2013-12-02 주식회사 원익아이피에스 박막증착장치
DE102009026249B4 (de) * 2009-07-24 2012-11-15 Q-Cells Se Plasma unterstütztes Abscheideverfahren, Halbleitervorrichtung und Abscheidevorrichtung
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011026064A1 (en) * 2009-08-31 2011-03-03 The Penn State Research Foundation Improved plasma enhanced atomic layer deposition process
JP5444961B2 (ja) * 2009-09-01 2014-03-19 東京エレクトロン株式会社 成膜装置及び成膜方法
US20110083735A1 (en) * 2009-10-13 2011-04-14 Ips Ltd. Solar cell and method of fabricating the same
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5497423B2 (ja) * 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
JP5396264B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
EP2360293A1 (en) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
JP5812606B2 (ja) * 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5423529B2 (ja) * 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR100996210B1 (ko) * 2010-04-12 2010-11-24 세메스 주식회사 가스 분사 유닛 및 이를 이용한 박막 증착 장치 및 방법
US8530305B2 (en) * 2010-04-19 2013-09-10 Micron Technology, Inc. Nanodot charge storage structures and methods
JP2012054508A (ja) * 2010-09-03 2012-03-15 Tokyo Electron Ltd 成膜装置
EP2441860A1 (en) * 2010-10-13 2012-04-18 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition on a surface
JP5870568B2 (ja) * 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8840726B2 (en) * 2011-06-08 2014-09-23 Asm Technology Singapore Pte Ltd Apparatus for thin-film deposition
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
KR101829281B1 (ko) * 2011-06-29 2018-02-20 삼성전자주식회사 인-시츄 공정을 이용한 산화막/질화막/산화막(ono) 구조의 절연막 형성 방법
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
JP5712874B2 (ja) 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP6088178B2 (ja) * 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6150506B2 (ja) * 2011-12-27 2017-06-21 東京エレクトロン株式会社 成膜方法
JP5882777B2 (ja) * 2012-02-14 2016-03-09 東京エレクトロン株式会社 成膜装置
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101828989B1 (ko) 2012-04-20 2018-02-14 주식회사 원익아이피에스 기판처리장치
KR102014877B1 (ko) * 2012-05-30 2019-08-27 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
WO2014008557A1 (en) * 2012-07-13 2014-01-16 Gallium Enterprises Pty Ltd Apparatus and method for film formation
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US8911826B2 (en) * 2012-08-02 2014-12-16 Asm Ip Holding B.V. Method of parallel shift operation of multiple reactors
KR101397162B1 (ko) * 2012-08-23 2014-05-19 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
DE102012111218A1 (de) * 2012-11-21 2014-05-22 Emdeoled Gmbh Materialabgabekopf, Materialabgabeeinrichtung und Verfahren
JP5939147B2 (ja) * 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
KR102115337B1 (ko) * 2013-07-31 2020-05-26 주성엔지니어링(주) 기판 처리 장치
KR102164707B1 (ko) * 2013-08-14 2020-10-13 삼성디스플레이 주식회사 원자층 증착 방법 및 원자층 증착 장치
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP6338462B2 (ja) * 2013-09-11 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6242288B2 (ja) * 2014-05-15 2017-12-06 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6062413B2 (ja) * 2014-11-28 2017-01-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016204974A1 (en) 2015-06-17 2016-12-22 Applied Materials, Inc. Gas control in process chamber
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US20170088952A1 (en) * 2015-09-28 2017-03-30 Ultratech, Inc. High-throughput multichamber atomic layer deposition systems and methods
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR102477302B1 (ko) 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102462931B1 (ko) * 2015-10-30 2022-11-04 삼성전자주식회사 가스 공급 유닛 및 기판 처리 장치
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
KR101755460B1 (ko) * 2015-11-16 2017-07-07 현대자동차 주식회사 연료 전지용 분리판 및 연료 전지용 분리판의 제조방법
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
RU2633894C1 (ru) * 2016-06-24 2017-10-19 Акционерное общество "Научно-производственное предприятие "Пульсар" Способ плазмо-стимулированного атомно-слоевого осаждения изолирующих диэлектрических покрытий на гетероструктурах нитрид-галлиевых полупроводниковых приборов
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP6930382B2 (ja) * 2017-11-06 2021-09-01 東京エレクトロン株式会社 成膜装置及び成膜方法
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR20200056273A (ko) * 2018-11-14 2020-05-22 주성엔지니어링(주) 기판처리장치 및 기판처리방법
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20200095082A (ko) * 2019-01-31 2020-08-10 주식회사 엘지화학 원자층 증착 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US10818666B2 (en) 2019-03-04 2020-10-27 Micron Technology, Inc. Gate noble metal nanoparticles
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11225715B2 (en) * 2019-04-11 2022-01-18 Samsung Electronics Co., Ltd. Showerhead, semiconductor manufacturing apparatus including the same, and semiconductor manufacturing method
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7253972B2 (ja) * 2019-05-10 2023-04-07 東京エレクトロン株式会社 基板処理装置
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
JP6987821B2 (ja) * 2019-09-26 2022-01-05 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US20210320017A1 (en) * 2020-04-09 2021-10-14 Applied Materials, Inc. Bottom purge for semiconductor processing system
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
KR100319494B1 (ko) * 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
KR20020065245A (ko) * 2001-02-06 2002-08-13 주식회사 하이닉스반도체 피이에이엘디법을 이용한 박막 증착방법
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100589206B1 (ko) * 2004-09-30 2006-06-14 주식회사 하이닉스반도체 회전식 인젝터를 이용한 반도체소자의 박막 증착 방법
KR20070004151A (ko) * 2005-07-01 2007-01-09 주성엔지니어링(주) 가스 분사 시스템 및 이를 포함하는 박막 제조 장치
KR101506019B1 (ko) * 2006-11-01 2015-03-25 에이에스엠 아메리카, 인코포레이티드 금속 카바이드 막의 기상 증착
KR101464227B1 (ko) * 2007-01-12 2014-11-21 비코 인스트루먼츠 인코포레이티드 가스 처리 시스템
KR101483522B1 (ko) * 2007-01-12 2015-01-16 비코 인스트루먼츠 인코포레이티드 가스 처리 시스템
KR101464228B1 (ko) * 2007-01-12 2014-11-21 비코 인스트루먼츠 인코포레이티드 가스 처리 시스템
KR100928290B1 (ko) * 2007-06-24 2009-11-25 어플라이드 머티어리얼스, 인코포레이티드 Hvpe 샤우어헤드
KR100949914B1 (ko) * 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
KR100936694B1 (ko) * 2007-12-27 2010-01-13 주식회사 케이씨텍 플라즈마 발생부를 구비하는 원자층 증착 장치
KR100982842B1 (ko) * 2008-04-25 2010-09-16 주식회사 케이씨텍 원자층 증착 장치
WO2010019007A2 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor deposition reactor for forming thin film
WO2010019007A3 (en) * 2008-08-13 2010-04-15 Synos Technology, Inc. Vapor deposition reactor for forming thin film
KR101504138B1 (ko) * 2008-11-19 2015-03-19 주식회사 원익아이피에스 박막 증착장치 및 이 장치의 세정방법
KR101485506B1 (ko) * 2008-11-19 2015-01-28 주식회사 원익아이피에스 박막 증착방법
KR101145118B1 (ko) * 2010-06-01 2012-05-15 주성엔지니어링(주) 박막 제조 장치 및 이를 이용한 박막 증착 방법
KR101145119B1 (ko) * 2011-11-09 2012-05-15 주성엔지니어링(주) 박막 제조 장치 및 이를 이용한 박막 증착 방법
KR101286034B1 (ko) * 2011-12-09 2013-07-19 주식회사 테스 기판처리장치
WO2013180451A1 (ko) * 2012-05-29 2013-12-05 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR20140004570A (ko) * 2012-06-29 2014-01-13 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US9890454B2 (en) 2014-03-31 2018-02-13 Samsung Display Co., Ltd. Atomic layer deposition apparatus
KR20180017421A (ko) * 2016-08-09 2018-02-21 에이피시스템 주식회사 박막 증착장치
KR20230048448A (ko) * 2018-09-29 2023-04-11 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 흡기 시스템, 원자층 증착 디바이스 및 방법

Also Published As

Publication number Publication date
US20040082171A1 (en) 2004-04-29
US20060177579A1 (en) 2006-08-10
US7435445B2 (en) 2008-10-14
KR100497748B1 (ko) 2005-06-29

Similar Documents

Publication Publication Date Title
KR100497748B1 (ko) 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
CN110318041B (zh) 基底处理方法
KR101610773B1 (ko) 박막 형성 방법 및 이의 제조 장치
KR101803768B1 (ko) 회전형 세미 배치 ald 장치 및 프로세스
US6539891B1 (en) Chemical deposition reactor and method of forming a thin film using the same
KR101132231B1 (ko) 기판 처리 장치
US20100037820A1 (en) Vapor Deposition Reactor
US20040129212A1 (en) Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US20060110533A1 (en) Methods and apparatus for forming a titanium nitride layer
KR20030068366A (ko) 회전가능한 1개 이상의 가스분사기가 구비된 박막증착장치 및 이를 이용한 박막 증착방법
KR20020065245A (ko) 피이에이엘디법을 이용한 박막 증착방법
US7786010B2 (en) Method for forming a thin layer on semiconductor substrates
KR101635085B1 (ko) 박막증착장치
JP3968869B2 (ja) 成膜処理方法及び成膜処理装置
KR100476299B1 (ko) 반도체 소자 제조용 원자층 증착장치 및 원자층 증착 방법
KR100422398B1 (ko) 박막 증착 장비
TWI471453B (zh) Thin film deposition method and thin film deposition apparatus
KR100531464B1 (ko) 원자층 증착법을 이용한 하프니움산화막 형성방법
KR20020011510A (ko) 화학 기상 증착 장치
KR101084631B1 (ko) 퍼지 펄스트 mocvd 방법 및 이를 이용한 반도체소자의 유전막 제조방법
KR20010036268A (ko) 원자층 증착법을 이용한 금속 산화막 형성방법
KR20230071824A (ko) 박막 증착 장치 및 이를 이용한 박막 증착 방법
KR101301683B1 (ko) 질화막 형성 방법
KR101513541B1 (ko) 금속 질화막 형성 방법 및 이의 제조 장치
JP2007059735A (ja) 半導体装置の製造方法および基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130410

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20150518

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170406

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20190328

Year of fee payment: 15