KR100928290B1 - Hvpe 샤우어헤드 - Google Patents

Hvpe 샤우어헤드 Download PDF

Info

Publication number
KR100928290B1
KR100928290B1 KR1020070108300A KR20070108300A KR100928290B1 KR 100928290 B1 KR100928290 B1 KR 100928290B1 KR 1020070108300 A KR1020070108300 A KR 1020070108300A KR 20070108300 A KR20070108300 A KR 20070108300A KR 100928290 B1 KR100928290 B1 KR 100928290B1
Authority
KR
South Korea
Prior art keywords
gas
containing precursor
passages
substrates
metal
Prior art date
Application number
KR1020070108300A
Other languages
English (en)
Other versions
KR20080113316A (ko
Inventor
브라이언 에이치. 버로우즈
알렉산더 탐
로날드 스티븐스
자코브 그레이선
켄릭 티. 최
수메드흐 아차르야
산딥 니즈하완
올가 크릴리욱
유리이 멜닉
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080113316A publication Critical patent/KR20080113316A/ko
Application granted granted Critical
Publication of KR100928290B1 publication Critical patent/KR100928290B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrochemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 금속 질화물 박막의 수소화물 기상 에피택시(HVPE) 증착과 같은 증착 공정에 사용될 수 있는 방법 및 장치에 관한 것이다. 제1 세트의 통로가 금속 함유 전구체 가스를 도입시킬 수 있다. 제2 세트의 통로가 질소-함유 전구체 가스를 제공할 수 있다. 상기 제1 세트의 통로 및 상기 제2 세트의 통로는 금속 함유 전구체 가스 및 질소-함유 전구체 가스가 기판에 도달할 때 까지 이들 가스를 분리시키도록 산재되어 있을 수 있다. 분리를 유지시키고 상기 제1 세트의 통로 및 상기 제2 세트의 통로에 또는 그 부근으로 반응을 제한하는 것을 돕기 위해 상기 제1 세트의 통로 및 상기 제2 세트의 통로를 통해 아래로 불활성 가스가 유동할 수 있어서, 상기 제1 세트의 통로 및 상기 제2 세트의 통로 상에의 원치않는 증착을 방지한다.

Description

HVPE 샤우어헤드 {HVPE SHOWERHEAD DESIGN}
본 발명의 실시예들은 대체로 발광 다이오드(LEDs)와 같은 장치의 제조에 관한 것이며, 보다 상세하게는, 수소화물 기상 에피택시(hydride vapor phase epitaxial; HVPE) 증착에 사용하기 위한 샤우어헤드 구성에 관한 것이다.
그룹-Ⅲ 질화물 반도체는 단파장 발광 다이오드(LEDs), 레이저 다이오드(LDs), 및 높은 파워, 고주파수, 고온 트랜지스터 및 집적 회로를 포함하는 전자 장치와 같은 다양한 반도체 장치의 개발 및 제조에 있어 보다 중요함을 알게 되었다. 그룹-Ⅲ 질화물을 증착시키는데 사용되었던 한 가지 방법은 수소화물 기상 에피택시(hydride vapor phase epitaxial; HVPE) 증착법이다. HVPE에서, 금속 함유 전구체(metal containing precursor)(예컨대, 금속 염화물)를 형성하기 위해 할로겐화물이 그룹-Ⅲ 금속과 반응한다. 금속 함유 전구체는 이후 그룹-Ⅲ 금속을 형성하기 위해 질소-함유 전구체 가스와 반응한다.
LEDs, LDs, 트랜지스터 및 집적 회로에 대한 수요가 증가함에 따라, 그룹-Ⅲ 금속 질화물을 증착시키는 효율이 보다 중요하게 대두되었다. 대규모 기판 또는 다중 기판 위에 균일하게 박막을 높은 증착 속도로 증착시킬 수 있는 증착 장치 및 증착 방법에 대한 전반적인 요구가 있어왔다. 또한, 기판에 대한 일관된 박막 품질을 위해 균일한 전구체 혼합이 바람직하다. 따라서, 당업계에서는 향상된 HVPE 증착 방법 및 HVPE 장치에 대한 요구가 있다.
본 발명은 대체로 수소화물 기상 에피택시(hydride vapor phase epitaxial; HVPE)과 같은 증착 방법에서 가스를 전달하기 위한 방법 및 장치를 제공하는 것을 목적으로 한다.
본 발명에 따른 일 실시예는 하나 이상의 기판 상에 금속 질화물을 형성하는 방법을 제공한다. 이러한 방법은 제1 세트의 통로를 통해 하나 이상의 기판 위로 금속 함유 전구체 가스를 도입시키는 단계, 상기 제1 세트의 통로가 산재해 있는 제2 세트의 통로를 통해 상기 하나 이상의 기판 위로 질소-함유 전구체 가스를 도입시키는 단계와, 그리고 상기 제1 세트의 통로 및 상기 제2 세트의 통로에 또는 그 부근으로 상기 금속 함유 전구체 가스 및 상기 질소-함유 전구체 가스의 반응을 제한하도록 상기 하나 이상의 기판을 향해 상기 제1 세트의 통로 및 상기 제2 세트의 통로 위로 불활성 가스를 도입시키는 단계를 포함한다.
본 발명에 따른 일 실시예는 하나 이상의 기판 상에 금속 질화물을 형성하는 방법을 제공한다. 이러한 방법은 한 세트의 통로를 통해 상기 하나 이상의 기판 위로 하나 이상의 금속 함유 전구체 가스를 도입시키는 단계와, 그리고 상기 한 세트의 통로 사이로 상기 하나 이상의 기판을 향해 질소-함유 전구체 가스가 유동하도록 상기 한 세트의 통로 위로 상기 질소-함유 전구체 가스를 도입시키는 단계를 포함한다.
본 발명에 따른 일 실시예는 수소화물 기상 에피택시(HVPE) 챔버용 가스 전달 장치를 제공한다. 이러한 장치는, 금속 함유 전구체 가스의 유동을 제공하기 위한 제1 세트의 통로와, 질소-함유 전구체 가스의 유동을 제공하기 위한 제2 세트의 통로와, 그리고 상기 제1 세트의 통로 및 상기 제2 세트의 통로에 또는 그 부근에서 상기 금속 함유 전구체 가스의 유동과 상기 질소-함유 전구체 가스의 유동 사이의 분리를 촉진시키도록 상기 제1 세트의 통로 및 상기 제2 세트의 통로를 통해 가스를 인도하기 위한, 상기 제1 세트의 통로 및 상기 제2 세트의 통로 위의 하나 이상의 가스 입구를 포함한다.
본 발명에 따른 일 실시예는 수소화물 기상 에피택시(HVPE) 챔버용 가스 전달 장치를 제공한다. 이러한 장치는, 금속 함유 전구체 가스 공급원에 연결되는 제1 가스 입구와, 그리고 상기 제1 가스 입구와 분리되며 질소-함유 전구체 가스 공급원과 연결되는 제2 가스 입구를 포함하며, 상기 제2 가스 입구가 하나 이상의 표면과 실질적으로 수직인 방향으로 상기 수소화물 기상 에피택시 챔버 안으로 가스를 인도하도록 배향된다.
상술한 본 발명의 특징들을 구체적으로 지득 및 이해하기 위해, 첨부된 도면에 도해된 실시예들을 참조하여 위에서 간단히 요약된 본 발명을 보다 구체적으로 설명한다.
용이한 이해를 위해, 도면들에 공통인 동일한 구성 요소를 지시하기 위해 가능하다면 동일한 참조 부호를 사용하였다. 본 발명의 일 실시예의 구성 요소 및 특성들은 다른 상술없이도 다른 실시예들과 유리하게 병합될 수 있음을 고려한다.
그러나, 첨부된 도면들은 오로지 본 발명의 예시적인 실시예들을 도해하는 것이므로 본 발명의 범위를 제한하는 것으로 고려되어선 안 되며, 본 발명은 다른 동일한 효과의 실시예들을 포함할 수 있음을 주지한다.
본 발명은 대체로 수소화물 기상 에피택시(hydride vapor phase epitaxial; HVPE) 증착과 같은 증착 공정에서 이용될 수 있는 방법 및 장치를 제공한다. 도 1은 본 발명의 일 실시예에 따른 본 발명을 실시하는데 사용될 수 있는 HVPE 챔버의 개략적인 단면도이다. 본 발명을 실시하는데 개작될 수 있는 예시적인 챔버가 미국특허출원번호 제11/411,672호 및 제11/404,516호에 개시되어 있으며, 이들 미국특허출원은 그 전체를 여기에 참조하였다.
도 1에 도시된 장치(100)는 공정 체적(108)을 둘러싸는 챔버 바디(102)를 포함한다. 공정 체적(108)의 일단부에 샤우어헤드 조립체(104)가 배치되며, 공정 체적(108)의 타단부에 기판 지지체(114)가 배치된다. 기판 지지체(114)는 하나 이상의 오목부(116)를 포함하며, 이러한 오목부(116) 내에는 하나 이상의 기판이 공정 동안 배치될 수 있다. 기판 지지체(114)는 6개 이상의 기판을 지지할 수 있다. 일 실시예에서, 기판 지지체(114)는 8개의 기판을 지지한다. 다소 많거나 다소 적은 기판들이 기판 지지체(114) 상에 지지될 수 있음을 이해한다. 통상의 기판들은 사파이어, SiC 또는 실리콘일 수 있다. 기판 크기는 직경이 50 mm ~ 100 mm 또는 그 이상의 범위일 수 있다. 기판 지지체의 크기는 200 mm ~ 500 mm 의 범위일 수 있다. 기판 지지체는 SiC 또는 Sic-코팅된 흑연을 포함한 다양한 물질들로 형성될 수 있다. 기판들이 사파이어, SiC, GaN, 실리콘, 석영, GaAs, AlN 또는 유리로 이루어질 수 있음을 이해한다. 다른 크기의 기판들이 장치(100) 내부에서 그리고 여기 개시된 공정에 따라 프로세싱될 수 있다. 상기한 샤우어헤드 조립체는 종래의 HVPE 챔버에서 보다 많은 개수의 기판 또는 보다 큰 크기의 기판을 가로질러 보다 균일한 증착을 허용할 수 있어서, 제조 비용을 절감할 수 있다. 기판 지지체(114)는 공정 동안 중심 축선을 중심으로 회전할 수 있다. 일 실시예에서, 기판들은 기판 지지체(114) 내부에서 개별적으로 회전할 수 있다.
기판 지지체(114)는 회전할 수 있다. 일 실시예에서, 기판 지지체(114)는 약 2 RPM 내지 약 100 RPM으로 회전할 수 있다. 다른 실시예에서, 기판 지지체(114)는 30 RPM으로 회전할 수 있다. 기판 지지체(114)가 회전함으로써 각각의 기판에 대한 공정 가스의 균일한 노출을 돕는다.
복수의 램프(130a, 130b)는 기판 지지체(114) 아래에 배치될 수 있다. 다수의 적용 분야에서, 통상의 램프 장치는 기판 위(도시 안됨)와 기판 아래(도시 안됨)에 복수 층의 램프를 포함할 수 있다. 일 실시예는 측면의 램프와 병합될 수 있다. 일부 실시예에서, 램프들은 동심원으로 배열될 수 있다. 예컨대, 내부 배열부의 램프(130b)는 8개의 램프를 포함할 수 있고, 외부 배열부의 램프(130a)는 12개의 램프를 포함할 수 있다. 본 발명의 일 실시예에서, 램프(130a, 130b)의 배열부들은 각각 개별적으로 전원 공급될 수 있다. 다른 실시예에서, 램프(130a, 130b)의 배열부들은 샤우어헤드 조립체(104) 위 또는 내부에 위치될 수 있다. 다른 배열 또는 다른 개수의 램프들이 가능함을 이해한다. 이들 배열체의 램 프(130a, 130b)는 기판 지지체(114)의 내부 및 외부 영역을 가열하기 위해 선택적으로 전원 공급될 수 있다. 일 실시예에서, 램프(130a, 130b)는 내부 및 외부 배열체로서 공동으로 전원 공급되며, 여기서, 최상부 및 바닥 배열체가 공동으로 또는 개별적으로 전원 공급된다. 또 다른 실시예에서, 개별의 램프 또는 가열 요소가 소스 보트(source boat; 280) 위 및 아래 중 하나 이상에 위치될 수 있다. 본 발명은 램프 배열체의 사용에 제한되지 않음을 이해한다. 적절한 온도가 공정 챔버, 기판 내부, 및 금속 공급원에 적당하게 적용되는 것을 보장하기 위해 임의의 적합한 가열원이 이용될 수 있다. 예컨대, 그 전체가 여기 참조되는 미국공개특허 제2006/0018639 A1호에 개시된 바와 같은 신속 열 프로세싱 램프 시스템이 사용될 수 있음을 인지한다.
하나 이상의 램프(130a, 130b)가 기판 뿐만 아니라 소스 보트(280)를 가열하도록 전원 공급될 수 있다. 이들 램프는 약 900 ℃ 내지 약 1200 ℃의 온도까지 기판을 가열할 수 있다. 다른 실시예에서, 램프(130a, 130b)는 약 350 ℃ 내지 약 900 ℃의 온도에서 소스 보트(280) 내부의 구멍(well; 820) 내에 금속 공급원을 유지시킨다. 공정 동안 금속 공급원 온도를 측정하기 위해 구멍(820) 내부에 열전쌍이 위치될 수 있다. 열전쌍에 의해 측정되는 온도는 제어기로 전송될 수 있으며, 이 제어기는 구멍(820) 내의 금속 공급원의 온도가 필요에 따라 제어되거나 조절될 수 있도록 가열 램프(130a, 130b)로부터 제공되는 열을 조절한다.
본 발명의 일 실시예에 따른 방법 동안, 전구체 가스(106)는 샤우어헤드 조립체(104)로부터 기판 표면을 향해 유동한다. 기판 표면에서 또는 기판 표면 부근 에서의 전구체 가스(106)의 반응은 GaN, AlN 및 InN을 포함한 여러 금속 질화층을 기판 상에 증착시킬 수 있다. AlGaN 및/또는 InGaN과 같은 "조합 박막(combination film)"의 증착을 위해 복수의 금속들이 이용될 수 있다. 공정 체적(108)은 약 760 토르(Torr) 내지 약 100 토르의 압력에서 유지될 수 있다. 일 실시예에서, 공정 체적(108)은 약 450 토르 내지 약 760 토르의 압력에서 유지되어 있다.
도 2는 도 1의 본 발명의 일 실시예에 따른 HVPE 챔버의 단면 사시도이다. 소스 보트(280)는 챔버 바디(102)를 둘러싼다. 금속 공급원은 소스 보트(280)의 구멍(820)을 채운다. 일 실시예에서, 금속 공급원은 갈륨, 알루미늄, 또는 인듐과 같은 임의의 적합한 금속 공급원을 포함하며, 특수한 적용 분야의 요구를 기초로 특수한 금속이 선택된다. 할로겐화물 또는 할로겐 가스가 채널(810)을 통해 소스 보트(280)의 구멍(820) 내의 금속 공급원 위로 유동하여 가스상(gaseous) 금속-함유 전구체를 형성하도록 금속 공급원과 반응한다. 일 실시예에서, HCl은 액상 갈륨과 반응하여 가스상 GaCl을 형성한다. 다른 실시예에서, Cl2가 액상 갈륨과 반응하여 GaCl 및 GaCl3를 형성한다. 본 발명의 추가의 실시예는 금속-함유 가스상 전구체를 얻기 위해 다른 할로겐화물 또는 할로겐을 사용한다. 적합한 수소화물은 조성 HX(예컨대, X=Cl, Br 및 I)를 갖는 것들을 포함하며, 적합한 할로겐화물은 Cl2, Br 및 I2를 포함한다. 할로겐화물에 대해, 부등형 반응식은:
HX(가스) + M(액상 금속) -> MX(가스) + H(가스)
여기서, X=Cl2, Br 또는 I 및 M=Ga, Al 또는 In이다. 할로겐화물에 대한 방정식은:
Z(가스) + M(액상 금속) -> MZ(가스)
여기서, Z=Cl2, Br, I2, 및 M=Ga, Al, In이다. 이하에, 정금(specie)을 함유하는 가스상 금속을 "금속 함유 전구체"(예컨대, 금속 염화물)라고 할 것이다.
소스 보트(280) 내부의 반응에서 생성되는 금속 함유 전구체 가스(216)가 가스관(251)과 같은 제1 세트의 가스 통로를 통해 공정 체적(108) 안으로 도입된다. 금속 함유 전구체 가스(216)가 소스 보트(280) 이외의 공급원에서 발생될 수 있음을 이해한다. 질소-함유 가스(226)는 가스관(252)과 같은 제2 세트의 가스 통로를 통해 공정 체적(108) 안으로 도입될 수 있다. 가스관들의 배열이 적합한 가스 분배 구조물의 실례로서 도시되고 일부의 실시예들에 사용될 수 있지만, 여기에 개시된 바와 같은 가스 분배를 제공하기 위해 구성된 상이한 유형의 통로의 여러 다른 유형의 배열들이 다른 실시예들에 대해 이용될 수도 있다. 이러한 배열의 통로의 실례는, 아래에 보다 상세히 설명하듯이, 플레이트 내에 형성된 가스 분배 채널을 (통로로서) 갖는 가스 분배 구조물을 포함한다.
일 실시예에서, 질소-함유 가스(226)는 암모니아를 포함한다. 금속 함유 전구체 가스(216) 및 질소-함유 가스(226)는 기판의 표면 부근 또는 표면에서 반응할 수 있으며, 금속 질화물이 기판 상에 증착될 수 있다. 금속 질화물은 시간 당 약 1 마이크론(micron) 내지 시간 당 약 25 마이크론의 속도로 기판 상에 증착될 수 있다. 일 실시예에서, 증착 속도는 약 15 micron/hour 내지 약 25 micron/hour 이다.
일 실시예에서, 플레이트(260)를 통해 공정 체적(108) 안으로 불활성 가스(206)가 도입된다. 금속 함유 전구체 가스(216)와 질소-함유 가스(226) 사이에 불활성 가스(206)를 유동시킴으로써, 금속 함유 전구체 가스(216) 및 질소-함유 가스(226)가 서로 접촉하지 않고 이른 시기에 반응하지 않아서, 원하지 않는 표면 상에 증착되지 않는다. 일 실시예에서, 불활성 가스(206)는 수소, 질소, 헬륨, 아르곤 또는 이들의 화합물을 포함한다. 다른 실시예에서, 암모니아가 불활성 가스(206)를 대체할 수 있다. 일 실시예에서, 질소-함유 가스(226)은 약 1 slm 내지 약 15 slm의 속도로 공정 체적(108)에 제공된다. 다른 실시예에서, 질소-함유 가스(226)는 캐리어 가스와 함께 공동 유동한다. 이러한 캐리어 가스는 질소 가스 또는 수소 가스 또는 불활성 가스를 포함할 수 있다. 일 실시예에서, 질소-함유 가스(226)는 약 0 slm 내지 약 15 slm의 유량으로 제공될 수 있는 캐리어 가스와 함께 공동 유동된다. 할로겐화물 또는 할로겐의 통상의 유량은 5 내지 1000 sccm이지만 5 slm 까지의 유량을 포함할 수도 있다. 할로겐화물 또는 할로겐에 대한 캐리어 가스는 0.1 slm ~ 10 slm 일 수 있으며 상기한 불활성 가스들을 포함한다. 할로겐화물/할로겐/캐리어 가스 혼합물의 추가의 희석은 0 slm 내지 10 slm의 불활성 가스에 의해 실시될 수 있다. 불활성 가스(206)의 유량은 5 slm 내지 40 slm이다. 공정 압력은 100 토르 내지 1000 토르의 범위로 변화된다. 통상의 기판 온도는 500 ℃ 내지 1200 ℃이다.
불활성 가스(206), 금속 함유 전구체 가스(216) 및 질소-함유 가스(226)는 공정 체적(108)의 둘레 주위로 분포될 수 있는 배출구(236)를 통해 공정 체적(108)에서 배출된다. 이러한 배출구(236)의 분포는 기판의 표면을 가로질러 가스의 균일한 유동을 위해 제공될 수 있다.
도 3 및 도 4에 도시된 바와 같이, 본 발명의 일 실시예에 따라 가스관(251) 및 가스관(252)이 산재될 수 있다. 가스관(251) 내부의 금속 함유 전구체 가스(216)의 유량은 가스관(252) 내부의 질소-함유 가스(226)의 유량과 무관하게 제어될 수 있다. 별도로 제어되는, 산재된 가스관들은 기판의 표면을 가로질러 가스들 각각의 균일한 분배를 보다 균일하게 하는데 기여할 수 있으며, 이로써 증착 균일성을 보다 크게 할 수 있다.
또한, 금속 함유 전구체 가스(216)와 질소-함유 가스(226) 사이의 반응의 정도는 2개의 가스가 접촉해 있는 시간에 좌우될 것이다. 가스관(251) 및 가스관(252)을 기판의 표면과 평행하게 위치설정함으로써, 금속 함유 전구체 가스(216) 및 질소-함유 가스(226)가 가스관(251) 및 가스관(252)로부터 같은 거리의 지점에서 동시에 접촉할 것이며, 따라서, 기판의 표면 상의 모든 지점에서 대체로 동일한 크기로 반응할 것이다. 결국, 보다 큰 직경의 기판에 대해 증착 균일성이 달성될 수 있다. 기판의 표면과 가스관(251)과 가스관(252) 사이의 거리의 편차가 금속 함유 전구체 가스(216) 및 질소-함유 가스(226)가 반응하게 되는 크기를 결정할 것임을 이해해야 한다. 본 발명의 일 실시예에 따라, 이러한 공정 체적(108)의 치수는 증착 공정 동안 변화될 것이다. 또한, 본 발명의 다른 실시예에 따라, 가스 관(251)과 기판의 표면 사이의 거리는 가스관(252)과 기판의 표면 사이의 거리와 상이할 것이다. 또한, 가스관(251)과 가스관(252) 사이의 분리는 금속 함유 및 질소-함유 전구체 가스들 사이의 반응과 가스관(251) 및 가스관(252)에서 또는 그 부근에서의 원치 않는 증착을 방지할 것이다. 아래에 설명하듯이, 불활성 가스는 또한 가스관(251)과 가스관(252) 사이로 유동하여 전구체 가스들 사이의 분리를 유지시키는 것을 돕는다.
본 발명의 일 실시예에서, 계측 뷰포트(metrology viewport; 310)가 플레이트(260) 내에 형성될 수 있다. 이것은 공정 동안 공정 체적(108)에 대한 방사선 측정 기구의 접근을 제공한다. 이러한 측정은 간섭계에 의해 실시되어, 전송된 파장을 반사된 파장과 비교함으로써 기판 상에 박막이 증착되는 속도를 결정한다. 기판 온도를 측정하도록 고온계에 의해 측정이 실시될 수도 있다. 계측 뷰포트(310)는 통상 HVPE와 결합하여 사용되는 임의의 방사선 측정 기구에의 접근을 제공할 수 있다.
가스관(251) 및 가스관(252)의 산재는 본 발명의 일 실시예에 따라 도 5에 도시된 바와 같이 구성됨으로써 달성될 수 있다. 각 세트의 가스관은 기본적으로 연결 포트(253)를 포함하는데, 이 연결 포트(253)는 단일의 줄기관(trunk tube; 257)에 연결되며, 이 줄기관(257)은 복수의 분기관(branch tube; 259)에 또한 연결된다. 분기관(259) 각각은 대체로 기판 지지체(114)와 마주하는 가스관의 측면 상에 형성된 복수의 가스 포트(255)를 구비할 수 있다. 가스관(251)의 연결 포트(253)는 공정 체적(108)과 가스관(252)의 연결 포트(253) 사이에 위치되도록 구 성될 수 있다. 그러면, 가스관(251)의 줄기관(257)은 공정 체적(108)과 가스관(252)의 줄기관(257) 사이에 위치될 것이다. 가스관(252)의 각각의 분기관(259)은 줄기관(257)과의 연결부에 근접한 "S"자형 굽힘부(258)를 포함하여, 가스관(252)의 분기관(259)의 길이가 가스관(251)의 분기관(259)과 평행하게 분기관(259)과 정렬될 수 있다. 유사하게, 가스관(251) 및 가스관(252)의 산재는 아래에 설명할 본 발명의 다른 실시예에 따라 도 9에 도시된 바와 같이 가스관들을 구성함으로써 달성될 수 있다. 분기관(259)의 개수, 및 이에 따른 인접하는 분기관 사이의 간격이 변화될 수 있음을 이해한다. 인접하는 분기관(259) 사이의 보다 큰 거리는 가스관의 표면 상에의 조숙한(때이른) 증착을 감소시킬 수 있다. 조숙한 증착은 또한 인접하는 가스관 사이에 복수의 격벽을 추가함으로써 감소될 수 있다. 이들 격벽은 기판의 표면에 수직하게 위치될 수 있거나, 이들 격벽은 가스 유동을 인도하도록 경사지게 기울어질 수도 있다. 본 발명의 일 실시예에서, 가스 포트(255)는 질소-함유 가스(226)에 대해 경사지게 금속 함유 전구체 가스(216)를 인도하도록 형성될 수 있다.
도 6은 본 발명의 일 실시예에 따른 플레이트(260)를 도시한다. 상술한 바와 같이, 불활성 가스(206)는 플레이트(260)의 표면을 가로질러 분포되는 복수의 가스 포트(255)를 통해 공정 체적(108) 안으로 도입될 수 있다. 플레이트(260)의 노치(notch; 267)는 본 발명의 일 실시예에 따라 가스관(252)의 줄기관(257)의 위치설정을 수용한다. 불활성 가스(206)는 가스관(251) 및 가스관(252)의 분기관(259)들 사이로 유동할 수 있어서, 본 발명의 일 실시예에 따라, 가스들이 기판 의 표면에 접근할 때 까지 금속 함유 전구체 가스(216) 및 질소-함유 가스(226)의 유동의 분리를 유지시킬 수 있다.
도 7에 도시된 본 발명의 일 실시예에 따라, 질소-함유 가스(226)는 플레이트(260)를 통해 공정 체적(108) 안으로 도입될 수 있다. 본 실시예에서, 가스관(252)의 분기관(259)은 가스관(251)의 추가의 분기관(259)으로 대체된다. 이로써, 금속 함유 전구체 가스는 가스관(252)을 통해 공정 체적(108) 안으로 도입될 수 있다.
도 8은 본 발명의 일 실시예에 따른 소스 보트(280)의 부품들을 도시한다. 소스 보트(280)는 바닥부(도 8b)를 덮는 최상부(도 8a)로 이루어질 수 있다. 최상부와 바닥부를 결합시키면, 구멍(820) 위에 채널(810)을 구성하는 환형 공동(annular cavity)이 생성된다. 상기한 바와 같이, 염소 함유 가스(811)는 채널(810)을 관류할 수 있으며 구멍(820) 내의 금속 공급원과 반응할 수 있어서, 금속 함유 전구체 가스(813)를 발생시킨다. 본 발명의 일 실시예에 따라, 금속 함유 전구체 가스(813)는 가스관(251)을 통해 금속 함유 전구체 가스(216)로서 공정 체적(108) 안으로 도입될 수 있다.
본 발명의 다른 실시예에서, 금속 함유 전구체 가스(813)는 도 8c에 도시된 희석 포트 내에서 불활성 가스(812)에 의해 희석될 수 있다. 대안으로, 불활성 가스(812)가 채널(810)에 유입되기 전에 염소 함유 가스(811)에 첨가될 수 있다. 또한, 양쪽의 희석이 발생될 수 있다. 즉, 불활성 가스(812)가 채널(810)에 유입되기 전에 염소 함유 가스(811)에 추가될 수 있으며, 추가의 불활성 가스(812)가 채 널(810)의 출구에 추가될 수 있다. 희석된 금속 함유 전구체 가스는 이후 가스관(251)을 통해 금속 함유 전구체 가스(216)로서 공정 체적(108) 안으로 도입된다. 금속 공급원 위로의 염소 함유 가스(811)의 체류 시간은 채널(810)의 길이에 정비례할 것이다. 체류 시간이 길어질 수록 금속 함유 전구체 가스(216)의 변환 효율이 보다 커 진다. 따라서, 소스 보트(280)에 의해 챔버 바디(102)를 둘러쌈으로써, 보다 긴 채널(810)이 생성될 수 있으며, 이 결과, 금속 함유 전구체 가스(216)의 변환 효율을 보다 크게 할 수 있다. 채널(810)을 형성하는 최상부(도 8a) 또는 바닥부(도 8b)의 통상의 직경은 10 인치 내지 12 인치의 범위에 있다. 채널(810)의 길이는 최상부(도 8a) 및 바닥부(도 8b)의 둘레이며 30 인치 내지 40 인치의 범위에 있다.
도 9는 본 발명의 다른 실시예를 도시한다. 이러한 실시예에서, 가스관(251, 252)의 줄기관(257)은 공정 체적(108)의 둘레를 따라가도록 재구성될 수 있다. 공정 체적의 둘레로 줄기관(257)을 이동시킴으로써, 가스 포트(255)의 밀도는 기판의 표면을 가로질러 보다 균일하게 될 수 있다. 플레이트(260)의 보완적인 재구성으로 다른 구성의 줄기관(257) 및 분기관(259)이 가능함을 이해한다.
당업자는 본 발명의 범위 내에 있으면서도 상기한 실시예들로부터 다양한 변경예들이 구성될 수 있음을 이해할 것이다. 일례로서, 내부 보트에 대한 대안으로(또는 추가로), 일부의 실시예들은 챔버 외부에 위치되는 보트를 사용할 수도 있다. 이러한 실시예들에 대해, 외부 보트로부터 챔버로 전구체를 전달하기 위해 별도의 열 공급원 및/또는 가열된 가스 라인이 사용될 수 있다.
일부의 실시예에 대해, 챔버를 개방시키지 않고 (예컨대, 액상 금속에 의해) 재충진되는 챔버 내부에 위치되는 모든 보트에 몇 가지 유형의 기구가 사용될 수도 있다. 예컨대, 분사기 및 플런저(plunger)(예컨대, 대형 주사기와 유사함)를 사용하는 일부 유형의 장치가 보트 위에 위치될 수 있어서, 챔버의 개방 없이 보트가 액상 금속에 의해 재충진될 수 있다.
일부의 실시예들에서, 내부 보트는 내부 보트에 연결되는 외부의 커다란 도가니(crucible)로부터 충진될 수 있다. 이러한 도가니는 별도의 가열 및 온도 제어 시스템에 의해 (예컨대, 저항적으로 또는 램프를 통해) 가열될 수 있다. 이 도가니는, 공정 제어 전자 부품 및 질량 유량 제어기의 사용을 통해, 또는 운전자가 수동 밸브를 개폐시키는 배치 공정과 같은 여러 기술에 의해 보트를 "공급"하는데 사용될 수 있다.
일부의 실시예들에 대해, 챔버 안으로 금속 전구체를 전달하기 위해 순간 기화법(flash vaporization technique)을 사용할 수도 있다. 예컨대, 순간적 기화 금속 전구체는 가스 스트림 안으로 소량의 금속을 분사하기 위한 액체 분사기를 통해 전달될 수 있다.
일부의 실시예들에 대해, 전구체 가스들을 최적 작동 온도로 유지시키기 위해 몇 가지 형태의 온도 제어가 사용될 수도 있다. 예컨대, 보트 내의 전구체의 온도를 결정하기 위해 보트(내부이거나 외부)가 직접 접촉 상태로 온도 센서(예컨대, 열전쌍)와 결합될 수 있다. 이러한 온도 센서는 자동 피드백 온도 제어부와 연결될 수도 있다. 직접 접촉식 온도 센서의 대안으로서, 보트 온도를 모니터링하 기 위해 원격 고온측정법이 사용될 수 있다.
외부 보트 구성에 대해, 상기한 바와 아래에 기술하는 바와 같은 여러 상이한 유형의 샤우어헤드 구성이 사용될 수도 있다. 이러한 샤우어헤드는 SiC 또는 석영 또는 SiC-코팅된 흑연과 같이 극한 온도(예컨대, 1000℃ 까지)를 견딜 수 있는 적합한 물질로 구성될 수 있다. 상기한 바와 같이, 가스관 온도는 열전쌍 또는 원격 고온 측정법을 통해 모니터링될 수 있다.
일부의 실시예에 대해, 챔버의 최상부 및 바닥으로부터 위치되는 복수 층의 램프는 여러 목적을 달성하는데 필요한 대로 관(tube) 온도를 조절하도록 조율될 수 있다. 이러한 목적은 관 상의 증착을 최소화시키는 것, 증착 공정 동안 일정한 온도로 유지시키는 것, 및 (열 응력으로 인한 손상을 최소화시키기 위해) 최대 온도 한계가 초과되지 않음을 보장하는 것을 포함한다.
도 5a 및 도 5b, 도 8a 내지 도 8c, 및 도 9a 및 도 9b에 도시된 부품들은 SiC, SiC-코팅된 흑연, 및/또는 석영과 같은 적합한 물질로 구성될 수 있으며 임의의 적합한 물리적 치수를 가질 수 있다. 예컨대, 일부의 실시예에 대해서, 도 5a 및 도 5b와 도 9a 및 도 9b에 도시된 샤우어헤드 관은 1 mm 내지 10 mm (예컨대, 일부의 적용분야에서 2 mm)의 범위의 벽 두께를 가질 수 있다.
관들은 또한 화학적 에칭 및/또는 부식으로부터의 손상을 방지하는 방식으로 구성될 수 있다. 예컨대, 관들은 SiC, 또는 화학적 에칭 및 부식으로부터 손상을 최소화하는 다른 적합한 코팅과 같은 일부의 형태의 코팅을 포함할 수 있다. 대안예로서, 또는 추가로, 관들은 에칭 및 부식으로부터 관들을 차단시키는 분리부에 의해 둘러싸일 수 있다. 일부의 실시예들에서, 주(main)(예컨대, 중심) 관이 석영일 수 있으며 분기관이 SiC 일 수 있다.
일부의 적용 분야에서, 관 상에 증착물이 형성될 위험이 존재하며, 이것은 예컨대, 가스 포트를 막히게 함으로써 성능을 저해할 수 있다. 일부의 실시예들에 대해, 증착을 방지 또는 최소화하기 위해, 몇 가지 유형의 배리어(barrier)(예컨대, 배플(baffle) 또는 플레이트)가 관들 사이에 배치될 수 있다. 이러한 배리어는 제거가능하며 용이하게 교체되도록 구성되어, 유지 보수 및 수리가 용이하다.
지금까지 분기관을 이용하는 샤우어헤드 구성을 설명하였지만, 관 구성은 유사한 기능을 달성하도록 구성되는 상이한 유형의 구성으로 대체될 수 있다. 일례로서, 일부의 실시예에 대해, 가스 분리 및 주 챔버 안으로의 전달 측면에서 관과 유사한 기능을 제공하는 전달 채널 및 홀(hole)이 단일 피스 플레이트 안으로 천공될 수 있다. 대안예로서, 단일 피스 보다는, 어떤 방법(예컨대, 접합, 용접 또는 브레이징(braising))으로 함께 끼워맞추어지거나 조립될 수 있는 분배 플레이트가 복수의 부재를 통해 구성될 수 있다.
일부의 실시예에 대해, SiC로 코팅된 고체 흑연관이 형성될 수 있으며, 이 흑연은 이어서 일련의 채널 또는 홀을 남기고 제거될 수 있다. 일부의 실시예에 대해, 샤우어헤드는 내부에 홀이 형성된 여러 형상(예컨대, 타원형, 곡선형, 직사각형 또는 정방형)의 투명 또는 불투명 석영판으로 구성될 수 있다. 적합하게 치수화된 배관(예컨대, 2 mm의 내경 × 4 mm의 외경)이 가스 전달을 위해 플레이드에 융합될 수 있다.
일부의 실시예에서, 여러 부품들은 유사하지 않은 물질로 이루어질 수 있다. 이 경우에, 부품을 확실하게 끼워 맞추고 가스 누설을 방지하는 것을 보장하기 위한 노력을 하면서 방법들이 실시된다. 일례로서, 일부의 실시예에 대해, 가스 누출을 방지하기 위해 금속 부재 안으로 석영관을 확실히 끼워맞추도록 칼라가 사용될 수도 있다. 이러한 칼라는 예컨대, 부재들이 상이한 양으로 팽창 및 수축하게 하는 상이한 부재들의 열 팽창 차를 허용하는 임의의 적합한 물질로 이루어질 수 있으며, 그렇지 않은 경우, 부재들의 손상 또는 가스 누출을 야기시킬 수 있다.
상기한 바와 같이(예컨대, 도 2 참조), 할로겐화물 및 할로겐 가스가 증착 공정에 사용될 수 있다. 또한, 상기한 할로겐화물 및 할로겐은 반응기의 본래의 세척을 위해 식각제 가스(echant gas)로서 사용될 수 있다. 이러한 클리닝 공정은 할로겐화물 또는 할로겐 가스(불활성 캐리어 가스를 갖거나 또는 불활성 캐리어 가스를 갖지 않음)를 챔버 안으로 유동시키는 것을 수반할 수 있다. 100 ℃ 내지 1200 ℃의 온도에서, 식각제 가스는 반응기 벽과 표면으로부터 증착물을 제거할 수 있다. 식각제 가스의 유량은 1 slm 내지 20 slm의 범위로 변화되며, 불활성 캐리어 가스의 유량은 0 slm 내지 20 slm의 범위로 변화된다. 대응하는 압력들은 100 토르 내지 1000 토르의 범위로 변화될 수 있으며, 챔버 온도는 20 ℃ 내지 1200 ℃의 범위로 변화될 수 있다.
또한, 상기한 할로겐화물 및 할로겐 가스는 예컨대 고품질 박막 성장을 촉진시키도록 기판의 예비처리 공정에 사용될 수 있다. 일 실시예는 소스 보트(280)를 통한 유동 없이 플레이트(260)를 통해 또는 가스관(251)을 통해 챔버 안으로 할로 겐화물 또는 할로겐 가스를 유동시키는 것을 수반할 수 있다. 불활성 캐리어 가스 및/또는 희석 가스가 할로겐화물 또는 할로겐 가스와 결합될 수 있다. 동시에, NH3 또는 유사한 질소 함유 전구체가 가스관(252)를 통해 유동할 수 있다. 예비처리의 다른 실시예는 불활성 가스를 갖는 또는 불활성 가스를 갖지 않는 질소-함유 전구체를 유동시키는 단계로 오로지 이루어질 수 이다. 추가의 실시예들은 일련의 2개 이상의 개별의 단계들로 이루어질 수 있으며, 이들 단계들 각각은 지속 기간, 가스들, 유량, 온도 및 압력에 관해 상이할 수 있다. 할로겐화물 또는 할로겐의 통상의 유량은 50 sccm 내지 1000 sccm이지만, 5 slm 까지의 유량을 포함할 수 있다. 할로겐화물/할로겐 가스에 대한 캐리어 가스는 1 slm 내지 40 slm일 수 있으며, 상기한 불활성 가스를 포함한다. 할로겐화물/할로겐/캐리어 가스 혼합물의 추가의 희석은 0 내지 10 slm 범위의 불활성 가스로 실시될 수 있다. NH3의 유량은 1 slm 내지 30 slm이며 통상적으로 식각제 가스 유량 보다 크다. 공정 압력은 100 토르 내지 1000 토르의 범위에서 변화될 수 있다. 통상의 기판 온도는 500 ℃ 내지 1200 ℃의 범위에 있다.
또한, 클리닝/증착 공정에 대해 Cl2 플라즈마가 발생될 수 있다. 여기에 설명하는 챔버들은 그 전체가 여기에 참조된, 공동 계류 중인 미국특허출원번호 제11/404,516호에 개시된 다중-챔버의 일부분으로서 구현될 수 있다. 상기한 바와 같이, 원격 플라즈마 발생기가 챔버 하드웨어의 일부분으로서 포함될 수 있으며, 이것은 여기에 설명하는 HVPE 챔버 내에 사용될 수 있다. 상기 미국특허 출원에 개시되는 증착 및 클리닝 공정 모두에 대한 가스 라인 및 공정 제어 하드웨어/소프 트웨어는 또한 여기에 설명되는 HVPE 챔버에 적용될 수 있다. 일부의 실시예에 대해, 염소-함유 가스 또는 플라즈마는 도 6에 도시된 바와 같이 최상부판 위로부터 전달되거나, 또는 Ga-함유 전구체를 전달하는 관들을 통해 전달될 수 있다. 사용될 수 있는 이러한 유형의 플라즈마는 배타적으로 염소에 제한되지 않고, 불소, 요오드, 브롬을 포함할 수 있다. 플라즈마를 발생시키는데 사용되는 소스 가스들은 Cl2, Br, I2와 같은 할로겐일 수 있으며, NF3와 같은 7A 족 원소들을 포함하는 가스들일 수 있다.
지금까지 본 발명의 실시예들을 설명하였지만, 본 발명의 기본 개념을 벗어나지 않는 본 발명의 다른 또는 추가의 실시예들이 고안될 수 있으며, 본 발명의 범위는 다음의 특허청구범위에 의해 결정된다.
도 1은 본 발명의 일 실시예에 따른 증착 챔버의 단면도이고,
도 2는 본 발명의 일 실시예에 따른 샤우어헤드 조립체의 단면 사시도이며,
도 3은 본 발명의 일 실시예에 따른 샤우어헤드 조립체의 평단면도이고,
도 4는 본 발명의 일 실시예에 따른 샤우어헤드 조립체의 부분적으로 도려낸 단면 사시도이며,
도 5a 및 도 5b는 본 발명의 일 실시예에 따른 샤우어헤드 조립체의 가스 통과 부품의 사시도이고,
도 6은 본 발명의 일 실시예에 따른 샤우어헤드 조립체의 최상부 플레이트 부품의 사시도이며,
도 7은 본 발명의 일 실시예에 따른 샤우어헤드 조립체의 단면 사시도이고,
도 8a, 도 8b 및 도 8c는 본 발명의 일 실시예에 따른 샤우어헤드 조립체의 보트 부품의 사시도이며, 그리고
도 9a 및 도 9b는 본 발명의 일 실시예에 따른 샤우어헤드 조립체의 가스 통과 부품의 사시도이다.
* 도면의 주요 부분에 대한 부호의 설명 *
100: (가스 전달) 장치 102: 챔버 바디
104: 샤우어헤드 조립체 106: 전구체 가스
108: 공정 체적 114: 기판 지지체
116: 오목부 130a, 130b: 램프
206: 불활성 가스 216: 금속 함유 전구체 가스
226: 질소-함유 전구체 가스 251, 252: 가스관
253: 연결 포트 255: 가스 포트
257: 줄기관 259: 분기관
260: 플레이트 280: 소스 보트
810: 채널 811: 연소 함유 가스
812: 추가의 불활성 가스 813: 금속 함유 전구체 가스
820: 구멍

Claims (35)

  1. 하나 이상의 기판 상에 금속 질화물을 형성하는 방법으로서,
    제1 세트의 통로를 통해 상기 하나 이상의 기판 위로 하나 이상의 금속 함유 전구체 가스를 도입시키는 단계와,
    상기 제1 세트의 통로가 산재해 있는 제2 세트의 통로를 통해 상기 하나 이상의 기판 위로 질소-함유 전구체 가스를 도입시키는 단계와, 그리고
    상기 제1 세트의 통로 및 상기 제2 세트의 통로에 또는 그 부근으로 상기 금속 함유 전구체 가스 및 상기 질소-함유 전구체 가스의 반응(reaction)을 제한하도록 상기 하나 이상의 기판을 향해 상기 제1 세트의 통로 및 상기 제2 세트의 통로 위로 불활성 가스를 도입시키는 단계를 포함하는
    하나 이상의 기판 상에의 금속 질화물 형성 방법.
  2. 제 1 항에 있어서,
    상기 제1 세트의 통로 및 상기 제2 세트의 통로 각각이,
    중공의 줄기관,
    상기 줄기관에 유체소통가능하게 연결되며 상기 하나 이상의 기판의 표면과 실질적으로 평행하게 위치되는 하나 이상의 중공의 분기관, 및
    상기 분기관 내의 가스가 상기 하나 이상의 기판을 향해 상기 분기관 에서 배출되도록 상기 분기관 내에 형성되는 복수의 가스 포트로 이루어지는,
    하나 이상의 기판 상에의 금속 질화물 형성 방법.
  3. 제 2 항에 있어서,
    상기 줄기관 각각이 상기 하나 이상의 기판을 유지하는 기판 캐리어의 표면을 양분하는 선 위에 위치되며,
    상기 분기관 각각이 상기 줄기관으로부터 멀어지게 그리고 상기 줄기관의 양 측면 상에서 연장되는,
    하나 이상의 기판 상에의 금속 질화물 형성 방법.
  4. 제 2 항에 있어서,
    상기 줄기관 각각이 상기 하나 이상의 기판을 유지하는 기판 캐리어의 표면의 둘레의 절반으로 그려지는 원호 위에 위치되며,
    상기 분기관 각각이 상기 하나 이상의 기판을 유지하는 상기 기판 캐리어의 표면을 가로질러 상기 줄기관으로부터 멀어지게 연장되는,
    하나 이상의 기판 상에의 금속 질화물 형성 방법.
  5. 제 1 항에 있어서,
    상기 하나 이상의 기판을 유지하는 기판 캐리어의 표면의 둘레 주위에 배치되며, 금속 함유 전구체 가스를 형성하도록 갈륨, 알루미늄 및 인듐으로 이루어지는 군에서 선택되는 하나 이상의 금속을 내부에 함유하는 환형 보트를 통해 할로겐화물 또는 할로겐 가스를 유동시키는 단계, 및
    제1 세트의 통로를 통해 상기 하나 이상의 기판 위로 금속 함유 전구체 가스를 도입시키는 단계를 더 포함하는
    하나 이상의 기판 상에의 금속 질화물 형성 방법.
  6. 제 5 항에 있어서,
    상기 환형 보트 안으로 상기 할로겐화물 또는 할로겐 가스를 도입시키는 단계에 앞서, 상기 할로겐화물 또는 할로겐 가스를 불활성 가스에 의해 희석시키는 단계, 및
    상기 환형 보트를 통해 상기 희석된 할로겐화물 또는 할로겐 가스를 유동시키는 단계를 더 포함하는
    하나 이상의 기판 상에의 금속 질화물 형성 방법.
  7. 제 5 항에 있어서,
    상기 제1 세트의 통로를 통해 하나 이상의 금속 함유 전구체 가스를 도입시키는 단계에 앞서, 상기 하나 이상의 금속 함유 전구체 가스를 불활성 가스에 의해 희석시키는 단계, 및
    제1 세트의 통로를 통해 상기 하나 이상의 기판 위로 하나 이상의 희석된 금속 함유 전구체 가스를 도입시키는 단계를 더 포함하는
    하나 이상의 기판 상에의 금속 질화물 형성 방법.
  8. 제 5 항에 있어서,
    상기 환형 보트 안으로 상기 할로겐화물 또는 할로겐 가스를 도입시키는 단계에 앞서, 상기 할로겐화물 또는 할로겐 가스를 불활성 가스에 의해 희석시키는 단계,
    상기 환형 보트를 통해 상기 희석된 할로겐화물 또는 할로겐 가스를 유동시키는 단계,
    상기 제1 세트의 통로 안으로 상기 하나 이상의 금속 함유 전구체 가스를 도입시키는 단계에 앞서, 상기 하나 이상의 금속 함유 전구체 가스를 희석 가스에 의해 희석시키는 단계, 및
    제1 세트의 통로를 통해 상기 하나 이상의 기판 위로 하나 이상의 희석된 금속 함유 전구체 가스를 도입시키는 단계를 더 포함하는
    하나 이상의 기판 상에의 금속 질화물 형성 방법.
  9. 제 1 항에 있어서,
    상기 질소-함유 전구체 가스가 NH3를 포함하고, 상기 금속 함유 전구체 가스가 GaCl을 포함하며, 상기 GaCl이 액상 갈륨 및 가스상 HCl로 형성되는,
    하나 이상의 기판 상에의 금속 질화물 형성 방법.
  10. 제 1 항에 있어서,
    상기 질소-함유 전구체 가스가 NH3를 포함하고, 상기 금속 함유 전구체 가스가 GaCl을 포함하며, 상기 GaCl이 액상 갈륨 및 가스상 Cl2로 형성되는,
    하나 이상의 기판 상에의 금속 질화물 형성 방법.
  11. 제 1 항에 있어서,
    상기 질소-함유 전구체 가스가 NH3를 포함하고,
    상기 금속 함유 전구체 가스가, Ga, Al 및 In 중 하나 이상을 함유하는 액상 금속, 및 HCl, HBr 및 HI 중 하나 이상을 함유하는 할로겐화물 또는 Cl2, Br 및 I2 중 하나 이상을 함유하는 할로겐으로 형성되는,
    하나 이상의 기판 상에의 금속 질화물 형성 방법.
  12. 제 1 항에 있어서,
    상기 하나 이상의 금속 함유 전구체 가스 및 상기 질소-함유 전구체 가스를 도입시키는 동안 상기 하나 이상의 기판 중 하나 이상을 회전시키는 단계를 더 포함하는
    하나 이상의 기판 상에의 금속 질화물 형성 방법.
  13. 제 1 항에 있어서,
    HCl, HBr 및 HI를 함유하는 할로겐화물 중 하나 이상, 또는 Cl2, Br 및 I를 함유하는 할로겐 중 하나 이상을 함유하는 식각제 가스를 상기 제1 세트의 통로 및 상기 제2 세트의 통로 중 하나 이상을 통해 도입시킴으로써 클리닝 공정을 실시하는 단계를 더 포함하는
    하나 이상의 기판 상에의 금속 질화물 형성 방법.
  14. 제 1 항에 있어서,
    Cl2, Br, I2 및 NF3 중 하나 이상을 함유하는 소스 가스, 및 7A족 원소들 중 하나 이상을 함유하는 다른 가스로부터 플라즈마를 발생시키는 단계, 및
    클리닝 공정 및 증착 공정 중 하나 이상에 대해 상기 발생된 플라즈마를 사용하는 단계를 더 포함하는
    하나 이상의 기판 상에의 금속 질화물 형성 방법.
  15. 제 5 항에 있어서,
    상기 환형 보트 내의 전구체의 온도를 모니터링하는 단계, 및
    상기 환형 보트 내의 상기 전구체의 모니터링된 온도에 근거해서 상기 환형 보트 내의 온도를 제어하는 단계를 더 포함하는
    하나 이상의 기판 상에의 금속 질화물 형성 방법.
  16. 제 1 항에 있어서,
    상기 기판을 포함하는 공정 챔버의 외부에 위치되며, 금속 함유 전구체 가스를 형성하도록 갈륨, 알루미늄 및 인듐으로 이루어지는 군에서 선택되는 하나 이상의 금속을 내부에 포함하는 환형 보트를 통해 할로겐화물 또는 할로겐 가스를 유동 시키는 단계, 및
    상기 금속 함유 전구체 가스를 형성하도록 상기 제1 세트의 통로 안으로 상기 금속 함유 전구체 가스를 도입시키는 단계를 더 포함하는
    하나 이상의 기판 상에의 금속 질화물 형성 방법.
  17. 제 16 항에 있어서,
    상기 환형 보트 내의 전구체의 온도를 모니터링하는 단계, 및
    상기 환형 보트 내의 상기 전구체의 모니터링된 온도에 근거해서 상기 환형 보트 내의 온도를 제어하는 단계를 더 포함하는
    하나 이상의 기판 상에의 금속 질화물 형성 방법.
  18. 하나 이상의 기판 상에 금속 질화물을 형성하는 방법으로서,
    한 세트의 통로를 통해 상기 하나 이상의 기판 위로 하나 이상의 금속 함유 전구체 가스를 도입시키는 단계와, 그리고
    상기 한 세트의 통로 사이로 상기 하나 이상의 기판을 향해 질소-함유 전구체 가스가 유동하도록 플레이트를 가로질러 분포된 가스 포트들로부터 상기 한 세트의 통로 위로 상기 질소-함유 전구체 가스를 도입시키는 단계를 포함하는
    하나 이상의 기판 상에의 금속 질화물 형성 방법.
  19. 제 18 항에 있어서,
    상기 하나 이상의 기판의 표면의 중심으로부터 방사상으로 멀어지게 상기 금속 함유 전구체 가스, 상기 질소-함유 전구체 가스, 및 반응의 부산물 중 하나 이상을 배출시키는 단계를 더 포함하는
    하나 이상의 기판 상에의 금속 질화물 형성 방법.
  20. 수소화물 기상 에피택시(HVPE) 챔버용 가스 전달 장치로서,
    금속 함유 전구체 가스의 유동을 제공하기 위한 제1 세트의 통로와,
    질소-함유 전구체 가스의 유동을 제공하기 위한 제2 세트의 통로와, 그리고
    상기 제1 세트의 통로 및 상기 제2 세트의 통로에 또는 그 부근에서 상기 금속 함유 전구체 가스의 유동과 상기 질소-함유 전구체 가스의 유동 사이의 분리를 촉진시키도록 상기 제1 세트의 통로 및 상기 제2 세트의 통로를 통해 가스를 인도하기 위한, 상기 제1 세트의 통로 및 상기 제2 세트의 통로 위의 하나 이상의 가스 입구를 포함하는
    수소화물 기상 에피택시 챔버용 가스 전달 장치.
  21. 제 20 항에 있어서,
    상기 제1 세트의 통로 및 상기 제2 세트의 통로 각각이,
    상기 하나 이상의 기판의 표면 위에 위치되는 중공의 줄기관,
    상기 줄기관에 유체소통가능하게 연결되며 상기 하나 이상의 기판의 표면과 실질적으로 평행하게 위치되는 하나 이상의 중공의 분기관, 및
    상기 분기관 내의 가스가 상기 하나 이상의 기판을 향해 상기 분기관에서 배출되도록 상기 분기관 내에 형성되는 복수의 가스 포트로 이루어지며,
    상기 제1 가스 입구의 상기 분기관에 상기 제2 가스 입구의 상기 분기관이 산재되어 있는,
    수소화물 기상 에피택시 챔버용 가스 전달 장치.
  22. 청구항 22은(는) 설정등록료 납부시 포기되었습니다.
    제 21 항에 있어서,
    상기 중공의 줄기관 및 상기 중공의 분기관이 상이한 물질로 구성되는,
    수소화물 기상 에피택시 챔버용 가스 전달 장치.
  23. 청구항 23은(는) 설정등록료 납부시 포기되었습니다.
    제 21 항에 있어서,
    상기 하나 이상의 가스 입구 중 하나 이상이,
    제1 및 제2 가스 입구 위로 상기 제1 및 제2 가스 입구와 실질적으로 평행하게 위치되는 플레이트, 및
    상기 제1 세트의 통로의 상기 분기관과 상기 제2 세트의 통로의 상기 분기관 사이로 상기 하나 이상의 기판의 표면을 향해 가스가 유동하도록 상기 플레이트 내에 형성되는 복수의 가스 포트를 포함하는,
    수소화물 기상 에피택시 챔버용 가스 전달 장치.
  24. 청구항 24은(는) 설정등록료 납부시 포기되었습니다.
    제 23 항에 있어서,
    상기 플레이트 내에 형성되며 하나 이상의 방사선 측정 장치에 연결되는 뷰포트를 더 포함하는,
    수소화물 기상 에피택시 챔버용 가스 전달 장치.
  25. 청구항 25은(는) 설정등록료 납부시 포기되었습니다.
    제 23 항에 있어서,
    상기 분기관 각각이 상기 줄기관으로부터 멀어지게 그리고 상기 줄기관의 양 측면 상에서 연장되는,
    수소화물 기상 에피택시 챔버용 가스 전달 장치.
  26. 청구항 26은(는) 설정등록료 납부시 포기되었습니다.
    제 23 항에 있어서,
    상기 분기관 각각이 상기 줄기관으로부터 멀어져서 상기 수소화물 기상 에피택시 챔버를 가로질러 연장되는,
    수소화물 기상 에피택시 챔버용 가스 전달 장치.
  27. 청구항 27은(는) 설정등록료 납부시 포기되었습니다.
    제 26 항에 있어서,
    상기 금속 함유 전구체 가스가, 소스 보트와 상기 제1 세트의 통로 사이에 위치되는 희석 포트를 포함하는 공급원으로부터 전달되는,
    수소화물 기상 에피택시 챔버용 가스 전달 장치.
  28. 제 20 항에 있어서,
    상기 금속 함유 전구체 가스의 공급원이 상기 수소화물 기상 에피택시 챔버의 원주 둘레로 환형으로 배치되는 소스 보트를 포함하며, 상기 소스 보트가 갈륨, 알루미늄 및 인듐으로 이루어지는 군에서 선택되는 하나 이상의 금속을 포함하는,
    수소화물 기상 에피택시 챔버용 가스 전달 장치.
  29. 제 20 항에 있어서,
    상기 제1 세트의 통로 및 상기 제2 세트의 통로 중 하나 이상이 분배 플레이트 내에 형성되며, 상기 분배 플레이트가 상기 금속 함유 전구체 가스와 상기 질소-함유 전구체 가스 사이의 분리를 유지하도록 내부 형성되는 복수의 전구체 전달 채널을 구비하는,
    수소화물 기상 에피택시 챔버용 가스 전달 장치.
  30. 청구항 30은(는) 설정등록료 납부시 포기되었습니다.
    제 29 항에 있어서,
    상기 분배 플레이트가 적어도 부분적으로 석영 물질로 구성되는,
    수소화물 기상 에피택시 챔버용 가스 전달 장치.
  31. 청구항 31은(는) 설정등록료 납부시 포기되었습니다.
    제 20 항에 있어서,
    상기 제1 세트의 통로 및 상기 제2 세트의 통로 중 하나 이상이,
    복수의 분배 채널 및 내부에 형성된 복수의 홀 중 하나 이상을 갖춘 흑연관을 포함하는,
    수소화물 기상 에피택시 챔버용 가스 전달 장치.
  32. 청구항 32은(는) 설정등록료 납부시 포기되었습니다.
    제 31 항에 있어서,
    수소화물 기상 에피택시 챔버용 가스 전달 장치.
  33. 수소화물 기상 에피택시(HVPE) 챔버용 가스 전달 장치로서,
    금속 함유 전구체 가스 공급원에 연결되는 제1 가스 입구로서, 상기 하나 이상의 기판의 표면 위에 위치되는 중공의 줄기관, 상기 줄기관에 유체소통가능하게 연결되며 상기 하나 이상의 기판의 표면과 실질적으로 평행하게 위치되는 하나 이상의 중공의 분기관, 및 상기 분기관 내의 가스가 상기 하나 이상의 기판을 향해 상기 분기관에서 배출되도록 상기 분기관 내에 형성되는 복수의 가스 포트를 포함하는 상기 제1 가스 입구; 그리고
    상기 제1 가스 입구와 분리되며 질소-함유 전구체 가스 공급원과 연결되는 제2 가스 입구를 포함하고,
    상기 제2 가스 입구가 하나 이상의 기판의 표면과 실질적으로 수직인 방향으로 상기 수소화물 기상 에피택시 챔버 안으로 가스를 인도하도록 배향되며, 상기 제2 가스 입구는 상기 제1 가스 입구 위로 상기 제1 가스 입구와 실질적으로 평행하게 위치되는 플레이트, 및 상기 제1 가스 입구의 상기 분기관들 사이로 상기 하나 이상의 기판의 표면을 향해 가스가 유동하도록 상기 플레이트 내에 형성되는 복수의 가스 포트를 포함하는,
    수소화물 기상 에피택시 챔버용 가스 전달 장치.
  34. 삭제
  35. 청구항 35은(는) 설정등록료 납부시 포기되었습니다.
    제 33 항에 있어서,
    상기 금속 함유 전구체 가스 공급원이,
    수소화물 기상 에피택시 챔버의 둘레 주위로 환형으로 배치되며, 갈륨, 알루미늄 및 인듐으로 이루어지는 군에서 선택되는 하나 이상의 금속을 함유하는 소스 보트, 및
    상기 소스 보트와 상기 제1 가스 입구 사이에 위치되는 희석 포트를 포함하는,
    수소화물 기상 에피택시 챔버용 가스 전달 장치.
KR1020070108300A 2007-06-24 2007-10-26 Hvpe 샤우어헤드 KR100928290B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/767,520 2007-06-24
US11/767,520 US20080314311A1 (en) 2007-06-24 2007-06-24 Hvpe showerhead design

Publications (2)

Publication Number Publication Date
KR20080113316A KR20080113316A (ko) 2008-12-30
KR100928290B1 true KR100928290B1 (ko) 2009-11-25

Family

ID=40135173

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070108300A KR100928290B1 (ko) 2007-06-24 2007-10-26 Hvpe 샤우어헤드

Country Status (5)

Country Link
US (2) US20080314311A1 (ko)
KR (1) KR100928290B1 (ko)
CN (2) CN101914759A (ko)
TW (2) TW201112313A (ko)
WO (1) WO2009002356A1 (ko)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4464949B2 (ja) * 2006-11-10 2010-05-19 株式会社日立国際電気 基板処理装置及び選択エピタキシャル膜成長方法
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US20110030615A1 (en) * 2009-08-04 2011-02-10 Applied Materials, Inc. Method and apparatus for dry cleaning a cooled showerhead
DE102009043840A1 (de) * 2009-08-24 2011-03-03 Aixtron Ag CVD-Reaktor mit streifenförmig verlaufenden Gaseintrittszonen sowie Verfahren zum Abscheiden einer Schicht auf einem Substrat in einem derartigen CVD-Reaktor
US20110073136A1 (en) * 2009-09-10 2011-03-31 Matheson Tri-Gas, Inc. Removal of gallium and gallium containing materials
EP2570523B1 (en) * 2010-05-12 2017-05-03 National University Corporation Tokyo University Of Agriculture and Technology Method for producing gallium trichloride gas and method for producing nitride semiconductor crystal
JP5687547B2 (ja) * 2010-06-28 2015-03-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
CN102061458B (zh) * 2010-11-29 2012-05-30 保定天威集团有限公司 基板镀膜设备的气体分布系统及方法
US20120269967A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Hot Wire Atomic Layer Deposition Apparatus And Methods Of Use
US9644285B2 (en) 2011-08-22 2017-05-09 Soitec Direct liquid injection for halide vapor phase epitaxy systems and methods
TWI470672B (zh) * 2011-08-22 2015-01-21 Soitec Silicon On Insulator 用於鹵化物氣相磊晶系統之直接液體注入及方法
US9303318B2 (en) 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
US8992684B1 (en) 2012-06-15 2015-03-31 Ostendo Technologies, Inc. Epitaxy reactor internal component geometries for the growth of superior quality group III-nitride materials
US9577143B1 (en) 2012-06-15 2017-02-21 Ostendo Technologies, Inc. Backflow reactor liner for protection of growth surfaces and for balancing flow in the growth liner
US9023673B1 (en) 2012-06-15 2015-05-05 Ostendo Technologies, Inc. Free HCL used during pretreatment and AlGaN growth to control growth layer orientation and inclusions
US9111980B2 (en) * 2012-09-04 2015-08-18 Applied Materials, Inc. Gas exhaust for high volume, low cost system for epitaxial silicon deposition
CN103614704B (zh) * 2013-11-06 2016-05-11 东莞市中镓半导体科技有限公司 一种前驱物流场控制棒
CN103668446B (zh) * 2013-11-25 2016-06-01 东莞市中镓半导体科技有限公司 一种可控前驱物通道
JP6241277B2 (ja) * 2013-12-27 2017-12-06 株式会社Sumco エピタキシャル成長装置
JP6320824B2 (ja) * 2014-03-31 2018-05-09 株式会社東芝 ガス供給管、およびガス処理装置
CN108588818A (zh) * 2018-04-17 2018-09-28 陕西飞米企业管理合伙企业(有限合伙) 一种用于气相沉积设备反应室内的尾气收集环
CN113692641A (zh) * 2019-04-17 2021-11-23 株式会社威尔康 气化器和其制造方法
US11515147B2 (en) 2019-12-09 2022-11-29 Micron Technology, Inc. Material deposition systems, and related methods
SE544378C2 (sv) * 2020-07-13 2022-04-26 Epiluvac Ab Anordning och förfarande för att åstadkomma homogen tillväxt och dopning hos halvledarwafer med diameter större än 100 mm
CN117062945A (zh) * 2020-12-24 2023-11-14 华为技术有限公司 反应器及生长装置
CN114107953A (zh) * 2021-09-18 2022-03-01 江苏微导纳米科技股份有限公司 原子层沉积装置及其喷淋板

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040025791A (ko) * 2002-09-17 2004-03-26 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
KR20060115943A (ko) * 2005-05-07 2006-11-13 주식회사 아이피에스 쓰리윙 타입의 박막증착장치용 샤워헤드

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6055478B2 (ja) * 1982-10-19 1985-12-05 松下電器産業株式会社 気相成長方法
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4851295A (en) * 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US4911102A (en) * 1987-01-31 1990-03-27 Toyoda Gosei Co., Ltd. Process of vapor growth of gallium nitride and its apparatus
US4763602A (en) * 1987-02-25 1988-08-16 Glasstech Solar, Inc. Thin film deposition apparatus including a vacuum transport mechanism
US5348911A (en) * 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
US5279701A (en) * 1988-05-11 1994-01-18 Sharp Kabushiki Kaisha Method for the growth of silicon carbide single crystals
USD329839S (en) * 1990-01-31 1992-09-29 Hohner Automation Societe Anonyme Incremental coder
DE69229265T2 (de) * 1991-03-18 1999-09-23 Univ Boston Verfahren zur herstellung und dotierung hochisolierender dünner schichten aus monokristallinem galliumnitrid
WO1992022084A1 (en) * 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5376580A (en) * 1993-03-19 1994-12-27 Hewlett-Packard Company Wafer bonding of light emitting diode layers
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5679152A (en) * 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
EP0706425A4 (en) * 1994-04-08 1997-12-29 Mark A Ray SELECTIVE PLASMA DEPOSIT
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5715361A (en) * 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
JPH0945670A (ja) * 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
JP3721674B2 (ja) * 1996-12-05 2005-11-30 ソニー株式会社 窒化物系iii−v族化合物半導体基板の製造方法
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6270569B1 (en) * 1997-06-11 2001-08-07 Hitachi Cable Ltd. Method of fabricating nitride crystal, mixture, liquid phase growth method, nitride crystal, nitride crystal powders, and vapor phase growth method
TW393786B (en) * 1998-03-26 2000-06-11 Min Shr Method for manufacturing an epitaxial chip
US6464843B1 (en) * 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6086673A (en) * 1998-04-02 2000-07-11 Massachusetts Institute Of Technology Process for producing high-quality III-V nitride substrates
US6218280B1 (en) * 1998-06-18 2001-04-17 University Of Florida Method and apparatus for producing group-III nitrides
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6373114B1 (en) * 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
US6413839B1 (en) * 1998-10-23 2002-07-02 Emcore Corporation Semiconductor device separation using a patterned laser projection
US6255198B1 (en) * 1998-11-24 2001-07-03 North Carolina State University Methods of fabricating gallium nitride microelectronic layers on silicon layers and gallium nitride microelectronic structures formed thereby
KR100304664B1 (ko) * 1999-02-05 2001-09-26 윤종용 GaN막 제조 방법
US6309465B1 (en) * 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
US5977526A (en) * 1999-03-05 1999-11-02 Board Of Regents The University Of Texas Heater for high vacuum optical view port
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
WO2000074127A1 (fr) * 1999-05-26 2000-12-07 Tokyo Electron Limited Dispositif de traitement au plasma
US6475277B1 (en) * 1999-06-30 2002-11-05 Sumitomo Electric Industries, Ltd. Group III-V nitride semiconductor growth method and vapor phase growth apparatus
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6616780B1 (en) * 1999-08-18 2003-09-09 Labatt Brewing Company Limited Method and device for supplying labels to labeling device
US6569765B1 (en) * 1999-08-26 2003-05-27 Cbl Technologies, Inc Hybrid deposition system and methods
US6489241B1 (en) * 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6897119B1 (en) * 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
JP4778655B2 (ja) * 2000-02-04 2011-09-21 アイクストロン、アーゲー 1つまたは多くの被膜を基板に沈積する方法および装置
JP4849705B2 (ja) * 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
EP1275139B1 (en) * 2000-04-17 2011-07-27 Mattson Technology Inc. Uv pretreatment process of ultra-thin oxynitride for formation of silicon nitride films
JP2001345268A (ja) * 2000-05-31 2001-12-14 Matsushita Electric Ind Co Ltd 半導体製造装置及び半導体の製造方法
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
DE10048759A1 (de) * 2000-09-29 2002-04-11 Aixtron Gmbh Verfahren und Vorrichtung zum Abscheiden insbesondere organischer Schichten im Wege der OVPD
DE10057134A1 (de) * 2000-11-17 2002-05-23 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten sowie Vorrichtung zur Durchführung des Verfahrens
AU2002241496A1 (en) * 2000-11-20 2002-06-18 Applied Epi, Inc. Surface sealing showerhead for vapor deposition reactor having integrated flow diverters
WO2002044444A1 (en) * 2000-11-30 2002-06-06 Kyma Technologies, Inc. Method and apparatus for producing miiin columns and miiin materials grown thereon
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
EP1381718A4 (en) * 2001-03-30 2008-05-21 Technologies And Devices Inter METHOD AND APPARATUS FOR THE DEVELOPMENT OF SUBMICRONIC GROUP III NITRIDE STRUCTURES USING HVPE TECHNIQUES
DE10118130A1 (de) * 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
DE10124609B4 (de) * 2001-05-17 2012-12-27 Aixtron Se Verfahren zum Abscheiden aktiver Schichten auf Substraten
KR100387242B1 (ko) * 2001-05-26 2003-06-12 삼성전기주식회사 반도체 발광소자의 제조방법
WO2002097864A2 (en) * 2001-05-30 2002-12-05 Asm America, Inc Low temperature load and bake
US20060011135A1 (en) * 2001-07-06 2006-01-19 Dmitriev Vladimir A HVPE apparatus for simultaneously producing multiple wafers during a single epitaxial growth run
US7211833B2 (en) * 2001-07-23 2007-05-01 Cree, Inc. Light emitting diodes including barrier layers/sublayers
EP1459362A2 (de) * 2001-12-21 2004-09-22 Aixtron AG Verfahren zum abscheiden von iii-v-halbleiterschichten auf einem nicht-iii-v-substrat
DE10163394A1 (de) * 2001-12-21 2003-07-03 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden kristalliner Schichten und auf kristallinen Substraten
JP4288036B2 (ja) * 2002-02-20 2009-07-01 東京エレクトロン株式会社 ガスシャワーヘッド、成膜装置及び成膜方法
AUPS240402A0 (en) * 2002-05-17 2002-06-13 Macquarie Research Limited Gallium nitride
CN1324772C (zh) * 2002-06-19 2007-07-04 日本电信电话株式会社 半导体发光器件
US6938620B2 (en) * 2002-08-09 2005-09-06 Charles E. Payne, Jr. Headwear for use by a sleep apnea patient
WO2004049413A1 (en) * 2002-11-25 2004-06-10 Ips Ltd. Apparatus for depositing thin film on wafer
US7115896B2 (en) * 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
US8357945B2 (en) * 2002-12-27 2013-01-22 Momentive Performance Materials Inc. Gallium nitride crystal and method of making same
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4026529B2 (ja) * 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
KR20060011887A (ko) * 2003-05-30 2006-02-03 에비자 테크놀로지, 인크. 가스 분산 시스템
US7170095B2 (en) * 2003-07-11 2007-01-30 Cree Inc. Semi-insulating GaN and method of making the same
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
DE102004009130A1 (de) * 2004-02-25 2005-09-15 Aixtron Ag Einlasssystem für einen MOCVD-Reaktor
KR100718188B1 (ko) * 2004-05-07 2007-05-15 삼성코닝 주식회사 비극성 a면 질화물 반도체 단결정 기판 및 이의 제조방법
GB2415707A (en) * 2004-06-30 2006-01-04 Arima Optoelectronic Vertical hydride vapour phase epitaxy deposition using a homogenising diaphragm
US7368368B2 (en) * 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
EP1809788A4 (en) * 2004-09-27 2008-05-21 Gallium Entpr Pty Ltd METHOD AND APPARATUS FOR GROWING GROUP (III) METAL NITRIDE FILM AND GROUP (III) METAL FILM
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
DE102004058521A1 (de) * 2004-12-04 2006-06-14 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter
JP5706601B2 (ja) * 2005-03-10 2015-04-22 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア 平坦な半極性窒化ガリウムの成長技術
US7195934B2 (en) * 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
JP4879614B2 (ja) * 2006-03-13 2012-02-22 住友化学株式会社 3−5族窒化物半導体基板の製造方法
US7364991B2 (en) * 2006-04-27 2008-04-29 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
US7585769B2 (en) * 2006-05-05 2009-09-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) * 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
EP2017884A3 (en) * 2007-07-20 2011-03-23 Gallium Enterprises Pty Ltd Buried contact devices for nitride-based films and manufacture thereof
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
KR100888440B1 (ko) * 2007-11-23 2009-03-11 삼성전기주식회사 수직구조 발광다이오드 소자의 제조방법
US20090194026A1 (en) * 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
CA2653581A1 (en) * 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040025791A (ko) * 2002-09-17 2004-03-26 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
KR20060115943A (ko) * 2005-05-07 2006-11-13 주식회사 아이피에스 쓰리윙 타입의 박막증착장치용 샤워헤드

Also Published As

Publication number Publication date
TW201112313A (en) 2011-04-01
US20100215854A1 (en) 2010-08-26
CN101328579A (zh) 2008-12-24
CN101328579B (zh) 2010-11-03
CN101914759A (zh) 2010-12-15
KR20080113316A (ko) 2008-12-30
WO2009002356A1 (en) 2008-12-31
US20080314311A1 (en) 2008-12-25
TW200901286A (en) 2009-01-01

Similar Documents

Publication Publication Date Title
KR100928290B1 (ko) Hvpe 샤우어헤드
KR101180214B1 (ko) 전구체 공급원을 구비한 샤우어헤드
KR101232800B1 (ko) Iii족/v족 화합물을 증착시키는 방법
US8679956B2 (en) Multiple precursor showerhead with by-pass ports
US9644267B2 (en) Multi-gas straight channel showerhead
US8491720B2 (en) HVPE precursor source hardware
WO2011159690A2 (en) Multiple precursor showerhead with by-pass ports
US20080314317A1 (en) Showerhead design with precursor pre-mixing
US20080276860A1 (en) Cross flow apparatus and method for hydride vapor phase deposition

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee