JP3384795B2 - プラズマプロセス装置 - Google Patents

プラズマプロセス装置

Info

Publication number
JP3384795B2
JP3384795B2 JP2001500327A JP2001500327A JP3384795B2 JP 3384795 B2 JP3384795 B2 JP 3384795B2 JP 2001500327 A JP2001500327 A JP 2001500327A JP 2001500327 A JP2001500327 A JP 2001500327A JP 3384795 B2 JP3384795 B2 JP 3384795B2
Authority
JP
Japan
Prior art keywords
dielectric
shower plate
plasma
plate
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001500327A
Other languages
English (en)
Inventor
忠弘 大見
昌樹 平山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of JP3384795B2 publication Critical patent/JP3384795B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Description

【発明の詳細な説明】 技術分野 本発明は、プラズマプロセス用装置に係わり、特に高
性能プラズマプロセスが可能で電力効率が高く、メンテ
ナンス周期が長いプラズマプロセス装置に関する。
背景技術 近年、半導体や液晶ディスプレイの高性能化と高生産
性化を実現するために、これらの製造にはプラズマプロ
セスが欠かせないものになっている。プラズマの励起方
式は様々であるが、半導体や液晶ディスプレイの製造に
は、主に平行平板型高周波励起プラズマ装置あるいは誘
導結合型プラズマ装置が使われている。これらのプラズ
マ装置は、デバイスに与えるダメージが大きく、高速で
高性能なプロセスが困難であるなど、いくつかの本質的
な問題を内在している。したがって、半導体や液晶ディ
スプレイの高性能化、高生産性化に対する要求を満たす
ことが困難になってきている。
そこで最近注目されているのが、直流磁場を用いずに
マイクロ波電界により高密度プラズマを励起するマイク
ロ波プラズマ装置である。この種のマイクロ波プラズマ
装置としては、均一なマイクロ波を発生するように配列
された多数のスロットを有する平面状のアンテナ(スロ
ットアンテナ)から真空容器内にマイクロ波を放射し、
このマイクロ波電界により真空容器内のガスを電離して
プラズマを励起させる装置(特開平9−63793)が
知られている。また、スロットアンテナを真空容器外に
設置し、スロットアンテナから放射されたマイクロ波を
誘電体隔壁および誘電体シャワープレートを通して真空
容器内部に導入してプラズマを励起させる装置(WO9
8/33362)も知られている。このような手法で励
起されたマイクロ波プラズマは、プラズマ密度が高く電
子温度が低いため、高速でダメージを一切伴わないプロ
セスを行うことができる。さらに大面積基板上にも均一
なプラズマを励起できるため、半導体基板や液晶ディス
プレイ基板の大型化にも容易に対応できる。
しかしながら、これらの従来のマイクロ波プラズマ装
置では、プロセス用ガスがプラズマにより解離、結合し
て生成された物質がマイクロ波の通り道である誘電体隔
壁や誘電体シャワープレート表面に付着するという問題
がある。抵抗率が低い膜が付着するとマイクロ波が反射
され、抵抗率が高い膜が付着するとマイクロ波が吸収さ
れてしまう。これにより、誘電体隔壁や誘電体シャワー
プレート表面への膜の付着によりプラズマ励起電力が低
下してしまい、プラズマ密度が減少してプラズマの安定
性が損なわれる。最悪の場合、プラズマが全く励起でき
なくなるという状態となってしまう。このような問題を
回避するには、付着膜を取り除くためのチャンバクリー
ニングやメンテナンスを頻繁に行う必要があり、生産性
が著しく低下してしまう。
半導体や液晶ディスプレイの製造で欠かせない反応性
イオンエッチングでは、プラズマ中のイオンを基板とプ
ラズマとの間に形成されるシース中の電界で数100e
Vまで加速して基板表面に照射することにより、異方性
エッチングを実現している。イオンを所望のエネルギま
で加速するための直流電圧(自己デバイス電圧)を基板
周辺のシースに発生させるために、基板には数100K
Hzから数10MHz程度の高周波が印加される。プラズ
マは導体と見なすことができるため、基板に印加された
高周波電圧は、基板周辺のシースとチャンバ壁などの接
地部周辺のシースとにほぼ分圧される。すなわち、基板
に高周波を印加すると、基板周辺のシースだけでなく接
地部周辺のシースにも高周波電圧がかかり、接地部周辺
のシースの直流電圧が増加してプラズマ電位が上昇す
る。プラズマ電位が15〜30V以上になると、加速さ
れたイオンの入射により接地部表面がスパッタされて汚
染が引き起こされる。
基板周辺のシースと接地部周辺のシースとにかかる高
周波電圧の比は、これらのシースのインピーダンス比で
決まる。接地部周辺のシースのインピーダンスを基板周
辺のシースのインピーダンスよりも十分小さくすれば、
基板に印加された高周波電圧の大半は基板周辺のシース
にかかる。すなわち、プラズマが接する接地部の面積を
基板電極の面積より十分大きく(通常4倍以上)とれ
ば、基板に高周波を印加してもプラズマ電位はほとんど
上昇せず、スパッタによる汚染の問題を回避することが
できる。さらに、基板周辺のシースに大きな直流電圧を
効率的に発生させることができる。
ところが、従来のマイクロ波プラズマ装置では、基板
の対向面が全て誘電体で覆われているため、プラズマが
接する接地部の面積を大きくとることができない。通
常、プラズマが接する接地部の面積は基板電極の面積の
3倍程度しかとれない。このため、スパッタによる汚染
の問題により、反応性イオンエッチングなど基板表面に
高いエネルギのイオンを入射させる必要があるプロセス
に適用することが困難であった。
金属薄膜や強誘電体薄膜、高誘電率薄膜など金属を含
む薄膜をプラズマCVD(Chemical Vapor Depositi
on)で形成するプロセスでは、金属原子と有機物分子の
化合物である有機金属ガスが用いられる。プラズマによ
り金属原子と有機物分子間の結合のみを選択的に切断す
れば不純物汚染のない良好な特性の薄膜を形成できる。
しかし、有機物分子が分解されると膜中に不純物である
炭素原子が多量に混入して薄膜の特性が著しく劣化して
しまう。また、エッチングプロセスでは、プロセス用ガ
スの解離が進行し過ぎると、被エッチング膜とレジスト
マスクや下地材料との選択性が悪化し、さらにアスペク
ト比の大きな微細パターンのエッチングが困難になる。
従来のマイクロ波プラズマプロセス装置では、プラズマ
密度が高く比較的電子温度が高いマイクロ波入射面付近
に、プロセス用ガスが直接導入される。このため、プロ
セス用ガスの解離が進行し過ぎて、有機金属ガスを用い
た薄膜の形成や微細パターンのエッチングでは、良好な
結果を得ることができなかった。
マイクロ波をプラズマに入射したとき、電子密度が次
式で表されるカットオフ密度ncより低ければマイクロ
波はプラズマ中を伝搬する。
c=ε0ω20/e2 ここで、ε0は真空の誘電率、ωはマイクロ波角周波
数、m0は電子の質量、eは電子の電荷である。一方、
電子密度がカットオフ密度より高ければ、マイクロ波は
プラズマ表面近傍で反射される。このとき、マイクロ波
は侵入長程度(通常数mm〜10mm程度)プラズマ中に
侵入して、プラズマ中の電子にエネルギを与えプラズマ
を維持する。マイクロ波プラズマ励起では、電子密度が
カットオフ密度より低いとマイクロ波がチャンバ内に分
散するため均一で安定なプラズマを励起することができ
ない。均一で安定なプラズマを励起するには、マイクロ
波入射面付近にカットオフ密度より十分電子密度が高い
プラズマを励起して、入射したマイクロ波の大半をプラ
ズマ表面近傍で反射させることが不可欠である。電子密
度が高く安定なプラズマを励起するには、Ar、Kr、
Xeなどの希ガスをプラズマ励起ガスとして用いればよ
い。希ガスに単原子分子以外のガスを添加すると、ガス
分子の解離にマイクロ波のエネルギが使われるため、電
子密度が低下してプラズマの安定性が損なわれる傾向が
ある。従来のマイクロ波プラズマ装置では、希ガス以外
のガスを少量(数%)しか添加することができないた
め、プロセスの自由度が低く、また高速のプロセスに対
応できないという問題があった。
プラズマ表面付近の電子密度がカットオフ密度以上に
なっていると、プラズマに入射したマイクロ波の大半は
表面近傍で反射される。この反射波は、スロットアンテ
ナで受信された後、スロットアンテナとマイクロ波電源
との間に接続された整合器で再び反射されてスロットア
ンテナから放射される。マイクロ波はプラズマ表面近傍
と整合器で反射を繰り返しながら、徐々にそのエネルギ
をプラズマに与える。すなわち、プラズマ表面近傍と整
合器の間は、マイクロ波の共振状態になっている。この
部分には高いエネルギ密度のマイクロ波が存在すること
になり、導波路の金属壁のわずかな導体損やスロットア
ンテナ内の誘電体のわずかな誘電損により、大きな損失
が生じる。従来のマイクロ波プラズマ装置では、これら
の損失が大きくプラズマ励起電力効率が低かった。さら
に、高密度プラズマを得ようとして大電力のマイクロ波
を投入すると、スロットアンテナ表面に形成されたスロ
ット部でアーク放電が生じ、これによりアンテナが破損
したり、誘電体隔壁と誘電体シャワープレート間のガス
流路で放電が生じたりするという問題があった。
発明の開示 本発明は、上述の問題を解決した改良された有用なプ
ラズマプロセス装置を提供することを目的とする。
本発明のより具体的な目的は、マイクロ波導入部の誘
電体シャワープレート表面にプロセス用ガスの解離、結
合による膜付着がないため、いかなるプロセス用ガスを
用いてもプラズマの安定性が高いプラズマプロセス装置
を提供することである。
本発明の他の目的は、チャンバクリーニングやメンテ
ナンスの周期が長いプラズマプロセス装置を提供するこ
とである。
本発明の更に他の目的は、基板表面にイオンエネルギ
を入射させなければならないプロセスにも対応可能なプ
ラズマプロセス用装置を提供することである。
また、本発明の目的は、プロセス用ガスの解離が適度
に抑制されるため優れた成膜プロセスやエッチングプロ
セスが可能で、プラズマ励起効率が高いプラズマプロセ
ス装置を提供することである。
本発明では上記目的を達成するため、従来のマイクロ
波プラズマプロセス用装置のプラズマ拡散部(誘電体シ
ャワープレートと基板との間)に新たなプロセス用ガス
放出器(格子状シャワープレートと呼ぶ)を設け、希ガ
スを主体としたプラズマ励起用ガスとプロセス用ガスを
異なる場所から放出できるようにした。また、金属製の
格子状シャワープレートを接地することにより、従来の
マイクロ波プラズマプロセス用装置では対応できなかっ
た基板表面に高いエネルギのイオンを入射させなければ
ならないプロセスにも対応可能とした。さらに、マイク
ロ波導入部の誘電体部の厚さ(誘電体隔壁の厚さ+誘電
体シャワープレートの厚さ)を最適化してプラズマの励
起効率を最大化すると同時に、誘導体シャワープレート
の厚さおよびスロットアンテナと誘電体隔壁との間隔を
最適化して大電力のマイクロ波を投入可能にした。
本発明のプラズマプロセス装置は、従来のマイクロ波
プラズマ用装置の誘電体シャワープレートと基板との間
に新たなガス放出手段(格子状シャワープレート)を設
け、ガス分子の解離を抑制することが望ましいプロセス
用ガスを基板に向かって放出する構成になっている。一
方、プロセス用ガスが誘電体シャワープレートの方へ拡
散しないように、希ガスを主体としたプラズマ励起用ガ
スを格子状シャワープレートを挟んで基板と反対側に設
置された誘電体シャワープレートから放出させる。マイ
クロ波の通り道である誘電体シャワープレート表面に膜
が付着することがなくなるため、チャンバクリーニング
やメンテナンス周期が著しく長期化し、常に安定したプ
ラズマが得られるようになる。また、プラズマ密度が高
く電子温度が比較的高いマイクロ波入射面付近にはプロ
セス用ガスがほとんど存在しない状態が形成されるた
め、プロセス用ガスの解離が適度に抑制されて高性能プ
ロセスが実現される。同時に、格子状シャワープレート
から多量のプロセス用ガスを放出してもマイクロ波入射
面付近にカットオフ密度を十分上回る高密度で安定した
プラズマを励起できるため、プロセスの自由度が飛躍的
に向上し、より高速なプロセスが可能である。
接地された金属製格子状シャワープレートをプラズマ
中に導入することにより、プラズマが接する接地部の面
積は大幅に増加する。基板に高周波バイアスを印加した
場合、高周波電圧の大半を基板周辺のシースに印加でき
るようになり、プラズマ電位を増加させずに基板表面に
入射するイオンのエネルギを効率よく増加させることが
可能である。従って、基板表面に高いイオンエネルギを
入射させなければならない反応性イオンエッチングなど
のプロセスにも十分対応可能である。
さらに、本発明のプラズマプロセス装置によれば、マ
イクロ波導入部の誘電体部の厚さ(誘電体隔壁の厚さ+
誘電体シャワープレートの厚さ)を最適化してプラズマ
の励起効率を最大化すると同時に、誘電体シャワープレ
ートの厚さおよびスロットアンテナと誘電体隔壁との間
隔を最適化して大電力のマイクロ波を投入できるため、
より安定した高密度プラズマの高効率生成が可能であ
る。金属製格子状シャワープレートは、腐食性ガスのプ
ラズマに対する耐性に優れた酸化アルミニウム被膜を有
するアルミニウム及びステンレス鋼で構成され、長期間
にわたって使用可能である。
図面の簡単な説明 図1は、本発明の第1の実施例によるマイクロ波プラ
ズマプロセス装置の断面図である。
図2は、図1の装置の格子状シャワープレートを基板
側から見た平面図である。
図3は、プラズマ空間中のプラズマ電子分布を示すグ
ラフである。
図4は、タンタルの成膜を行った場合の電子密度の時
間変化を示すグラフである。
図5は、基板に印加する高周波電力の基板表面へのイ
オン入射エネルギ依存性を示すグラフである。
図6は、接地部表面へのイオン入射エネルギの基板表
面へのイオン入射エネルギ依存性を示すグラフである。
図7は、電子密度の誘電体部の厚さ依存性を示すグラ
フである。
図8は、ギャップ中で放電が開始するマイクロ波電力
密度の誘電体シャワープレートの厚さ依存性を示すグラ
フである。
図9は、プロセス空間で放電が開始するマイクロ波電
力密度の誘電体シャワープレートと格子状シャワープレ
ートとの間隔依存性を示すグラフである。
図10は、スロット部で放電が開始するマイクロ波電
力密度のラジアルラインスロットアンテナと誘電体シャ
ワープレートとの間隔依存性を示すグラフである。
図11は、本発明の第2の実施例によるマイクロ波プ
ラズマプロセス装置に設けられた多孔質セラミックスで
構成された格子状シャワープレートを基板側から見た平
面図である。
図12は、図11のXII−XII線に沿った断面図
である。
図13は、本発明の第3の実施例によるマイクロ波プ
ラズマプロセス装置に設けられたアルミニウムで構成さ
れた格子状シャワープレートを基板側から見た平面図で
ある。
図14は、図13のXIV−XIV線に沿った断面図
である。
図15は、本発明の第4の実施例によるマイクロ波プ
ラズマプロセス装置の断面図である。
発明を実施するための最良の実施の形態 以下、図面を参照しながら本発明の実施例によるプラ
ズマプロセス装置を説明するが、本発明はこれらの実施
例に限定されるものではない。
(実施例1) 図1は、本発明の第1の実施例によるプラズマプロセ
ス装置の側部断面図である。本は発明の第1の実施例に
よるプラズマプロセス装置は、真空容器101、誘電体
隔壁102、誘電体シャワープレート103、ギャップ
104、プラズマ励起用ガス供給口105、プラズマ励
起用ガス導入路106、プラズマ励起用ガス放出孔10
7、Oリング108,109、ラジアルラインスロット
アンテナ110、格子状シャワープレート111、プロ
セス用ガス供給口112、プロセス用ガス放出孔11
3、ステージ115及び排気口116を有する。プラズ
マ処理される基板114はステージ115上に載置され
る。
本実施例では、真空容器101はアルミニウムにより
形成され、誘電体隔壁102および誘電体シャワープレ
ート103は比誘電率8.63の窒化アルミニウムによ
り形成されている。プラズマ励起用マイクロ波の周波数
は、2.45GHzである。基板114は、直径200
mmのシリコン基板である。
大気中に設置されたラジアルラインスロットアンテナ
110から放射されたマイクロ波は、誘電体隔壁10
2、ギャップ104および誘電体シャワープレート10
3を通過して真空容器101内部に導入され、真空容器
101内のガスを電離してプラズマを生成する。
本装置は、プラズマ励起用ガスとプロセス用ガスを異
なるシャワープレートから放出できる構造になってい
る。プラズマ励起用ガスは、プラズマ励起用ガス供給口
105から供給され、プラズマ励起用ガス導入路106
を通り誘電体シャワープレート103の中央部まで導か
れる。その後、ギャップ104において中央部から周辺
部へ放射状に流れ、複数のプラズマ励起用ガス放出孔1
07から真空容器内部に放出される。一方、プロセス用
ガスは、プロセス用ガス供給口112から供給され、金
属管で構成された格子状シャワープレート111の内部
を通り、複数のプロセス用ガス放出孔113から基板1
14側に放出される。
図2は、格子状シャワープレート111を基板114
側から見た平面図である。格子状シャワープレート11
1は、本管201、枝管202、プロセス用ガス放出孔
113、及び格子状シャワープレートガス供給口204
を有する。点線で示す円205は基板114に対向する
領域である。本実施例では、ガスを基板114上に均一
に放出するため、格子状シャワープレートガス供給口2
04が2つ設けられている。本管201、枝管202
は、それぞれ外径9.53mm(3/8インチ)、6.3
5mm(1/4インチ)の金属管であり、接続部は溶接し
てある。枝管202は格子状配列されており、本管20
1及び枝管202の間には開口部206が形成されてい
る。枝管202には、プロセス用ガスを基板面に斜め
に、基板全面にわたってほぼ均一に入射するような位置
に多数のガス放出孔113が形成されている。本実施例
では、プロセスの基板面内均一性を向上させるためにプ
ロセス用ガスを基板面に斜めに入射させるようにした
が、基板面に垂直に入射させるようにしてもよい。
本実施例では、腐食性ガスプラズマ雰囲気中でも半永
久的に使用できるように、配管の材料として従来用いら
れていたSUS316L材よりもアルミニウム成分が増
量された(4.16%)高濃度アルミニウム含有ステン
レス鋼を用いて、この配管を弱酸化性雰囲気で高温(9
00℃)の処理を行い、配管表面に熱力学的に非常に安
定な酸化アルミニウム不働態膜を形成している。酸化ア
ルミニウム不働態膜を形成すると、腐食性の高い塩素ガ
スやフッ素ガスのプラズマに対しても優れた耐食性を示
すことが確認されている。
ラジアルラインスロットアンテナ110、誘電体隔壁
102、誘電体シャワープレート103、格子状シャワ
ープレート111、および基板114は、それぞれ平行
に配置されている。誘電体シャワープレート103と格
子状シャワープレート111との間隔は真空中でのマイ
クロ波の波長の1/4倍(30mm)に、誘電体隔壁の
アンテナ110側の面と誘電体シャワープレート103
の基板114側の面との間の距離はマイクロ波の該当部
における波長の3/4倍(30.7mm、うちギャップ
104が0.7mm)に、誘電体シャワープレートの厚
さはマイクロ波の該当部における波長の1/2倍(20
mm)に設定されている。さらに、ラジアルラインスロ
ットアンテナと誘電体隔壁との間隔は、マイクロ波の波
長の1/4倍(30mm)に設定されている。
図2に示すような格子状シャワープレート111をチ
ャンバ内に設置すると、プラズマからシャワープレート
表面へのイオン入射によりシャワープレート部材がスパ
ッタされて基板表面付近に混入し、汚染の問題が生じる
可能性がある。プラズマ中に挿入された物体の表面近傍
にはシースが形成され、このシース中の電界によりプラ
ズマ中のイオンが加速されて物体表面に入射する。イオ
ンの入射エネルギが、材料やイオン種固有のしきい値以
上であればスパッタリングが起こり、しきい値以下であ
ればスパッタリングは起こらない。例えば、各種金属表
面にAr+イオンを入射させた場合、しきい値は10数e
V〜30eVとなる。スパッタリングによる汚染を防止
するには、格子状シャワープレート111に入射するイ
オンのエネルギを10数eV以下に抑えることが望まし
い。
プラズマ中の接地された物体表面に入射するイオンの
エネルギ(eV)は、シースにかかる電圧、すなわちプラ
ズマ電位をVpとして、eVp(eは電子の電荷)にほぼ等
しい。接地された物体の表面が絶縁膜で被覆されている
場合も同程度の値になる。図3は、プラズマ空間中のプ
ラズマ電位の分布を示すグラフである。図3中、a01
は図1のマイクロ波プラズマプロセス装置における結果
を示し、a02は高周波励起平行平板型プラズマプロセ
ス装置における結果を示している。プラズマ空間の間隔
は120mm、ガスはAr、圧力は約67Pa(500mTo
rr)に統一した。図3において、横軸zは基板に垂直方向
のプラズマ空間中の位置であり、マイクロ波プラズマ装
置では誘電体シャワープレート103表面を基準(z=
0)とし、平行平板型プラズマ装置では基板と対向した
高周波印加電極の表面を基準とした。なお、マイクロ波
プラズマ装置では2.45GHzのマイクロ波を誘電体
シャワープレート103を通して導入し、平行平板型プ
ラズマ装置では13.56MHzの高周波を高周波印加
電極に印加することによりプラズマを生成した。
平行平板型プラズマ装置では、プラズマ電位は33V
程度であり、チャンバ内に格子状シャワープレート11
1を設置するとスパッタリングによる汚染が発生するこ
とが明らかである。一方、マイクロ波プラズマ装置で
は、誘電体シャワープレート103から20mm以上離
れると8V以下となり、プラズマ中に格子状シャワープ
レート111を配置してもスパッタされる恐れはない。
半導体製造プロセスに用いられている他のプラズマ装置
として、誘導結合プラズマ装置や電子サイクロトロン共
鳴プラズマ装置等があるが、何れの装置でもプラズマ電
位は通常30V以上になる。このように、マイクロ波プ
ラズマ装置は、他のプラズマ装置と比較してプラズマ電
位が圧倒的に低いという特徴がある。これは、プラズマ
励起部も含めてプラズマ全体に渡って電子温度が低く抑
えられていることに起因している。格子状シャワープレ
ート111をマイクロ波プラズマ装置と組み合わせるこ
とにより、スパッタリングによる汚染を発生させること
なく初めてその効果を発揮させることが可能になる。
図1のプラズマプロセス装置を用いて、シリコン酸化
膜で被われた直径200mmのシリコン基板上にプラズ
マCVD(Chemical Vapor Deposition)法によりタ
ンタル薄膜を形成する実験を行った。図4は、誘電体シ
ャワープレート103表面の付着物が完全に取り除かれ
た状態でタンタルの成膜を開始し、成膜時間の経過とと
もにプラズマ中の電子密度がどのように変化するかを測
定した結果である。曲線301は従来のマイクロ波プラ
ズマ装置の構成、すなわち格子状シャワープレート11
1を設置せずに、プラズマ励起用ガスとプロセス用ガス
を混合して双方とも誘電体シャワープレート103から
放出した場合の結果である。曲線302は本発明のマイ
クロ波プラズマ装置の構成、すなわち、格子状シャワー
プレート111を設置してプラズマ励起用ガスとプロセ
ス用ガスを分けて放出した場合の結果である。
電子密度の測定は、ウェーハの中心軸上でウェーハか
ら15mm離れた地点で行った。プロセス用ガスとして
は、液体であるTa(O−C255をArキャリアガ
スによりバブリングしたガスを用いた。プラズマ励起用
ガスとしてはArを用いた。プロセス用ガスとプラズマ
励起用ガスの流量は、それぞれ150sccm、500scc
m、真空容器内の圧力は約80Pa(0.6Torr)とし
た。プラズマ励起用マイクロ波の周波数は、2.45G
Hz、電力は1.1kWであった。
従来の構成では、成膜時間が3分を過ぎると次第に電
子密度が低下してプラズマが不安定になり、11分でプ
ラズマが消失した。これは、誘電体シャワープレート1
03表面に付着したタンタル薄膜が、マイクロ波を反
射、吸収したことが原因である。実際に、誘電体シャワ
ープレート103表面に付着した膜を分析した結果、炭
素を多量に含むタンタル薄膜が付着していることが明ら
かになった。タンタル薄膜の平均膜厚は4.3μmであ
った。
一方、本発明の構成では、20分の成膜を行っても電
子密度は全く変化せず、誘電体シャワープレート103
の表面へのタンタル薄膜の付着は確認されなかった。従
来の構成よりも成膜開始直後に電子密度が若干低いの
は、格子状シャワープレート111の存在により、プラ
ズマのウェーハ周辺への拡散が若干遮られるためであ
る。従来の構成では、成膜中にシャワープレート表面に
タンタル薄膜が付着してしまうため、成膜後に塩素系ガ
スプラズマ等を用いたチャンバ内面のクリーニングとメ
ンテナンスを頻繁に行う必要があり不経済で生産性が低
かった。しかし、本発明の構成では、クリーニングやメ
ンテナンスがほとんど必要なくなり、生産性が大幅に向
上した。
次に、シリコン酸化膜上に形成されたタンタル薄膜の
特性を評価した結果について述べる。2次イオン質量分
析装置でタンタル薄膜中の炭素含有量を測定したとこ
ろ、従来の構成では10.5%と非常に多かったが、本
発明の構成では0.3%であることが分かった。従来の
構成では、有機金属ガスを誘電体シャワープレート10
3から放出したため、プラズマ入射面付近の高密度で比
較的電子温度が高いプラズマによりガス分子が過度に分
解して分子量の小さな有機物が発生して膜中に混入し
た。しかし、本実施例の構成では、有機金属ガスを格子
状シャワープレート111から電子温度が低い拡散プラ
ズマ領域に放出したため、タンタル原子と有機物分子間
の結合のみが選択的に切れて蒸気圧の高い有機物しか発
生しなかったためである。
さらにタンタル薄膜の電気抵抗率を測定したところ、
従来の構成では炭素の含有量が多いため225×10-6Ω
cmであったが、本発明の構成では21×10-6Ωcmと一桁
以上も低く、ほぼ理想的な薄膜が形成されていることが
明らかになった。このように、本発明のプラズマプロセ
ス装置を金属薄膜や強誘電体薄膜、高誘電率薄膜のCV
Dに適用すると、薄膜の特性を大幅に向上させることが
可能になる。
次に、マイクロ波プラズマ装置のエッチングプロセス
への適合性について述べる。図5は、エッチングに必要
な基板表面へのイオン入射エネルギを得るのに基板にど
れだけ高周波電力を印加すればよいかを示すグラフであ
る。曲線401は、従来のマイクロ波プラズマ装置の構
成、すなわち、格子状シャワープレート111が存在し
ない場合の結果であり、曲線402は、本発明のマイク
ロ波プラズマ装置の構成、すなわち、接地された格子状
シャワープレート111が存在する場合の結果である。
プラズマ励起用ガスとしては、Arを用いた。真空容器
内の圧力は約4Pa(30mTorr)、プラズマ励起用の
マイクロ波の周波数は、2.45GHz、電力は1.1kW
であった。また、基板へ印加した高周波の周波数は2MH
zであった。
図5より、同じ基板表面へのイオン入射エネルギを得
るのに、本発明の構成では従来の1/5程度の高周波電
力を印加すれば十分であることが分かる。すなわち、大
幅な効率化と高周波電源や整合器の小型化、低コスト化
が可能になる。
図6は、エッチングに必要な基板表面へのイオン入射
エネルギを得るのに必要な電力を基板に印加したとき
に、接地部表面へのイオン入射エネルギがどう変化する
かを示すグラフである。曲線501は、従来のマイクロ
波プラズマ装置の構成、すなわち、格子状シャワープレ
ート111が存在しない場合の結果であり、曲線502
は、本発明のマイクロ波プラズマ装置の構成、すなわ
ち、接地された格子状シャワープレートが存在する場合
の結果である。条件の図5の場合と同じである。
図6より、従来の構成では、接地部表面へのイオン入
射エネルギは基板表面へのイオン入射エネルギと同程度
の非常に高い値であることが分かる。例えば、シリコン
酸化膜の反応性イオンエッチングでは、基板表面に40
0eV程度のイオンを入射させる必要がある。このイオ
ン入射エネルギを得るためには基板に1600Wの高周
波電力を印加する必要があり、このとき接地部表面への
イオン入射エネルギは370eVとなる。このような高い
運動エネルギを持ったイオンがチャンバ壁や格子状シャ
ワープレートなどの接地部表面に入射すると、壁面がス
パッタされて不純物汚染の原因になる。また、スパッタ
リングにより削られるため、寿命が著しく短い。一方、
本発明の構成では、プラズマが接する接地部表面の面積
が基板の面積と比較して十分大きいため、接地面へのイ
オン入射エネルギは10eVから20eVと低い値に抑え
られており、接地面がスパッタされることはない。
表1は、シリコン基板表面のシリコン酸化膜のエッチ
ングを行ったときの、レジストとシリコン酸化膜のエッ
チング選択比、セルフアラインコンタクト形成時に不可
欠なシリコン窒化膜とシリコン酸化膜のエッチング選択
比、および0.25μm のシリコン酸化膜コンタクト
ホールを形成した後にアルミニウム電極を形成して電極
と下地のシリコンとのコンタクト抵抗を測定した結果を
示す。
プラズマ励起用ガスには、Arを用いた。プラズマ励
起用ガスの流量は320sccmであった。また、プロセ
ス用ガスには、C48/CO/O2/Xeの混合ガスを
用いた。プロセス用ガスの流量は105sccmであっ
た。真空容器内の圧力は約4Pa(30mTorr)であっ
た。プラズマ励起用のマイクロ波の周波数は、2.45
GHz、電力は1.1kWであり、基板へ印加した高周波
の周波数は2MHzであった。基板へ印加した高周波の電
力は、基板表面へのイオン入射エネルギが400eVに
なるように設定した。
次世代の超微細高性能半導体デバイスを実現するに
は、レジストとシリコン酸化膜の選択比は10以上、シ
リコン窒化膜とシリコン酸化膜の選択比は30以上必要
である。従来の構成では、CF系ガスの分解が過度に進
行して選択比を低下させる要因となるフッ素ラジカルや
フッ素イオンが多量に生成されるため、レジストに対し
ても、シリコン窒化膜に対しても十分なエッチング選択
比を得ることができない。また、チャンバ壁など接地部
表面がスパッタされてコンタクトホール底のシリコン表
面付近に混入するため、コンタクト抵抗が非常に高くな
る。このままではデバイスには使えないため、シリコン
表面付近のダメージ層を取り除く行程が必要になり、半
導体製造コスト増大と生産性低下を招いていた。
一方、本発明の構成では、プロセス用ガスがプラズマ
拡散部の電子温度が極めて低い部分に導入されるため、
CF系ガスの分解が適度に抑制され、レジストに対して
もシリコン窒化膜に対しても十分なエッチング選択比を
得ることができる。また、不純物の汚染がないため、コ
ンタクト抵抗も低く抑えられている。
図7は、投入マイクロ波電力を一定にして誘電体部の
厚さ(誘電体隔壁102の厚さ+誘電体シャワープレー
ト103の厚さ)を変えたときに、プラズマ中の電子密
度がどのように変化するかを測定した結果を示すグラフ
である。マイクロ波周波数は2.45GHz、マイクロ波
電力は1.8kWであった。ギャップ104は0.7m
mであった。ガスはAr、圧力は約67Pa(500m
Torr)であった。電子密度は誘電体シャワープレートか
ら22mm離れた地点で測定した。
図7より、プラズマ中の電子密度は、誘電体部の厚さ
とともに周期的に変化することが分かった。プラズマ励
起効率(プラズマ励起に使われた電力/マイクロ波電源
が供給した電力)は電子密度に比例する。本実施列で
は、プラズマ励起効率は、誘電体部の厚さの変化ととも
に21%から75%まで周期的に変化した。この現象
は、次のように説明できる。
マイクロ波入射面付近の電子密度はカットオフ密度
(2.45GHzにおいて7.45×1010cm-3)よりも十
分高い(1012cm-3以上)ため、プラズマ表面に入射し
たマイクロ波は表面から侵入長(3mm位)程度しかプラ
ズマ中に侵入できず、ほぼ完全に反射される。反射され
たマイクロ波はアンテナで受信された後、アンテナとマ
イクロ波電源間に接続された整合器で反射されて再びア
ンテナから放射される。すなわち、プラズマ表面近傍と
整合器の間は、マイクロ波の共振状態になっている。こ
の部分には高いエネルギ密度のマイクロ波が存在するこ
とになり、導波路の金属壁のわずかな導体損やスロット
アンテナ内の誘電体のわずかな誘電損により、大きな損
失が生じる。この損失がマイクロ波からプラズマに与え
られる電力よりも大きい場合には、プラズマ表面近傍と
整合器の間のマイクロ波電力密度は、プラズマの状態に
あまり依存しない。共振器中のマイクロ波電力密度を一
定と仮定すると、誘電体部がアンテナ側の面がマイクロ
波電界の定在波の腹の位置になるような厚さの時に誘電
体中のマイクロ波電力密度が最大となり、最も効率よく
プラズマを励起できる。逆に、誘電体部がアンテナ側の
面が定在波の節の位置になるような厚さの時に誘電体中
のマイクロ波電力密度が最小となり、プラズマ励起効率
は最も低くなる。誘電体部のアンテナ側の面をマイクロ
波電界の定在波の腹の位置にするには、誘電体隔壁のア
ンテナ側の面と誘電体シャワープレート103の基板側
の面との間の距離が、該当部の波長の1/4の奇数倍に
なっていればよい。誘電体シャワープレート103の基
板側の面は、導体と見なせるプラズマの存在によりほぼ
短絡面(定在波の節の位置)と見なすことができるため
である。図7より、電子密度が最大値をとる誘電体部の
厚さは30mmおよび50mmであることが分かる。こ
れらは誘電体隔壁のアンテナ側の面と誘電体シャワープ
レート103の基板側の面との距離が、それぞれ波長の
3/4倍および5/4倍に相当する。
従来の構成では、誘電体部の厚さが機械的強度のみで
決定されていたため、プラズマ励起効率が低い場合が多
く、また励起効率が装置によってまちまちであった。本
発明の構成では、プラズマ励起効率が75%程度と高
く、従来の構成の最大3.6倍にもなる。即ち、より低
消費電力、小型で安価なマイクロ波電源で高密度プラズ
マを生成することが可能になった。
図8は、誘電体部の厚さを30mmに固定して誘電体
シャワープレート103の厚さを変えたときに、ギャッ
プ104中で放電が開始するマイクロ波電力密度を測定
した結果を示すグラフである。ギャップ中で放電が開始
するマイクロ波電力密度は、誘電体シャワープレート1
03の厚さとともに周期的に変化することが分かる。ギ
ャップ中で放電するとプロセス空間のプラズマが不安定
になるため、放電は絶対に避けなければならない。ギャ
ップ中での放電を防止するには、ギャップがマイクロ波
電界の定在波の節の位置になるように誘電体シャワープ
レート103の厚さを決めればよい。すなわち、誘電体
シャワープレート103の厚さが、該当部の波長の1/
2の整数倍になっていればよい。図8より、誘電体シャ
ワープレート103の厚さが20mmのときギャップ1
04中で最も放電しにくく、10mmのとき最も放電し
やすいことが分かる。これらは、それぞれ波長の1/2
倍および1/4倍に相当する。
従来の構成では、シャワープレートの厚さが機械的強
度およびガスのコンダクタンスのみで決定されていたた
め、ギャップ中で放電しやすい場合が多く、プラズマに
大電力を投入することが困難であった。本発明の構成で
は、プラズマに大電力を投入してもギャップ104中で
放電することがないため、常に安定な高密度プラズマを
励起することが可能である。
図9は、誘電体シャワープレート103と格子状シャ
ワープレート111との間隔を変えたときに、プロセス
空間(誘電体シャワープレート103と基板114の
間)で放電が開始するマイクロ波電力密度と、基板11
4周辺の電子密度を測定した結果を示すグラフである。
誘電体シャワープレート103と格子状シャワープレ
ート111との間隔が波長の1/4倍より短くなると、
プロセス空間において急に放電しにくくなることが分か
る。これは次のように説明される。金属で構成された格
子状シャワープレート111は、格子間隔をマイクロ波
の波長よりも十分短くしておくとマイクロ波の短絡面と
なる。マイクロ波をチャンバ内に投入した後、プラズマ
着火前には、格子状シャワープレート111への入射波
と格子状シャワープレート111の表面付近で反射され
た反射波が定在波を形成する。誘電体シャワープレート
103と格子状シャワープレート111との間隔が波長
の1/4倍より長い場合には、プラズマ空間中にマイク
ロ波電界の定在波の腹の部分が存在し、この電界が強い
部分で放電が開始する。直後に、そのプラズマを種とし
てマイクロ波入射面付近に高密度プラズマが生成され
る。一方、誘電体シャワープレート103と格子状シャ
ワープレート111との間隔が波長の1/4倍をより短
い場合には、誘電体シャワープレート103の表面でマ
イクロ波電界が最大になるが、間隔が短くなるにつれて
電界強度が小さくなり放電しにくくなる。
図9より、基板周辺の電子密度は、誘電体シャワープ
レート103と格子状シャワープレート111との間隔
が増大するにつれて低下することが分かる。マイクロ波
入射面付近でプラズマが励起されて基板の方へ拡散する
ため、マイクロ波入射面から離れるに従って電子密度が
低下するためである。低マイクロ波電力で高速プロセス
を実現するためには、プロセス空間において放電しやす
く、基板周辺の電子密度は高い方がよい。これらの要請
を両立させるには、誘電体シャワープレート103と格
子状シャワープレート111との間隔を波長の1/4倍
にすればよいことになる。
図10は、誘電体部の厚さを30mmに固定してラジ
アルラインスロットアンテナ110と誘電体シャワープ
レート103との間隔を変えたときに、アンテナ110
のスロット部で放電が開始するマイクロ波電力密度を測
定した結果を示すグラフである。スロット部で放電が開
始するマイクロ波電力密度は、アンテナ110と誘電体
シャワープレート103との間隔とともに周期的に変化
することが分かる。スロット部で放電するとアンテナ1
10が破損し、またプロセス空間のプラズマが不安定に
なるため、絶対に避けなければならない。スロット部で
の放電を防止するには、アンテナ110の表面がマイク
ロ波電界の定在波の節の位置になるようにアンテナ11
0と誘電体シャワープレート103との間隔を決めれば
よい。誘電体シャワープレート103のアンテナ側の面
でマイクロ波電界の定在波が腹になっているとき、すな
わち誘電体隔壁のアンテナ側の面と誘電体シャワープレ
ート103の基板側の面との間の距離が該当部の波長の
1/4の奇数倍に設定されている場合、アンテナ110
と誘電体シャワープレート103との間隔が波長の1/
4の奇数倍になっていればよい。図10より、アンテナ
110と誘電体シャワープレート103との間隔が30
mm及び90mmのとき、スロット部で最も放電が起こ
りにくく、60mmのときに最も放電が起こりやすいこ
とが分かる。30mm、60mmおよび90mmは、そ
れぞれ波長の1/4、2/4および3/4倍に相当す
る。
従来の構成では、アンテナ110のスロット部で放電
しやすい場合が多く、プラズマに大電力を投入すること
が困難であった。本発明の構成では、プラズマに大電力
を投入してもスロット部で放電することがないため、常
に安定な高密度プラズマを励起することが可能である。
このように、本実施例の装置を用いれば、基板への高
効率周波数バイアス印加および高効率マイクロ波プラズ
マ生成が可能になり、高周波電源やマイクロ波電源の小
型化による装置専有面積の縮小、装置の低コスト化を図
ることができる。さらに、大電力のマイクロ波を投入し
ても誘電体隔壁と誘電体シャワープレート103間のギ
ャップやラジアルラインスロットアンテナ110のスロ
ット部で放電することがないため、より高密度で安定し
たプラズマを生成することが可能になり、高生産性プロ
セスが実現される。プロセス用ガスの過剰解離が抑制さ
れ不純物汚染がないため、従来のプラズマプロセスを革
新する高性能プロセスが可能になる。
(実施例2) 次に、本発明の第2の実施例によるプラズマプロセス
装置について図11及び図12を参照しながら説明す
る。図11は、本発明の第2の実施例によるプラズマプ
ロセス装置に設けられた格子状シャワープレート600
を基板側から見た平面図である。図12は図11のXI
I−XII線に沿った断面図である。本発明の第2の実
施例によるプラズマプロセス装置は、図11に示す格子
状シャワープレート600以外は図1に示す本発明の第
1の実施例によるプラズマプロセス装置と同様であり、
その説明は省略する。
図11に示すように、格子状シャワープレート600
は、本管601、枝管602、プロセス用ガス放出部6
03(ハッチング部)、及び格子状シャワープレートガス
供給口604よりなる。図12に示すように、枝管60
2は被膜606を有する。なお、図11において点線に
より示す円605は、基板に対向する領域を示してい
る。
本実施例では、本管601は気孔率0.03%のアル
ミナセラミックスにより形成され、枝管602は気孔率
32%の多孔質アルミナセラミックスにより形成されて
いる。枝管602は格子状に配列されており、本管60
1と枝管602は、セラミックス系の接着剤で接合され
ている。したがって、本管601と枝管602とにより
開口部607が形成されている。多孔質アルミナセラミ
ックスはガスを透過する性質があり、管内の圧力を管外
よりも適度に高い圧力にすることによりシャワープレー
トとして機能する。多孔質アルミナセラミックスをシャ
ワープレートに用いると、上述の第1の実施例のように
ガス放出孔を多数設けた場合と比較して、より均一にガ
スを放出することが可能になる。枝管602の表面のう
ちガス放出部以外は、ガスが放出されないように被膜6
06で覆われている。被膜606は、厚さ220μm、
気孔率0.8%のアルミナセラミックスである。
アルミナセラミックスは腐食性ガスプラズマに対する
耐久性に優れており、長寿命のシャワープレートを構成
できる。一方、電気伝導性がないため、プラズマが接す
る接地面を増大させる効果がなく、基板表面に高いエネ
ルギのイオンを入射させなければならない反応性イオン
エッチング等のプロセスには適さない。このため、本実
施例の装置は、CVDや酸化、窒化などの薄膜形成やレ
ジストアッシングに使用することが望ましい。
本実施例では格子状シャワープレート600をアルミ
ナセラミックスで構成したが、熱伝導率が高い窒化アル
ミニウムセラミックスで構成してもよい。また、アルミ
ニウムやステンレスなどの金属を多量に含有する導電性
のあるアルミナセラミックスを用いてもよい。この場合
には、プラズマが接する接地面を増大させる効果がある
ため、基板表面に高いエネルギのイオンを入射させなけ
ればならないプロセスにも適用可能になる。
(実施例3) 次に、本発明の第3の実施例によるプラズマプロセス
装置について図13及び図14を参照しながら説明す
る。図13は、本発明の第3の実施例によるプラズマプ
ロセス装置に設けられた格子状シャワープレート700
のを基板側から見た平面図である。図14は図13のX
IV−XIV線に沿った断面図である。本発明の第3の
実施例によるプラズマプロセス装置は、図13に示す格
子状シャワープレート700以外は図1に示す本発明の
第1の実施例によるプラズマプロセス装置と同様であ
り、その説明は省略する。
図12に示す格子状シャワープレート700は、ガス
導入路701、プロセス用ガス放出孔702、格子状シ
ャワープレートガス供給口703、格子状シャワープレ
ート本体705、及び格子状シャワープレート蓋706
を有する。なお、点線により示す円704は、基板に相
当する領域を示している。
断面が矩形のガス導入路701が縦横に碁盤目状に形
成されており、隣り合うガス導入路の間にはプラズマや
ガスを通過させるための開口部707が形成されてい
る。格子状シャワープレート本体705および格子状シ
ャワープレート蓋706は、マグネシウムを3%含有す
るアルミニウムで構成されており、両者は電子ビーム溶
接により接合されている。格子状シャワープレート本体
705および格子状シャワープレート蓋706は、腐食
性ガスに対する耐性を向上させるために、電子ビーム溶
接後にフッ素ガス雰囲気中で熱処理が施され、表面にフ
ッ化マグネシウムとフッ化アルミニウムの混合膜が形成
されている。
本実施例は、上述の第1の実施例と比較して、格子状
シャワープレート700の高周波電流が流れるパスの断
面積が大きく抵抗率の小さな材料を用いているため、よ
りプラズマと接地間のインピーダンスを低下させる効果
が高い。すなわち、より電力効率が高いプラズマ装置を
構成できる。なお、本実施例では、格子状シャワープレ
ート700をアルミニウムで構成したが、ステンレスや
高濃度アルミニウム含有ステンレスで構成してもよい。
(実施例4) 図15は、本発明の第4の実施例によるプラズマプロ
セス装置の断面図である。本発明の第4の実施例による
プラズマプロセス装置は、真空容器801、誘電体隔壁
802、誘電体シャワープレート803、ギャップ80
4、シャワープレート固定治具805、プラズマ励起用
ガス供給口806、プラズマ励起用ガス放出孔807、
マイクロ波導波路808、格子状シャワープレート80
9、プロセス用ガス供給口810、プロセス用ガス放出
孔811、ステージ813、及び排気口814を有す
る。プラズマ処理される基板812はステージ813上
に載置される。
本実施例では、真空容器801はアルミニウム、誘電
体隔壁802は酸化アルミニウム、誘電体シャワープレ
ート803は窒化アルミニウム、シャワープレート固定
治具805はアルミニウムで構成されている。格子状シ
ャワープレート809は、上述の第1乃至第3の実施例
のいずれか一つと同様な構成とされており、上述の第1
の実施例と同様に、酸化不働態処理された高濃度アルミ
ニウム含有ステンレスで形成されている。プラズマ励起
用マイクロ波の周波数は2.45GHzである。基板81
2は液晶ディスプレイ用の角形ガラス基板であり、サイ
ズは550×650mm2である。
マイクロ波導波路808は、紙面に垂直方向に延在す
る単一モードの矩形導波管であり、下面は誘電体壁、他
の部分は金属壁で囲まれている。マイクロ波は、単一の
マイクロ波電源で発生され、装置の中央部付近にて導波
管により2本のマイクロ波導波路808に分配して供給
される。マイクロ波導波路808中を伝搬するマイクロ
波の一部は、誘電体隔壁802から漏れ出し、誘電体シ
ャワープレート803を介して真空容器801内に導入
され、プラズマを励起する。プラズマが励起されると、
プラズマと誘電体シャワープレート803との境界付近
に誘電体シャワープレート803の表面に沿って伝搬す
る表面波が励起される。均一な表面波を励起することに
より、大面積で均一なプラズマが得られる。本実施例で
は2枚の誘電体シャワープレート803が設置されてい
るが、これらの表面を伝搬する表面波が互いに干渉しな
いように、誘電体シャワープレート803の間は接地さ
れたシャワープレート固定治具805で電気的に仕切ら
れている。
誘電体シャワープレート803、格子状シャワープレ
ート809、および基板812は、それぞれ平行に配置
されている。誘電体シャワープレート803と格子状シ
ャワープレート809との間隔はマイクロ波の波長の1
/4倍(30mm)に設定されている。
本発明のプラズマプロセス装置をパックゲート型のT
FT(Thin Film Transistor)液晶ディスプレイ製造に
用いた。適用したプロセスは、CVD法による、1)シ
リコン窒化膜形成プロセス、2)シリコン窒化膜上への
多結晶シリコン膜形成プロセス、3)多結晶シリコン膜
上へのn+シリコン膜形成プロセス、4)シリコン膜エ
ッチングプロセス、及び5)シリコン表面直接酸化プロ
セスであった。以下の表2は、これらの各プロセスに使
用したガス種と圧力が示されている。
半導体でも液晶ディスプレイでも基板が大型化される
傾向にある。大型基板を全くトラブルなく高速に搬送す
ることは技術的に困難でコストもかかる。また、基板の
大型化に伴い装置も大型化し、装置や製造工場(クリー
ンルーム)の初期投資コスト、ランニングコストが膨大
なものになっている。このため、1台の装置で多くのプ
ロセスを連続して行い、極力基板を移動させないで製造
を行うことが強く求められている。
本実施例では、1)から3)までのプロセスは、基板
812を動かすことなくガスを切り替えることにより連
続して行うことができる。4)と5)のプロセスも同様
である。本実施例のプラズマプロセス装置は、誘電体シ
ャワープレート803と格子状シャワープレート809
から放出されるガスを切り替えることにより、1台の装
置で成膜、エッチング、酸化、窒化、アッシングなど多
数のプラズマプロセスを行えるという特徴があり、この
ような連続プロセスにも柔軟に対応できる。
以下の表3は、現在液晶ディスプレイ製造に広く用い
られている平行平板型プラズマプロセス装置(従来の装
置)と本実施例によるプラズマプロセス装置を用いて同
じプロセスを行った場合の結果を比較したものである。
シリコン窒化膜はゲート絶縁膜や層間絶縁膜として用
いられ、高耐圧でリーク電流が小さい膜を高速に成膜す
ることが求められている。本実施例の装置では、成膜表
面に入射するイオンのエネルギが従来の装置の1/3以
下と低く(4〜7eV)、薄膜にイオン照射ダメージを
与えることがないため、従来の3倍近い耐圧を有する高
品質シリコン窒化膜が形成されている。さらに、電子密
度が従来の平行平板型プラズマ装置より1桁程度高いた
め(>2×1012cm-3)、成膜速度が速く生産性が飛躍的
に向上する。
シリコン膜は、TFTの心臓部であるチャネル部に用
いられる。トランジスタの電流駆動能力を向上させるた
めに、チャネル移動度が高いシリコン膜を絶縁膜上に堆
積させる必要がある。従来の装置では、アモルファス膜
しか形成できなかったため移動度が非常に低かった
(0.2cm2/V・sec程度)。アモルファス膜にレーザーを
照射して多結晶化するレーザアニール処理を行うと高移
動度の多結晶シリコン膜が得られるが、処理に非常に時
間がかかり実用的ではない。本発明のマイクロ波プラズ
マプロセス装置を用いると、250℃という低い基板温
度でアニールなしで280cm2/V・sec程度の高移動度多
結晶シリコン膜がCVD法で堆積できた。また、高速成
膜が可能で生産性にも優れ、他に類を見ない画期的な薄
膜形成技術である。
n+シリコン膜は、TFTのソース、ドレインコンタク
ト部に用いられ、トランジスタの電流駆動能力を向上さ
せるためにキャリア密度が高く、抵抗率が小さいことが
求められる。本発明の装置を用いると、成膜表面に照射
するイオンのエネルギが小さいため膜にダメージを与え
ることがなく、キャリアの活性化率が向上してより抵抗
率の小さな膜が得られた。
表3の4)シリコン膜エッチングプロセス及び5)シ
リコン直接酸化プロセスは、基板812を装置外部に取
り出すことなく同一チャンバで連続して行われた。バッ
クゲート型TFTのソースとドレイン間のギャップをエ
ッチングした後、下地のソース、ドレインコンタクト用
n+シリコン(アモルファスまたはマイクロクリスタル)
膜を酸化して絶縁物(SiO2)に代えてソースとドレ
イン間を絶縁する行程である。エッチングは高速で行わ
なければならないが、本実施例の装置を用いるとプラズ
マ密度が高いためエッチング反応が促進されて従来の倍
以上のエッチング速度が得られた。
ソースとドレイン間の絶縁をとるには、n+シリコン膜
(膜厚15nm程度)の内部まで完全に酸化する必要が
ある。このとき、基板温度は350℃程度以下でなけれ
ばならない。従来の装置で基板温度300℃の低温プラ
ズマ酸化を行うと、3分で7nm程度の深さまでしか酸
化が進まない。このため、膜全体を酸化することができ
ず、ソースとドレイン間の絶縁はとれない。一方、本実
施例の装置では、基板温度300℃において3分の酸化
で28nm以上の深さまで酸化が進行するため、n+シリ
コン膜全体を酸化してソースとドレイン間を完全に絶縁
することが可能である。これは、電子密度が高いため酸
化種である酸素ラジカルが多量に生成され、かつ基板表
面への多量のイオン照射により酸素ラジカルの酸化膜中
の拡散が促進されるためである。
本発明は具体的に開示された上述の実施例に限定され
るものではなく、本発明の範囲を逸脱すること無く様々
な改良例及び変形例がなされるであろう。
フロントページの続き (56)参考文献 特開 平9−326383(JP,A) 特開 平6−260434(JP,A) 特開 平11−302824(JP,A) 特開 平8−111297(JP,A) 特開 平8−106994(JP,A) 国際公開98/33362(WO,A1) (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 H01L 21/205 H05H 1/46

Claims (15)

    (57)【特許請求の範囲】
  1. 【請求項1】 内部が減圧可能な容器(101)と、該容器
    内にガスを供給するガス供給システムと、該容器内に供
    給されたガスを排気するとともに該容器内を減圧するた
    めの排気システムとを有し、該容器(101)を構成する壁
    の一部はマイクロ波を実質的に損失なく透過する材料か
    らなる平板状の誘電体板(102)であり、該誘電体板と該
    容器内に励起されたプラズマの間にはマイクロ波を実質
    的に損失なく透過する材料からなる平板状の誘電体シャ
    ワープレート(103)を有し、該誘電体シャワープレート
    には複数のガス放出孔(107)が形成されており、該ガス
    供給システムにより供給されたガスのうち少なくとも一
    部は該誘電体板(102)と該誘電体シャワープレート(103)
    との間の隙間(104)を通って該複数のガス放出孔(107)か
    ら放出されるように構成されており、該誘電体板(102)
    を挟んで該容器(101)の外側には該誘電体板を通してプ
    ラズマ励起用のマイクロ波を供給するための平板状のス
    ロットアンテナ(110)を有し、該容器の内側には被処理
    基体(114)を保持する電極(115)が設けられ、該被処理基
    体(114)に対して処理を行うプラズマプロセス装置であ
    って、 該誘電体シャワープレート(103)と該被処理基体(114)と
    の間に、該誘電体シャワープレートから放出されるガス
    とは異なる組成のガスを該被処理基体側に放出する格子
    状シャワープレート(111;600;700)を有し、該誘電体シ
    ャワープレート(103)から放出されたガスにより該誘電
    体シャワープレートと該格子状シャワープレートとの間
    の空間で生成されたプラズマは該格子状シャワープレー
    トの開口部(206;607;707)を通って該被処理基体側に形
    成されたプラズマ拡散空間に流れ、該格子状シャワープ
    レートは該スロットアンテナから放射されたマイクロ波
    を反射するように構成されていることを特徴とするプラ
    ズマプロセス装置。
  2. 【請求項2】 請求項1記載のプラズマプロセス装置で
    あって、前記格子状シャワープレート(111:600)は金属
    管で構成され、該金属管の前記被処理基体側には複数の
    ガス放出孔(203:603)が設けられ、且つ該金属管は接地
    されていることを特徴とするプラズマプロセス装置。
  3. 【請求項3】 請求項2項記載のプラズマプロセス装置
    であって、前記金属管はアルミニウムを含んだステンレ
    スで構成され、表面は酸化アルミニウムを主体とする不
    働態膜で被覆されていることを特徴とするプラズマプロ
    セス装置。
  4. 【請求項4】 請求項1項記載のプラズマプロセス装置
    であって、前記誘電体シャワープレート(103)と前記格
    子状シャワープレート(111;600,700)とは実質的に互い
    に平行に配置されており、それらの間隔が前記マイクロ
    波の真空中での波長の1/4倍に実質的に等しいことを
    特徴とするプラズマプロセス装置。
  5. 【請求項5】 請求項1乃至4項のうちいずれか一項記
    載のプラズマプロセス装置であって、前記誘電体板(10
    2)と前記誘電体シャワープレート(103)は実質的に平行
    に配置されており、前記誘電体板(102)の前記スロット
    アンテナ側の面と前記誘電体シャワープレート(103)の
    前記被処理基体側の面との間の距離が、前記マイクロ波
    の該当部における波長の1/4の奇数倍に実質的に等し
    いことを特徴とするプラズマプロセス装置。
  6. 【請求項6】 請求項5記載のプラズマプロセス装置で
    あって、前記スロットアンテナ(110)と前記誘電体板(10
    2)は実質的に互いに平行に配置されており、それらの間
    隔が前記マイクロ波の該当部における波長の1/4の奇
    数倍に実質的に等しいことを特徴とするプラズマプロセ
    ス装置。
  7. 【請求項7】 請求項1乃至4のうちいずれか一項記載
    のプラズマプロセス装置であって、前記誘電体シャワー
    プレート(103)の厚さが、前記マイクロ波の該当部にお
    ける波長の1/2の整数倍に実質的に等しいことを特徴
    とするプラズマプロセス装置。
  8. 【請求項8】 請求項7項記載のプラズマプロセス装置
    であって、前記スロットアンテナ(110)と前記誘電体板
    (102)は実質的に互いに平行に配置されており、それら
    の間隔が前記マイクロ波の該当部における波長の1/4
    の奇数倍に実質的に等しいことを特徴とするプラズマプ
    ロセス装置。
  9. 【請求項9】 内部が減圧可能な容器(801)と、該容器
    内にガスを供給するガス供給システムと、該容器内に供
    給されたガスを排気するとともに該容器内を減圧するた
    めの排気システムとを有し、該容器(801)を構成する壁
    の一部はマイクロ波を実質的に損失なく透過する材料か
    らなる平板状の誘電体板(802)であり、該容器の該誘電
    体板以外の壁の少なくとも一部は接地された金属壁であ
    り、該誘電体板(802)および該金属壁と該容器内に励起
    されたプラズマの間にはマイクロ波を実質的に損失なく
    透過する材料からなる平板状の誘電体シャワープレート
    (803)を有し、該誘電体シャワープレートには複数のガ
    ス放出孔(807)が形成されており、該ガス供給システム
    により供給されたガスのうち少なくとも一部は該金属壁
    と該誘電体シャワープレート(803)との間の隙間(804)を
    通って該複数のガス放出孔(807)から放出されるように
    構成されており、該誘電体板(802)を挟んで該容器(801)
    の外側には壁の一部が該誘電体板(802)で構成された単
    一モードの導波管(808)を有し、該容器の内側には被処
    理基体(812)を保持する電極(813)を設け、該被処理基体
    に対して処理を行うプラズマプロセス装置において、 該誘電体シャワープレート(803)と該被処理基体(812)と
    の間には、該誘電体シャワープレートから放出されるガ
    スとは異なる組成のガスを該被処理基体側に放出する格
    子状シャワープレート(809)が設けられ、該誘電体シャ
    ワープレート(803)から放出されたガスにより該誘電体
    シャワープレートと該格子状シャワープレートとの間の
    空間で生成されたプラズマは該格子状シャワープレート
    (809)の開口部を通って該被処理基体側に形成されたプ
    ラズマ拡散空間に流れ、該格子状シャワープレートは該
    スロットアンテナから放射されたマイクロ波を反射する
    ように構成されていることを特徴とするプラズマプロセ
    ス装置。
  10. 【請求項10】 請求項9項記載のプラズマプロセス装
    置であって、前記格子状シャワープレート(809)は金属
    管で構成され、該金属管の前記被処理基体側の面には複
    数のガス放出孔(811)が設けられ、該金属管は接地され
    ていることを特徴とするプラズマプロセス装置。
  11. 【請求項11】 請求項10記載のプラズマプロセス装
    置であって、前記金属管はアルミニウムを含んだステン
    レスで構成され、表面は酸化アルミニウムを主体とする
    不働態膜で被覆されていることを特徴とするプラズマプ
    ロセス装置。
  12. 【請求項12】 請求項9乃至11のうちいずれか一項
    記載のプラズマプロセス装置であって、前記誘電体シャ
    ワープレート(803)と前記格子状シャワープレート(809)
    は実質的に互いに平行に配置されており、それらの間隔
    が前記マイクロ波の真空中での波長の1/4倍に実質的
    に等しいことを特徴とするプラズマプロセス装置。
  13. 【請求項13】 内部が減圧可能な容器(101)と、該容
    器内にガスを供給するガス供給システムと、該容器内に
    供給されたガスを排気するとともに該容器内を減圧する
    ための排気システムとを有し、該容器を構成する壁の一
    部はマイクロ波を実質的に損失なく透過する材料からな
    る平板状の誘電体板(102)であり、該誘電体板と該容器
    内に励起されたプラズマの間にはマイクロ波を実質的に
    損失なく透過する材料からなる平板状の誘電体シャワー
    プレート(103)を有し、該誘電体シャワープレートには
    複数のガス放出孔(107)が形成されており、該ガス供給
    システムにより供給されたガスのうち少なくとも一部は
    該誘電体板(102)と該誘電体シャワープレート(103)との
    間の隙間(104)を通って該複数のガス放出孔(107)から放
    出されるように構成されており、該誘電体板(102)を挟
    んで該容器(101)の外側には該誘電体板を通してプラズ
    マ励起用のマイクロ波を供給するための平板状のスロッ
    トアンテナ(110)を有し、該容器の内側には被処理基体
    (114)を保持する電極(115)を設け、該被処理基体に対し
    て処理を行うプラズマプロセス装置であって、 該スロットアンテナ(110)、該誘電体板(102)、該誘電体
    シャワープレート(103)はそれぞれ実質的に互いに平行
    に配置されており、該誘電体板(102)の該スロットアン
    テナ側の面と該誘電体シャワープレート(103)の該被処
    理基体側の面との間の距離が、該マイクロ波の該当部に
    おける波長の1/4の奇数倍に実質的に等しいことを特
    徴とするプラズマプロセス装置。
  14. 【請求項14】 請求項13記載のプラズマプロセス装
    置であって、前記誘電体シャワープレート(103)の厚さ
    が、前記マイクロ波の該当部における波長の1/2の整
    数倍に実質的に等しいことを特徴とするプラズマプロセ
    ス装置。
  15. 【請求項15】 請求項13又は14記載のプラズマプ
    ロセス装置であって、前記スロットアンテナ(110)と前
    記誘電体板(102)との間隔が、前記マイクロ波の該当部
    における波長の1/4の奇数倍に実質的に等しいことを
    特徴とするプラズマプロセス装置。
JP2001500327A 1999-05-26 2000-05-25 プラズマプロセス装置 Expired - Fee Related JP3384795B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP11-186258 1999-05-26
JP18625899 1999-05-26
PCT/JP2000/003365 WO2000074127A1 (fr) 1999-05-26 2000-05-25 Dispositif de traitement au plasma

Publications (1)

Publication Number Publication Date
JP3384795B2 true JP3384795B2 (ja) 2003-03-10

Family

ID=16185137

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001500327A Expired - Fee Related JP3384795B2 (ja) 1999-05-26 2000-05-25 プラズマプロセス装置

Country Status (6)

Country Link
US (3) US6830652B1 (ja)
EP (2) EP1879213B1 (ja)
JP (1) JP3384795B2 (ja)
KR (1) KR100416308B1 (ja)
TW (1) TW477009B (ja)
WO (1) WO2000074127A1 (ja)

Families Citing this family (194)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
JP4504511B2 (ja) * 2000-05-26 2010-07-14 忠弘 大見 プラズマ処理装置
KR100413145B1 (ko) * 2001-01-11 2003-12-31 삼성전자주식회사 가스 인젝터 및 이를 갖는 식각 장치
US7115184B2 (en) 2001-03-28 2006-10-03 Tadahiro Ohmi Plasma processing device
JP2002299331A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
JP5010781B2 (ja) * 2001-03-28 2012-08-29 忠弘 大見 プラズマ処理装置
JP2002299240A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
JP4402860B2 (ja) * 2001-03-28 2010-01-20 忠弘 大見 プラズマ処理装置
JP4727057B2 (ja) * 2001-03-28 2011-07-20 忠弘 大見 プラズマ処理装置
KR100828502B1 (ko) * 2001-04-14 2008-05-13 삼성전자주식회사 건식 식각 장치
JP4608827B2 (ja) * 2001-08-15 2011-01-12 ソニー株式会社 プラズマ処理装置及びプラズマ処理方法
JP4090225B2 (ja) * 2001-08-29 2008-05-28 東京エレクトロン株式会社 半導体装置の製造方法、及び、基板処理方法
JP2003166047A (ja) * 2001-09-20 2003-06-13 Shin Meiwa Ind Co Ltd ハロゲン化合物の成膜方法及び成膜装置、並びにフッ化マグネシウム膜
JP4252749B2 (ja) * 2001-12-13 2009-04-08 忠弘 大見 基板処理方法および基板処理装置
US6845734B2 (en) 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
JP4540926B2 (ja) * 2002-07-05 2010-09-08 忠弘 大見 プラズマ処理装置
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
JP4381001B2 (ja) * 2003-02-25 2009-12-09 シャープ株式会社 プラズマプロセス装置
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
JP4179041B2 (ja) * 2003-04-30 2008-11-12 株式会社島津製作所 有機el用保護膜の成膜装置、製造方法および有機el素子
EP1629522A4 (en) * 2003-05-30 2008-07-23 Aviza Tech Inc GAS DISTRIBUTION SYSTEM
WO2004108979A1 (ja) * 2003-06-02 2004-12-16 Shincron Co., Ltd. 薄膜形成装置及び薄膜形成方法
JP4502639B2 (ja) * 2003-06-19 2010-07-14 財団法人国際科学振興財団 シャワープレート、プラズマ処理装置、及び、製品の製造方法
JP2005093737A (ja) * 2003-09-17 2005-04-07 Tadahiro Omi プラズマ成膜装置,プラズマ成膜方法,半導体装置の製造方法,液晶表示装置の製造方法及び有機el素子の製造方法
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
JP4273932B2 (ja) * 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7879182B2 (en) 2003-12-26 2011-02-01 Foundation For Advancement Of International Science Shower plate, plasma processing apparatus, and product manufacturing method
TW200537695A (en) * 2004-03-19 2005-11-16 Adv Lcd Tech Dev Ct Co Ltd Insulating film forming method, insulating film forming apparatus, and plasma film forming apparatus
JP4659377B2 (ja) * 2004-03-19 2011-03-30 株式会社 液晶先端技術開発センター 絶縁膜の形成方法
JP4351571B2 (ja) * 2004-03-31 2009-10-28 財団法人国際科学振興財団 プラズマ処理方法及び電子装置の製造方法
KR100574569B1 (ko) * 2004-04-30 2006-05-03 주성엔지니어링(주) 박막 증착방법 및 분리된 퍼지가스 분사구를 구비하는박막 증착장치
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
DE112004002976T5 (de) * 2004-09-24 2007-11-08 Ohmi, Tadahiro, Sendai Organisches lichtemittierendes Element, Herstellungsverfahren hierfür und Anzeigevorrichtung
JP3913244B2 (ja) * 2004-10-21 2007-05-09 松下電器産業株式会社 基板処理方法
JP5503108B2 (ja) * 2004-11-29 2014-05-28 コーニンクレッカ フィリップス エヌ ヴェ 約1nmから約30nmの波長範囲の放射線を発生させる方法および機器、ならびにリソグラフィー装置
JP2006273670A (ja) * 2005-03-29 2006-10-12 Ngk Insulators Ltd アルミナ管
TW200640301A (en) * 2005-05-12 2006-11-16 Shimadzu Corp Surface wave plasma processing apparatus
JP4664119B2 (ja) * 2005-05-17 2011-04-06 東京エレクトロン株式会社 プラズマ処理装置
JP4506557B2 (ja) * 2005-05-18 2010-07-21 株式会社島津製作所 シャワーヘッドおよび表面波励起プラズマ処理装置
JP4597792B2 (ja) * 2005-06-27 2010-12-15 東京エレクトロン株式会社 処理ガス供給構造およびプラズマ処理装置
JP2007025117A (ja) * 2005-07-14 2007-02-01 Seiko Epson Corp 配向膜の製造装置、液晶装置、及び電子機器
EP1913172A2 (en) * 2005-07-29 2008-04-23 Aviza Technology, Inc. Gas manifold valve cluster
JP4724487B2 (ja) * 2005-08-02 2011-07-13 横浜ゴム株式会社 タイヤ加硫成形用金型の洗浄方法及びその装置
WO2007034747A1 (ja) * 2005-09-22 2007-03-29 Sekisui Chemical Co., Ltd. プラズマ処理装置
US20090218045A1 (en) * 2005-11-02 2009-09-03 Mitsuru Hiroshima Plasma processing apparatus
KR101046902B1 (ko) * 2005-11-08 2011-07-06 도쿄엘렉트론가부시키가이샤 샤워 플레이트 및 샤워 플레이트를 사용한 플라즈마 처리장치
US7493869B1 (en) * 2005-12-16 2009-02-24 The United States Of America As Represented By The Administration Of Nasa Very large area/volume microwave ECR plasma and ion source
JP5068458B2 (ja) * 2006-01-18 2012-11-07 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20080254220A1 (en) * 2006-01-20 2008-10-16 Tokyo Electron Limited Plasma processing apparatus
JP5082459B2 (ja) * 2006-01-20 2012-11-28 東京エレクトロン株式会社 プラズマ処理装置及び天板の製造方法
JP4915985B2 (ja) * 2006-02-06 2012-04-11 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2007258570A (ja) * 2006-03-24 2007-10-04 Mitsui Eng & Shipbuild Co Ltd プラズマ処理装置
JP2007273637A (ja) * 2006-03-30 2007-10-18 Tokyo Electron Ltd マイクロ波プラズマ処理装置,マイクロ波プラズマ処理装置の製造方法およびプラズマ処理方法
JP4978985B2 (ja) * 2006-03-30 2012-07-18 東京エレクトロン株式会社 プラズマ処理方法
JP4775641B2 (ja) * 2006-05-23 2011-09-21 株式会社島津製作所 ガス導入装置
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5425361B2 (ja) 2006-07-28 2014-02-26 東京エレクトロン株式会社 プラズマ表面処理方法、プラズマ処理方法およびプラズマ処理装置
KR101123538B1 (ko) * 2006-07-28 2012-03-15 도쿄엘렉트론가부시키가이샤 석영제부재
JP5005999B2 (ja) * 2006-09-29 2012-08-22 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の使用方法
JP5010234B2 (ja) 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
US9157191B2 (en) * 2006-11-02 2015-10-13 Apjet, Inc. Treatment of fibrous materials using atmospheric pressure plasma polymerization
JP5252613B2 (ja) * 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
JP5188496B2 (ja) * 2007-03-22 2013-04-24 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
KR101125086B1 (ko) * 2007-04-17 2012-03-21 가부시키가이샤 알박 성막장치
JP5364293B2 (ja) * 2007-06-01 2013-12-11 株式会社半導体エネルギー研究所 表示装置の作製方法およびプラズマcvd装置
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US8021975B2 (en) 2007-07-24 2011-09-20 Tokyo Electron Limited Plasma processing method for forming a film and an electronic component manufactured by the method
US8197913B2 (en) 2007-07-25 2012-06-12 Tokyo Electron Limited Film forming method for a semiconductor
JP5216446B2 (ja) * 2007-07-27 2013-06-19 株式会社半導体エネルギー研究所 プラズマcvd装置及び表示装置の作製方法
JP5058084B2 (ja) * 2007-07-27 2012-10-24 株式会社半導体エネルギー研究所 光電変換装置の作製方法及びマイクロ波プラズマcvd装置
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
KR101446249B1 (ko) 2007-12-03 2014-10-01 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치 제조방법
JP5572307B2 (ja) 2007-12-28 2014-08-13 株式会社半導体エネルギー研究所 光電変換装置の製造方法
KR101431197B1 (ko) * 2008-01-24 2014-09-17 삼성전자주식회사 원자층 증착설비 및 그의 원자층 증착방법
US8361276B2 (en) 2008-02-11 2013-01-29 Apjet, Inc. Large area, atmospheric pressure plasma for downstream processing
JP4585574B2 (ja) * 2008-02-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2009302324A (ja) * 2008-06-13 2009-12-24 Tokyo Electron Ltd ガスリング、半導体基板処理装置および半導体基板処理方法
JP5166297B2 (ja) 2009-01-21 2013-03-21 東京エレクトロン株式会社 酸化珪素膜の形成方法、半導体メモリ装置の製造方法およびコンピュータ読み取り可能な記憶媒体
US8491720B2 (en) 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
WO2010124261A2 (en) * 2009-04-24 2010-10-28 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group iii depositions
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
KR20120023040A (ko) * 2009-04-29 2012-03-12 어플라이드 머티어리얼스, 인코포레이티드 HVPE에서 인-시튜 사전-GaN 증착 층을 형성하는 방법
TWI556309B (zh) 2009-06-19 2016-11-01 半導體能源研究所股份有限公司 電漿處理裝置,形成膜的方法,和薄膜電晶體的製造方法
WO2011055644A1 (en) 2009-11-06 2011-05-12 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP2010062582A (ja) * 2009-11-17 2010-03-18 Tohoku Univ プラズマ処理装置
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US8598586B2 (en) * 2009-12-21 2013-12-03 Semiconductor Energy Laboratory Co., Ltd. Thin film transistor and manufacturing method thereof
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
WO2012032596A1 (ja) * 2010-09-06 2012-03-15 株式会社イー・エム・ディー プラズマ処理装置
TWI538218B (zh) 2010-09-14 2016-06-11 半導體能源研究所股份有限公司 薄膜電晶體
RU2484549C2 (ru) * 2010-10-25 2013-06-10 Российская Федерация, от имени которой выступает Государственная корпорация по атомной энергии "Росатом" Лазерно-плазменный генератор многозарядных ионов
JP2011049595A (ja) * 2010-11-08 2011-03-10 Advanced Lcd Technologies Development Center Co Ltd 絶縁膜の形成装置
TWI418264B (zh) * 2010-12-09 2013-12-01 Ind Tech Res Inst 電漿裝置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
WO2013122954A1 (en) * 2012-02-13 2013-08-22 Applied Materials, Inc. Linear pecvd apparatus
CN105274498B (zh) * 2012-05-11 2017-10-27 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
US9530621B2 (en) 2014-05-28 2016-12-27 Tokyo Electron Limited Integrated induction coil and microwave antenna as an all-planar source
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP6624833B2 (ja) * 2015-07-31 2019-12-25 東京エレクトロン株式会社 マイクロ波プラズマ源およびプラズマ処理装置
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
AT519217B1 (de) 2016-10-04 2018-08-15 Carboncompetence Gmbh Vorrichtung und Verfahren zum Aufbringen einer Kohlenstoffschicht
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
JP2019161165A (ja) * 2018-03-16 2019-09-19 東京エレクトロン株式会社 プラズマ処理装置
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
RU2685418C1 (ru) * 2018-07-03 2019-04-18 Федеральное государственное бюджетное учреждение "Институт теоретической и экспериментальной физики имени А.И. Алиханова Национального исследовательского центра "Курчатовский институт" Лазерно-плазменный генератор ионов с активной системой электростатической фокусировки пучка
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7233348B2 (ja) * 2019-09-13 2023-03-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11851758B2 (en) * 2021-04-20 2023-12-26 Applied Materials, Inc. Fabrication of a high temperature showerhead
TWI809706B (zh) * 2022-02-10 2023-07-21 緊固電子束科技有限公司 氣體擴散結構及其維修方法

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5364519A (en) * 1984-11-30 1994-11-15 Fujitsu Limited Microwave plasma processing process and apparatus
JPH0644558B2 (ja) * 1987-11-04 1994-06-08 住友金属工業株式会社 マイクロ波プラズマ発生装置
JPH0252328A (ja) 1988-08-15 1990-02-21 Fuji Photo Film Co Ltd 画像情報読取装置
JPH0252328U (ja) * 1988-09-30 1990-04-16
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
JP2993675B2 (ja) * 1989-02-08 1999-12-20 株式会社日立製作所 プラズマ処理方法及びその装置
JPH02237020A (ja) * 1989-03-10 1990-09-19 Fujitsu Ltd 半導体製造装置
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
DE69024719T2 (de) * 1989-08-14 1996-10-02 Applied Materials Inc Gasverteilungssystem und Verfahren zur Benutzung dieses Systems
JPH03120382A (ja) * 1989-10-04 1991-05-22 Hitachi Ltd プラズマ処理装置の冷却方法及び同冷却構造
US6545420B1 (en) * 1990-07-31 2003-04-08 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US6518195B1 (en) * 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
FR2693619B1 (fr) * 1992-07-08 1994-10-07 Valeo Vision Dispositif pour le dépôt de polymère par l'intermédiaire d'un plasma excité par micro-ondes.
JP3132599B2 (ja) 1992-08-05 2001-02-05 株式会社日立製作所 マイクロ波プラズマ処理装置
JPH06112141A (ja) * 1992-09-25 1994-04-22 Sumitomo Metal Ind Ltd マイクロ波プラズマ装置
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
JP2601127B2 (ja) * 1993-03-04 1997-04-16 日新電機株式会社 プラズマcvd装置
US5556475A (en) * 1993-06-04 1996-09-17 Applied Science And Technology, Inc. Microwave plasma reactor
JPH0734253A (ja) * 1993-07-20 1995-02-03 Hitachi Ltd マイクロ波プラズマ処理装置
TW293983B (ja) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
JP3422583B2 (ja) * 1994-03-23 2003-06-30 東京エレクトロン株式会社 処理装置
JP3136054B2 (ja) * 1994-08-16 2001-02-19 東京エレクトロン株式会社 プラズマ処理装置
US5698036A (en) * 1995-05-26 1997-12-16 Tokyo Electron Limited Plasma processing apparatus
JPH0963793A (ja) 1995-08-25 1997-03-07 Tokyo Electron Ltd プラズマ処理装置
JPH09115882A (ja) * 1995-10-19 1997-05-02 Hitachi Ltd プラズマ処理方法およびその装置
US5955382A (en) * 1995-10-30 1999-09-21 Kabushiki Kaisha Toshiba Microwave excitation plasma processing apparatus and microwave excitation plasma processing method
TW312815B (ja) * 1995-12-15 1997-08-11 Hitachi Ltd
TW340957B (en) * 1996-02-01 1998-09-21 Canon Hanbai Kk Plasma processor and gas release device
US5803975A (en) * 1996-03-01 1998-09-08 Canon Kabushiki Kaisha Microwave plasma processing apparatus and method therefor
JP4104026B2 (ja) * 1996-06-20 2008-06-18 財団法人国際科学振興財団 酸化不働態膜の形成方法並びに接流体部品及び流体供給・排気システム
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
JPH1064881A (ja) * 1996-08-20 1998-03-06 Hitachi Ltd プラズマエッチング装置及びプラズマエッチング方法
US5874706A (en) * 1996-09-26 1999-02-23 Tokyo Electron Limited Microwave plasma processing apparatus using a hybrid microwave having two different modes of oscillation or branched microwaves forming a concentric electric field
US6059922A (en) * 1996-11-08 2000-05-09 Kabushiki Kaisha Toshiba Plasma processing apparatus and a plasma processing method
JP3966932B2 (ja) * 1996-11-20 2007-08-29 富士通株式会社 アッシング装置
WO1998033362A1 (fr) * 1997-01-29 1998-07-30 Tadahiro Ohmi Dispositif a plasma
JP4016073B2 (ja) * 1998-04-22 2007-12-05 財団法人国際科学振興財団 酸化アルミニウム不働態膜の形成方法及び溶接方法並びに接流体部材及び流体供給・排気システム
JP3844274B2 (ja) * 1998-06-25 2006-11-08 独立行政法人産業技術総合研究所 プラズマcvd装置及びプラズマcvd方法
JP2000100790A (ja) * 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
JP2000195800A (ja) * 1998-12-24 2000-07-14 Rohm Co Ltd 表面処理装置
JP3430053B2 (ja) * 1999-02-01 2003-07-28 東京エレクトロン株式会社 プラズマ処理装置
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
KR100748798B1 (ko) * 1999-05-06 2007-08-13 동경 엘렉트론 주식회사 플라즈마 에칭 장치
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
JP3668079B2 (ja) * 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
JP2001203099A (ja) * 2000-01-20 2001-07-27 Yac Co Ltd プラズマ生成装置およびプラズマ処理装置
TW484187B (en) * 2000-02-14 2002-04-21 Tokyo Electron Ltd Apparatus and method for plasma treatment
EP1912253A3 (en) * 2000-03-13 2009-12-30 OHMI, Tadahiro Method of forming a dielectric film
JP4713752B2 (ja) * 2000-12-28 2011-06-29 財団法人国際科学振興財団 半導体装置およびその製造方法
JP5010781B2 (ja) * 2001-03-28 2012-08-29 忠弘 大見 プラズマ処理装置
US7115184B2 (en) * 2001-03-28 2006-10-03 Tadahiro Ohmi Plasma processing device
JP4001498B2 (ja) * 2002-03-29 2007-10-31 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成システム
JP4369264B2 (ja) * 2003-03-25 2009-11-18 東京エレクトロン株式会社 プラズマ成膜方法
US7879182B2 (en) * 2003-12-26 2011-02-01 Foundation For Advancement Of International Science Shower plate, plasma processing apparatus, and product manufacturing method
TW200537695A (en) * 2004-03-19 2005-11-16 Adv Lcd Tech Dev Ct Co Ltd Insulating film forming method, insulating film forming apparatus, and plasma film forming apparatus
JP4843274B2 (ja) * 2004-08-25 2011-12-21 東京エレクトロン株式会社 プラズマ成膜方法
JP2006135303A (ja) * 2004-10-05 2006-05-25 Tokyo Electron Ltd プラズマ成膜方法及びプラズマ成膜装置、並びにプラズマ成膜装置に用いられる記憶媒体
JP4701691B2 (ja) * 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
CN101189708A (zh) * 2005-05-31 2008-05-28 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
JP4997842B2 (ja) * 2005-10-18 2012-08-08 東京エレクトロン株式会社 処理装置
US20090041568A1 (en) * 2006-01-31 2009-02-12 Tokyo Electron Limited Substrate processing apparatus, substrate placing table used for same, and member exposed to plasma
JP5041713B2 (ja) * 2006-03-13 2012-10-03 東京エレクトロン株式会社 エッチング方法およびエッチング装置、ならびにコンピュータ読取可能な記憶媒体
JP5463536B2 (ja) * 2006-07-20 2014-04-09 北陸成型工業株式会社 シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5010234B2 (ja) * 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
JP5252613B2 (ja) * 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US8197913B2 (en) * 2007-07-25 2012-06-12 Tokyo Electron Limited Film forming method for a semiconductor
JP4486135B2 (ja) * 2008-01-22 2010-06-23 東京エレクトロン株式会社 温度制御機構およびそれを用いた処理装置
JP5396745B2 (ja) * 2008-05-23 2014-01-22 東京エレクトロン株式会社 プラズマ処理装置
JP4694596B2 (ja) * 2008-06-18 2011-06-08 東京エレクトロン株式会社 マイクロ波プラズマ処理装置及びマイクロ波の給電方法
JP5297885B2 (ja) * 2008-06-18 2013-09-25 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
JP5222040B2 (ja) * 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置

Also Published As

Publication number Publication date
EP1115147A1 (en) 2001-07-11
EP1879213A3 (en) 2010-05-19
TW477009B (en) 2002-02-21
KR20010072001A (ko) 2001-07-31
KR100416308B1 (ko) 2004-01-31
EP1879213B1 (en) 2012-03-14
US20040221809A1 (en) 2004-11-11
EP1879213A2 (en) 2008-01-16
WO2000074127A1 (fr) 2000-12-07
EP1115147A4 (en) 2007-05-02
US7520245B2 (en) 2009-04-21
US6830652B1 (en) 2004-12-14
US20090205782A1 (en) 2009-08-20
US7819082B2 (en) 2010-10-26

Similar Documents

Publication Publication Date Title
JP3384795B2 (ja) プラズマプロセス装置
JP3164200B2 (ja) マイクロ波プラズマ処理装置
US7083701B2 (en) Device and method for plasma processing, and slow-wave plate
JP4256763B2 (ja) プラズマ処理方法及びプラズマ処理装置
US7115184B2 (en) Plasma processing device
JP4524354B2 (ja) マイクロ波プラズマ処理装置、それに用いる誘電体窓部材および誘電体窓部材の製造方法
TW200414350A (en) Plasma treatment device
WO2002052628A1 (fr) Procede et appareil de traitement au plasma
TW200423213A (en) Plasma filming method and plasma filming device
JPH10134995A (ja) プラズマ処理装置及びプラズマ処理方法
JP2008243827A (ja) プラズマ処理方法
JP4478352B2 (ja) プラズマ処理装置及びプラズマ処理方法並びに構造体の製造方法
US20060281323A1 (en) Method of cleaning substrate processing apparatus
KR100425658B1 (ko) 마이크로파 공급기, 이를 구비한 플라즈마 처리 장치, 및 플라즈마 처리 방법
JP2005064062A (ja) プラズマ処理装置及びプラズマ処理方法
JPH0896990A (ja) プラズマ処理装置およびプラズマ処理方法
JP2002329716A (ja) プラズマ処理装置、プラズマ処理方法および素子の製造方法
JP4217420B2 (ja) マイクロ波プラズマ処理装置
KR100733440B1 (ko) 불소 첨가 카본막의 형성 방법
JPH0239533A (ja) 薄膜形成方法および装置
JPS63186876A (ja) プラズマcvd装置
JPH0513373A (ja) プラズマ処理装置
JP2617328C (ja)
JPH06333843A (ja) プラズマ処理装置およびプラズマ処理方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20021119

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111227

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111227

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141227

Year of fee payment: 12

LAPS Cancellation because of no payment of annual fees