TWI534291B - 噴淋頭組件 - Google Patents

噴淋頭組件 Download PDF

Info

Publication number
TWI534291B
TWI534291B TW100139184A TW100139184A TWI534291B TW I534291 B TWI534291 B TW I534291B TW 100139184 A TW100139184 A TW 100139184A TW 100139184 A TW100139184 A TW 100139184A TW I534291 B TWI534291 B TW I534291B
Authority
TW
Taiwan
Prior art keywords
plate
gas
gas passages
coupled
passages
Prior art date
Application number
TW100139184A
Other languages
English (en)
Other versions
TW201239132A (en
Inventor
K 奧葛多唐諾得J
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201239132A publication Critical patent/TW201239132A/zh
Application granted granted Critical
Publication of TWI534291B publication Critical patent/TWI534291B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/005Nozzles or other outlets specially adapted for discharging one or more gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Description

噴淋頭組件
本發明的實施例大體上係關於基材上的化學氣相沉積(CVD)所用的設備與方法,且尤其係關於一種噴淋頭組件,該噴淋頭組件是由緊固在一起的多個平板所製成,該多個平板是用於遞送多個前驅物通過該等板而不至於使多個前驅物在離開該噴淋頭前混合。
三五族膜在開發與製造各種半導體元件上日益重要,該等半導體元件是諸如短波長發光二極體(LED)、雷射二極體(LD),與包括高功率、高頻率、高溫電晶體及積體電路的電子元件。例如,短波長(例如藍/綠光至紫外光)的LED是透過使用三族氮化物半導體材料氮化鎵(GaN)所製造。已觀察到,相較於使用非氮化物半導體材料(諸如二六族材料)製造的短波長LED,使用GaN製造的短波長LED可提供顯著更大的效能與更長的操作壽命。
已用於沉積三族氮化物(諸如GaN)的一種方法是金屬有機化學氣相沉積(MOCVD)。此化學氣相沉積方法大體上是在具有溫控環境的反應器中執行,以確保第一前驅物氣體的穩定度,該第一前驅物氣體含有至少一種來自三族的元素,諸如鎵(Ga)。第二前驅物氣體(諸如氨(NH3))提供形成三族氮化物所需的氮。該兩種前驅物氣體注入反應器內的處理區,在該處該兩種前驅物氣體混合並且朝向處理區中被加熱的基材移動。載氣可用於幫助前驅物氣體朝基材輸送。前驅物在被加熱的基材的表面處反應而在基材表面上形成三族氮化物層,諸如GaN。膜的品質部分取決於沉積的均勻度,而沉積的均勻度進而取決於遍及基材上的均勻溫度下遍及基材上的前驅物的均勻混合。
多個基材可排列在基材載具上,且每一個基材可具有一直徑,該直徑範圍從50 mm至100 mm以上。更大型基材及/或更多基材上與較大沉積區域上前驅物均勻混合是增加產率及產量所期望的。該等因子是重要的,因為該等因子直接影響生產電子元件的成本,因而影響元件製造商在市場上的競爭力。
前驅物氣體與熱硬體部件的交互作用經常可見於LED或LD形成反應器的處理區中,該交互作用大體上引發前驅物裂解並且沉積在該等熱表面上。一般而言,熱反應器表面是由來自用於加熱基材的熱源的輻射所形成。熱表面上前驅物材料的沉積在發生於前驅物分配部件(諸如氣體分配裝置)中或該部件上時會特別有問題。前驅物分配部件上的沉積隨時間影響流動分配的均勻性。因此,氣體分配裝置可在沉積製程期間冷卻,而減少MOCVD前驅物(或HVPE前驅物)被加熱到一溫度的可能性,該溫度引發該等前驅物裂解且影響氣體分配裝置性能。
當期望的沉積面積增加,習知氣體分配裝置(設以遞送多種處理氣體給基材)的尺寸與複雜度增加,造成製造與輸送成本顯著增加。例如,在多個前驅物氣體分配裝置中,複數個歧管與氣體通路可形成於許多大型平板中,該等大型平板隨後堆疊並且永久地附接以形成多個前驅物氣體分配裝置。當氣體分配裝置增加至覆蓋1平方公尺以上的沉積區域且氣體分配通路數在數量上超過5000個時,製造該等裝置的複雜度與成本急遽增加。因此需要一種改良的氣體分配裝置,以提供後續沉積覆於更大型基材與更大的沉積區域上的膜中的改良的均勻度,同時減少氣體分配裝置的複雜度與製造成本。
本發明的一個實施例中,一種噴淋頭組件包含:第一板;第二板,耦接該第一板以形成氣體歧管;第三板,耦接該第二板並且具有一或多個溫度控制通道,該一或多個溫度控制通道配置在該第三板中;以及第四板,配置在該第二板與該第三板之間。該第三板具有複數個第一氣體通路以及複數個第二氣體通路,該等氣體通路被形成為穿過該第三板。該第四板具有複數個通道,該等通道形成於該第四板中並且流體連通式耦接該第三板中的該複數個第二氣體通路,且該第四板具有複數個氣體通路,該等氣體通路形成為穿過該第四板並且將該氣體歧管流體連通式耦接該第三板中的該複數個第一氣體通路。
另一實施例中,一種噴淋頭組件包含:第一板;第二板,耦接該第一板以形成複數個氣體歧管;第三板,耦接該第二板並且具有一或多個溫度控制通道,該一或多個溫度控制通道配置在該第三板中;以及第四板,配置在該第二板與該第三板之間。該第三板具有複數個第一氣體通路以及複數個第二氣體通路,該等氣體通路形成為穿過該第三板。該第四板具有複數個通道,該等通道形成於該第四板中並且流體連通式耦接該第三板中的該複數個第二氣體通路。該第四板具有複數個氣體通路,該等氣體通路形成為穿過該第四板並且將該複數個氣體歧管流體連通式耦接該第三板中的該複數個第一氣體通路。
又一實施例中,一種噴淋頭組件包含:第一板;第二板,耦接該第一板以形成第一氣體歧管與第二氣體歧管;第三板,耦接該第二板並且具有配置在該第三板中的一或多個溫度控制通道;以及第四板,配置在該第二板與該第三板之間。該第三板具有複數個第一氣體通路以及複數個第二氣體通路,該等氣體通路形成為穿過該第三板。該第四板具有複數個通道,該等通道形成於該第四板中並且流體連通式耦接該第三板中的該複數個第二氣體通路。該第四板具有複數個氣體通路,該等氣體通路形成為穿過該第四板並且流體連通式耦接該第三板中的該複數個第一氣體通路。該第四板具有一或多個壁,該一或多個壁將該複數個通道與該複數個氣體通路分隔成第一區域與第二區域。該第一區域中的該複數個氣體通路流體連通式耦接該第一氣體歧管,且該第二區域中的該複數個氣體通路流體連通式耦接該第二氣體歧管。
本發明的實施例大體上提供一種方法與設備,該方法與設備可用於透過分別提供多個前驅物氣體進入處理腔室的處理區域進行膜沉積。大體上該設備是由多個緊固在一起的平板所製的噴淋頭組件。該噴淋頭組件包括氣體歧管與分流板,該氣體歧管由頂板與中間板結合,而該分流板由中間板與底部熱交換板結合。第一處理氣體進入氣體歧管,並且第一處理氣體被分流板分流通過底板。第二氣體進入氣體歧管,並且第二氣體被分流板分流通過底板,使得該第一氣體與第二氣體不會在離開噴淋頭前混合。一個實施例中,第一處理氣體是在不同的流速及/或壓力下透過噴淋頭的中央區域與外側區域分配。此外,第二處理氣體可在不同的流速及/或壓力下透過噴淋頭的中央區域與外側區域分配。
第1圖是一示意平面圖,該平面圖圖示根據此述實施例的處理系統100,該處理系統100包括用於製造半導體元件的一或多個處理腔室102。處理系統100包含移送腔室106、處理腔室102(耦接移送腔室106)、裝載閉鎖腔室108(耦接移送腔室106)、批次裝載閉鎖腔室109(用於儲存基材,耦接移送腔室106),以及裝載站110(用於裝載基材,耦接裝載閉鎖腔室108)。移送腔室106容納機械臂組件(未圖示),可操作該機械臂組件在裝載閉鎖腔室108與處理腔室102之間拾起與移送基材。也可操作機械臂組件以從處理腔室102或裝載閉鎖腔室108移送基材到批次裝載閉鎖腔室,以將基材儲存於處理系統100的真空環境中。儘管圖中圖示單一處理腔室102,也可將超過一個處理腔室102耦接移送腔室106。處理腔室102可以是MOCVD腔室或HVPE腔室。此外儘管圖中圖示群集工具,然而可使用線性軌道系統執行此述的實施例。
在處理系統100中,機械臂組件(未圖示)將裝有基材的基材載具板112移送進入處理腔室102以進行沉積。在一些或所有沉積步驟已完成後,基材載具板112從處理腔室102透過移送機械臂移送回到裝載閉鎖腔室108。基材載具板112可隨後被移送到裝載站110。基材載具板112在進一步於MOCVD腔室102中處理之前,可儲存在裝載閉鎖腔室108或批次裝載閉鎖腔室109中。
每一處理腔室102大體上包括處理腔室主體150,該處理腔室主體150包圍基材經定位而進行處理的處理區域。每一處理腔室102進一步包括化學物質遞送模組152,氣體前驅物從該化學物質遞送模組152被遞送到腔室主體150,每一處理腔室102還進一步包括電模組154,該電模組154包括用於各處理腔室102的電系統。
系統控制器160控制處理系統100的活動與操作參數。系統控制器160包括電腦處理器與電腦可讀記憶體(與處理器耦接)。處理器執行系統控制軟體,諸如儲存在記憶體中的電腦程式。
第2圖是根據一個實施例的處理腔室102之示意剖面圖。處理腔室102包括腔室主體202,該腔室主體202具有噴淋頭組件201以及下圓頂219,該噴淋頭組件210配置在腔室102的上端,而該下圓頂219配置在腔室102的下端。噴淋頭組件201的內部細節顯示於第3A-3C圖與第4A-4D圖中,並且針對該等圖式討論該等內部細節。
基材支撐件214配置在腔室主體202內,使得處理空間208界定在基材支撐件214、噴淋頭組件201,以及腔室主體202的壁之間。化學物質遞送模組203耦接噴淋頭組件201以遞送前驅物氣體、載氣、清潔氣體及/或凈化氣體至處理空間208。此外,熱交換系統270耦接噴淋頭組件201用於使熱交換流體流過噴淋頭組件201以助於調節噴淋頭組件201的溫度。
遠端電漿源226可耦接在化學物質遞送模組203與噴淋頭組件201之間。可將清潔導管204配置成穿過噴淋頭組件201,以遞送清潔氣體至處理空間208。示範性的清潔氣體是含氯氣體、含氟氣體、含碘氣體、含溴氣體、含氮氣體,及/或其他反應性氣體。真空系統212耦接腔室主體202,用以排空處理空間208。處理期間,基材載具板112在處理空間208內定位於基材支撐件214上。致動器組件275附接基材支撐件214,並且該致動器組件275設以將基材支撐件214在處理位置與裝載位置之間朝噴淋頭組件201或遠離噴淋頭組件201移動。此外,致動器組件可設以在處理期間繞中央軸線“CA”旋轉基材支撐件214。從噴淋頭組件201相鄰處理空間208的表面至基材載具板112的距離在處理期間較佳為範圍是從約4 mm至約41 mm。某些實施例中,基材支撐件214具有加熱元件(例如電阻式加熱元件,未圖示),該加熱元件配置在該基材支撐件214中並且設以控制基材支撐件214的溫度,於是也控制定位在基材支撐件214上的基材載具板112以及定位在基材載具板112上的基材240的溫度。
下部空間210界定在基材支撐件214與下圓頂219之間。圖中顯示基材支撐件214在升高的處理位置處支撐基材載具板112,但基材支撐件214可以移動到下方的位置,在該下方的位置處,可裝載與卸載例如基材載具板112以及基材240。排氣環220可配置在基材載具板112的周邊周圍,以助於防止沉積發生在下圓頂219上,並且也幫助直接從腔室102排放氣體至排氣通口209。下圓頂219可由透明材料製成(諸如高純度石英),以使光得以通過而用於輻射加熱基材240。輻射加熱可由複數盞內側燈221A與外側燈221B提供,該等燈配置在下圓頂219下方。反射器266可用於幫助控制腔室102對輻射能(由內側燈221A與外側燈221B提供)的暴露。也可使用額外的燈環(未圖示)進行更細微的基材240的溫度控制。
可從化學物質遞送模組203通過凈化氣體管283遞送凈化氣體,該等凈化氣體管283配置在腔室主體202的底部附近。凈化氣體進入腔室102的下部空間210,並且凈化氣體向上流動經過基材載具板112以及排氣環220進入多個排氣通口209,該等排氣通口209配置在環狀排氣通道205周圍。凈化氣體在基材支撐件214與排氣環220之間的向上流動助於防止沉積氣體進入下部空間210並且防止膜沉積在下圓頂219的表面上。
如前文所記載,化學物質遞送模組203供應化學物質至處理腔室102。可通過供應線路從化學物質遞送模組203遞送反應性氣體(例如前驅物氣體)、載氣、凈化氣體,與清潔氣體且進入腔室102。大體上,用於每一氣體的供應線路包括關閉閥,該等關閉閥可用於自動或手動關閉進入該等閥的聯結線路的氣流,該等供應線路還包括質量流量控制器或其他類型的控制器,該等控制器量測通過供應線路的氣體或液體的流量。用於每一氣體的供應線路也包括濃度監視器,該等濃度監視器用於監視前驅物濃度並且提供即時的反饋。可納入背壓調節器以控制前驅物氣體濃度。閥切換控制件可用在快速且準確的閥切換能力上。氣體線路中的濕度感測器量測水位並且該等濕度感測器可提供反饋至系統軟體,進而可提供徵候/警報給操作者。氣體線路也可被加熱以防止前驅物與清潔氣體冷凝在供應線路中。
第3A圖是根據一個實施例的噴淋頭201的示意剖面圖。第5圖是第3A圖中所繪的噴淋頭201的示意分解正交圖。噴淋頭組件201包括耦接中間板320的頂板310。底板330配置在中間板320下方。頂板310可以是鋁或不鏽鋼板,該板具有穿過該板形成的一或多個氣體入口304,以遞送第一處理氣體進入氣體歧管325,該氣體歧管325形成在頂板310與中間板320之間。第3B圖是第3A圖中所示的中間板320的頂視圖。中間板320可以是鋁或不鏽鋼板,該板具有形成於該板中的井322以及穿過該板形成的複數個氣體通路324。如圖所示,複數個氣體通路324可排列成複數個列。可藉由將頂板310耦接中間板320而形成歧管325,該耦接是透過使用複數個螺釘312或其他適合的緊固件接合中間板320中的盲孔314而完成。頂板310與中間板320的嚙合表面可經切削使得當該頂板310與中間板320附接時,在頂板310與中間板320之間維持金屬對金屬的密封,如此,進入歧管325的流體是繞著噴淋頭組件201的周邊受到密封。或者,使用其他的密封手段維持流體密封,諸如使用O環。
可由化學物質遞送模組203將第一處理氣體通過一或多個氣體入口304遞送進入氣體歧管325。第一處理氣體在歧管325中混合,並且第一處理氣體通過複數個氣體通路324離開歧管325。一個範例中,第一處理氣體是金屬有機前驅物,諸如適合的鎵(Ga)前驅物、適合的鋁前驅物,或適合的銦(In)前驅物,該鎵(Ga)前驅物例如是三甲基鎵(TMG)、三乙基鎵(TEG),該鋁前驅物例如是三甲基鋁(TMA),而該銦(In)前驅物例如是三甲基銦(TMI)。
如第3A圖所繪,底板330包括耦接第二板334的第一板332,該第二板334具有形成在該第二板中的井336。第一板332可以是鋁或不鏽鋼板,該板具有複數個穿過該板形成的孔洞333。第二板334可以是鋁或不鏽鋼板,該板具有複數個穿過該板形成的孔洞335,每一該孔洞335對齊個別的孔洞333。如圖所示,孔洞333、335可排列成複數個列。複數個導管338配置在各對的對齊的孔洞333、335內,並且複數個導管338耦接第一板332與第二板334,該耦接例如是透過銅焊(brazing)或焊接(welding)完成。第一板332與第二板334透過例如銅焊或焊接而耦接在一起,使得單一通道337(有導管338通過該單一通道337)形成於第一板332與第二板334之間,並且繞噴淋頭組件201的周邊受到密封。此外,一或多個流體入口331與流體出口339形成於底板330中,該等入口與出口以流體連通式將通道337耦接熱交換系統270的入口與出口。
熱交換流體可從熱交換系統270通過一或多個流體入口331被遞送進入通道337。熱交換流體隨後通過環繞導管338的通道337循環。熱交換流體隨後通過一或多個流體出口339離開通道337,並且回到熱交換系統270。適合的熱交換流體包括水、以水為基礎的乙二醇混合物、全氟聚醚(perfluoropolyether,例如GALDEN®流體)、以油為基礎的熱交換流體,或類似流體,但不以此為限。
噴淋頭201進一步包括分流板340,該分流板340配置在中間板320與底板330之間。分流板340大體上維持流過噴淋頭201的兩個個別的氣體之間的隔離。第3C圖是第3A圖中所示的分流板340的頂視圖。分流板340可以由聚合物材料或金屬製成,該聚合物材料例如為聚四氟乙烯(PTFE)、氟化乙烯丙烯橡膠(FEP)、聚偏二氟乙烯(PVDF)、聚乙烯(PE),該金屬諸如為鋁或不鏽鋼。分流板340包括複數個第一氣體通路342以及許多導管338,該複數個第一氣體通路342配置成穿過該分流板340並且對齊氣體通路324。如圖所示,第一氣體通路342可對齊成複數個列而與中間板320的該複數個列的氣體通路324匹配。該數列的第一氣體通路342的可進一步與每隔一列(alternate row)的導管338對齊。
另外,通道344形成於分流板340中介於相鄰列的第一氣體通路342之間。複數個第二氣體通路346形成為穿過分流板340而位在每一通道344內,該第二氣體通路346與每隔一列的導管338對齊。分流板340進一步包括周邊通道348,該周邊通道348繞分流板340的周邊配置並且該周邊通道348與每一通道344流體連通。此外,中間板320包括配置成穿過該板的一或多個氣體入口328,以用於從化學物質遞送模組203遞送第二處理氣體進入周邊通道348。
透過使用複數個螺釘312或其他適合的緊固件將中間板320與底板330耦接在一起,該等螺釘312或其他適合的緊固件於底板330中接合盲孔316。中間板320與底板330的嚙合表面可經切削使得當該中間板320與底板330附接時,在中間板320與底板330之間維持金屬對金屬的密封,如此,進入周邊通道348的流體是繞著噴淋頭組件201的周邊受到密封。或者,使用其他的密封手段維持流體密封,諸如使用O環。
可通過一或多個氣體入口328透過化學物質遞送模組203將第二處理氣體遞送進入周邊通道348。第二處理氣體是從周邊通道348分配到通道344並且通過複數個第二氣體通路346遞送。一個實例中,第二處理氣體是合適的含氮處理氣體,諸如氨(NH3)或其他MOCVD或HVPE處理氣體。
分流板340被夾在中間板320與底板330之間。可將分流板340形成為使得分流板340與中間板320之間的嚙合表面(以及分流板340與底板330之間的嚙合表面)形成表面對表面的密封,使得從氣體歧管325通過第一氣體通路342遞送的流體不會與遞送到周邊通道348及通過第二氣體通路346的流體在通過個別導管338遞送之前混合。或者,使用其他密封手段維持流體密封,諸如使用O環。
參看第2圖與第3A圖,第一處理氣體(諸如金屬有機前驅物)可從化學物質遞送模組203通過一或多個氣體入口304被遞送到氣體歧管325。第一處理氣體隨後從氣體歧管325被遞送通過中間板中的複數個氣體通路324,以及通過分流板340中的第一氣體通路342。第一處理氣體隨後被遞送通過導管338並且進入處理空間208,該等導管338與第一氣體通路342對齊。
第二處理氣體(諸如氮前驅物)可實質上同時從化學物質遞送模組203通過一或多個氣體入口328被遞送進入周邊通道348。第二處理氣體從周邊通道348分配到通道344並且通過中間板320中的複數個第二氣體通路346。第二處理氣體隨後被遞送通過導管338並且進入處理空間208,而不在遞送進入處理空間208之前與第一處理氣體混合,該等導管338與第二氣體通路346對齊。
在第一與第二處理氣體被遞送通過噴淋頭201並且進入處理空間208的同時,熱交換流體可從熱交換系統270通過底板330中的一或多個流體入口331被遞送進入通道337。熱交換流體通過通道337循環,而冷卻第一與第二處理氣體以及底板330面向處理空間208的表面。熱交換流體隨後通過一或多個流體出口339離開通道337,並且回到熱交換系統270。
第4A圖是根據另一實施例的噴淋頭201的示意剖面圖。第6圖是第4A圖中所描繪的噴淋頭201的示意分解正交圖。第4A圖中顯示的實施例的特徵結構中多者與針對第3A圖所繪(及顯示於該圖中)的該等特徵結構相同,而不在此進一步描述該些特徵結構。如第4A圖所示,頂板410包括一或多個穿過該板形成的外側氣體入口404與一或多個穿過該板形成的內側氣體入口405,該等外側氣體入口404遞送處理氣體進入外側氣體歧管425,而該等內側氣體入口405個別遞送相同或不同的處理氣體進入內側氣體歧管426。一個實施例中,在不同的流速及/或壓力下將相同的處理氣體遞送到外側氣體歧管425與內側氣體歧管426。
第4B圖是第4A圖中所示的中間板420的頂視圖。中間板420具有外側井422與內側井423,該等井形成於該中間板420中並且被環狀壁421分開。穿過該中間板420形成的氣體通路424可排列成複數個列。外側與內側氣體歧管425、426是透過將頂板410耦接中間板420而形成,該耦接是如前文所述般透過使用複數個螺釘312或其他適合的緊固件所完成。頂板410與中間板420的嚙合表面可經切削使得當該頂板410與中間板420附接時,在中間板420與頂板410之間維持金屬對金屬的密封,如此,進入內側氣體歧管426的流體被密封而隔絕進入外側氣體歧管425的流體,反之亦然。此外,進入外側氣體歧管425的流體繞噴淋頭組件201的周邊受到密封。或者,使用其他的密封手段維持流體密封,諸如使用O環。
可透過化學物質遞送模組203將第一處理氣體以第一流速及/或壓力遞送通過一或多個氣體入口404進入外側氣體歧管425。可由化學物質遞送模組203將相同或不同的處理氣體以第二流速及/或壓力(有別於第一流速及/或壓力)遞送通過一或多個氣體入口405進入內側氣體歧管426。
如第4A圖中所繪,底板430是由單一鋁或不鏽鋼板製造。第4C圖是第4A圖中所示的底板430的頂視圖。顯示於第4A圖與第4C圖中的底板430具有穿過該板形成的複數個氣體通路433,如圖所示,該等氣體通路433排列成複數個列。底板430進一步具有複數個通道435,該等通道435形成於該數列氣體通路433之間。底板430也可具有形成於氣體通路433之間的複數個交叉通道436,該等交叉通道436呈現的方向為實質上垂直通道435的方向,並且該等交叉通道436流體連通第4C圖所示的通道435。此外,一或多個供應通道432與一或多個返回通道437形成於底板430中,該等通道432、437與通道435及/或交叉通道436流體連通。通道435、交叉通道436、供應通道432,與返回通道437分別與鋁或不鏽鋼帽蓋438(未在第4C圖中示出)密封,該等帽蓋438是以銅焊或雷射焊接至適當位置。另外,一或多個流體入口431與流體出口439形成在底板430中而透過供應通道432及返回通道437將通道435及/或交叉通道436流體連通式耦接熱交換系統270。
熱交換流體可由熱交換系統270透過一或多個流體入口431遞送到一或多個供應通道432。熱交換流體隨後透過通道435及/或交叉通道436循環而進入一或多個返回通道437。熱交換流體隨後透過一或多個流體出口439離開噴淋頭201並且返回熱交換系統270。
分流板440配置在中間板420與底板430之間,類似於針對第3A圖所描述且顯示在該圖中的該分流板。第4D圖是第4A圖中所示的分流板440的示意頂視圖。分流板440包括複數個第一氣體通路442以及許多氣體通路433,該複數個第一氣體通路442配置成穿過該分流板440並且對齊氣體通路424。第一氣體通路442可對齊成複數個列而與中間板420的該數列氣體通路424匹配。該數列第一氣體通路442可進一步與底板430中的每隔一列的氣體通路433對齊。
通道444形成於分流板440中位於相鄰列的第一氣體通路442之間。複數個第二氣體通路446形成為穿過分流板440而位在每一通道444內,該第二氣體通路446與底板430中的每隔一列的氣體通路433對齊。通道444被環狀壁465分隔成內側區域462與外側區域464(第4D圖)。環狀壁465可環繞形成於分流板440中的環狀通道467,該環狀通道467與內側區域462中的通道444流體連通。分流板440也具有一或多個形成在該板中的供應通道468,該等通道468用於從一或多個第一氣體入口429(形成在中間板420中並且耦接化學物質遞送模組203)供應第二處理氣體。分流板440進一步包括周邊通道448,該周邊通道448繞分流板440的周邊配置並且該周邊通道448與分流板440的外側區域464中的通道444流體連通。此外,中間板420包括配置成穿過該板的一或多個第二流體入口428,以用於從化學物質遞送模組203遞送相同或不同的第二處理氣體進入周邊通道448。
透過使用複數個螺釘312或其他適合的緊固件可將中間板420與底板430耦接在一起,該等螺釘312或其他適合的緊固件於底板430中接合盲孔316。中間板420與底板430的嚙合表面可經切削使得當該中間板420與底板430附接時,在中間板420與底板430之間維持金屬對金屬的密封,如此,進入周邊通道448的流體是繞著噴淋頭組件201的周邊受到密封。或者,使用其他的密封手段維持流體密封,諸如使用O環。
可透過化學物質遞送模組203以第一流速及/或壓力將第二處理氣體遞送通過一或多個第二流體入口428進入周邊通道448。第二處理氣體是從周邊通道448分配到外側區域464中的通道444並且第二處理氣體被遞送通過外側區域464中的複數個第二氣體通路446。同時,可由化學物質遞送模組203將相同或不同的處理氣體通過一或多個第一氣體入口429遞送到一或多個供應通道468。第二處理氣體從供應通道468分配到環狀通道467並且進入內側區域462中的通道444。第二處理氣體隨後透過內側區域462中的複數個第二氣體通路446遞送。遞送到內側區域462與外側區域464的第二處理氣體可以是處於第二流速及/或壓力(有別於第一流速及/或壓力)下的相同或不同的處理氣體。
分流板440被夾在中間板420與底板430之間。可將分流板440形成為使得分流板440與中間板420之間的嚙合表面(以及分流板440與底板430之間的嚙合表面)形成表面對表面的密封,使得從外側氣體歧管425與內側氣體歧管426通過第一氣體通路442遞送的流體不會與遞送到外側區域464及內側區域462及通過第二氣體通路446的流體在通過底板430中的個別氣體通路433遞送之前混合。或者,使用其他密封手段維持流體密封,諸如使用O環。
參看第2圖與第4A圖,可從化學物質遞送模組203以第一流速及/或壓力將第一處理氣體(諸如金屬有機前驅物)遞送到外側氣體歧管425。第一處理氣體隨後從外側氣體歧管425被遞送通過中間板中的複數個氣體通路424,並且被遞送通過在分流板440中的對齊的第一氣體通路442。第一處理氣體隨後被遞送通過底板430中的氣體通路433並且進入處理空間208,該等氣體通 路433對齊第一氣體通路442。
同時,可從化學物質遞送模組203以第二流速及/或壓力遞送第一處理氣體至內側氣體歧管426,該第二流速及/或壓力有別於被遞送到外側氣體歧管425的第一處理氣體的第一流速及/或壓力。第一處理氣體隨後從內側氣體歧管426被遞送通過中間板中的複數個氣體通路424,並且被遞送通過在分流板440中的對齊的第一氣體通路442。第一處理氣體隨後被遞送通過底板430中的氣體通路433並且進入處理空間208,該等氣體通路433對齊第一氣體通路442。因此,第一處理氣體可在不同的流速及/或壓力下被遞送到處理空間208的外側與內側區域,以提供基材處理期間更細微的控制。
第二處理氣體(諸如氮前驅物)可實質上同時從化學物質遞送模組203以第一流速及/或壓力通過一或多個流體入口428被遞送進入周邊通道448。第二處理氣體從周邊通道448分配到分流板440的外側區域464中的通道444並且通過分流板440的外側區域464中的複數個第二氣體通路446。第二處理氣體隨後被遞送通過與外側區域464中的第二氣體通路446對齊的氣體通路433並且進入處理空間208,而不在遞送進入處理空間208之前與第一處理氣體混合。
同時,第二處理氣體可由化學物質遞送模組203以第二流速及/或壓力通過一或多個第一氣體入口429被遞送至一或多個供應通道468,該第二流速及/或壓力有別於被遞送到周邊通道448的第二處理氣體的第一流速及/或壓力。第二處理氣體隨後從供應通道468分配到環狀通道467、進入內側區域462中的通道444,並且通過分流板440的內側區域462中的複數個第二氣體通路446。第二處理氣體隨後被遞送通過與內側區域462中的第二氣體通路446對齊的氣體通路433並且進入處理空間208,而不與被遞送通過外側區域464的第一處理氣體或第二處理氣體混合。因此,第二處理氣體可在不同的流速及/或壓力下被遞送到處理空間208的內側與外側區域,以提供基材處理期間更細微的控制。
在第一與第二處理氣體被遞送通過噴淋頭201且進入處理空間208的同時,熱交換流體可從熱交換系統270被遞送到底板430中的一或多個供應通道432。熱交換流體隨後通過通道435及/或交叉通道436循環,而冷卻第一與第二處理氣體以及底板430面向處理空間208的表面。熱交換流體隨後通過一或多個返回通道437離開底板430,並且回到熱交換系統270。
因此,本發明的實施例提供一種噴淋頭,該噴淋頭導進多種處理氣體而不使該等氣體在離開噴淋頭前混合。該噴淋頭具有多個板,該等板是使用適合的緊固件緊固在一起而非使用銅焊或焊接。透過緊固該多個板而不銅焊該等板以製造噴頭使得製造程序更簡單且更節省成本。此外,可對該等板之每一者於製造期間施加一或多個表面處理或塗層,且該噴淋頭相較於銅焊或焊接的噴淋頭而言,在清潔/翻新製程期間可易於拆卸而剝去、清潔,及/或再施加塗層。示範性的表面處理可包括噴珠(bead blasting)、噴砂(grit blasting),與類似處理。示範性的塗層可包括氧化鋁、氧化鋯釔、氧化釔、氧化鉻、碳化矽,與類似材料。
儘管第3A圖至第3C圖與第4A圖至第4D圖中顯示特定的噴淋頭配置方式,每一配置方式的某些態樣可同樣地應用到另一者。例如,針對第3A圖至第3C圖所顯示及描述的配置方式可包括第4A圖至第4D圖的多個歧管配置方式,以供分別將前驅物氣體遞送至處理空間的內側與外側區域。此外,顯示於第3A圖的配置方式可具有底板430以取代底板330,或顯示於第4A圖的配置方式可具有底板330以取代底板430。
此外,儘管此述的實施例大體上是針對MOCVD與HVPE製程所描述,然而所述的設備與方法可同樣地應用到多種處理氣體導進處理腔室而不在該等氣體離開噴淋頭前混合的其他製程。
雖然前述內容是涉及本發明的實施例,但是可設計本發明的其他與進一步的實施例但不應背離本發明的基本範疇,並且本發明的範疇由隨後的申請專利範圍決定。
100...處理系統
102...處理腔室
106...腔室
108...裝載閉鎖腔室
109...批次裝載閉鎖腔室
110...裝載站
112...基材載具板
150...腔室主體
152...化學物質遞送模組
154...電模組
160...系統控制器
201...噴淋頭組件
202...腔室主體
203...化學物質遞送模組
204...清潔導管
205...環狀排氣通道
208...處理空間
209...排氣通口
210...下部空間
212...真空系統
214...基材支撐件
219...下圓頂
220...排氣環
221A...內側燈
221B...外側燈
226...遠端電漿源
240...基材
266...反射器
270...熱交換系統
275...致動器組件
283...凈化氣體管
304...氣體入口
310...頂板
312...螺釘
314、316...接合盲孔
320...中間板
322...井
324...氣體通路
325...氣體歧管
328...氣體入口
330...底板
331...流體入口
332...第一板
333...孔洞
334...第二板
335...孔洞
336...井
337...通道
338...導管
339...流體出口
340...分流板
342...第一氣體通路
344...通道
346...第二氣體通路
348...周邊通道
404...外側氣體入口
405...內側氣體入口
410...頂板
420...中間板
421...環狀壁
422...外側井
423...內側井
424...氣體通路
425...外側氣體歧管
426...內側氣體歧管
428...第二流體入口
429...第一氣體入口
430...底板
431...流體入口
432...供應通道
433...氣體通路
435...通道
436...交叉通道
437...返回通道
438...不鏽鋼帽蓋
439...流體出口
440...分流板
442...第一氣體通路
444...通道
446...第二氣體通路
448...周邊通道
462...內側區域
464...外側區域
465...環狀壁
467...環狀通道
468...供應通道
CA...中央軸線
藉由參考實施例(一些實施例於附圖中說明),可獲得於【發明內容】中簡要總結的本發明之更特定的描述,而能夠詳細地瞭解於【實施方式】中記載的本發明之特徵結構。然而應注意附圖僅說明此發明的典型實施例,而不應將該等附圖視為限制本發明之範疇,因為本發明可容許其他等效實施例。
第1圖是一示意平面圖,該平面圖圖示根據此述實施例的處理系統,該處理系統用於製造複合式氮化物半導體元件。
第2圖是根據一個實施例的處理腔室之示意剖面圖。
第3A圖是根據一個實施例的噴淋頭的示意剖面圖。
第3B圖是第3A圖中所示的中間板的示意頂視圖。
第3C圖是第3A圖中所示的分流板的示意頂視圖。
第4A圖是根據另一實施例的噴淋頭的示意剖面圖。
第4B圖是第4A圖中所示的中間板的示意頂視圖。
第4C圖是第4A圖中所示的底板的示意頂視圖。
第4D圖是第4A圖中所示的分流板的示意頂視圖。
第5圖是第3A圖至第3C圖中所繪的噴淋頭的分解正交圖。
第6圖是第4A圖至第4D圖中所繪的噴淋頭的分解正交圖。
201...噴淋頭組件
203...化學物質遞送模組
204...清潔導管
270...熱交換系統
304...氣體入口
310...頂板
312...螺釘
314、316...接合盲孔
320...中間板
322...井
324...氣體通路
325...氣體歧管
328...氣體入口
330...底板
331...流體入口
332...第一板
333...孔洞
334...第二板
335...孔洞
336...井
337...通道
338...導管
339...流體出口
340...分流板
342...第一氣體通路
344...通道
346...第二氣體通路
348...周邊通道

Claims (20)

  1. 一種噴淋頭組件,包含:一第一板;一第二板,耦接該第一板以形成一氣體歧管;一第三板,耦接該第二板並且具有一或多個溫度控制通道,該一或多個溫度控制通道配置在該第三板中,該一或多個溫度控制通道與一處理空間隔離,且設以將一熱交換流體從該第三板中所形成的一入口循環到該第三板中所形成的一出口,其中該第三板具有複數個第一氣體通路以及複數個第二氣體通路,該等氣體通路被形成為穿過該第三板,該複數個第一氣體通路與該複數個第二氣體通路被該一或多個溫度控制通道所環繞;以及一第四板,配置在該第二板與該第三板之間,其中該第四板具有複數個通道,該等通道形成於該第四板中並且流體連通式耦接該第三板中的該複數個第二氣體通路,且其中該第四板具有複數個氣體通路,該等氣體通路形成為穿過該第四板並且將該氣體歧管流體連通式耦接該第三板中的該複數個第一氣體通路,該第四板具有一底表面,該底表面暴露至該處理空間。
  2. 如請求項1之組件,其中該第一板、第二板,與第三 板是使用複數個機械式緊固件耦接在一起。
  3. 如請求項1之組件,其中該第四板中的該等氣體通路與該第四板中的該等通道隔離。
  4. 如請求項1之組件,其中該第四板包含一聚合材料。
  5. 一種噴淋頭組件,包含:一第一板;一第二板,耦接該第一板以形成一氣體歧管;一第三板,耦接該第二板並且具有一或多個溫度控制通道,該一或多個溫度控制通道配置在該第三板中,其中該第三板具有複數個第一氣體通路以及複數個第二氣體通路,該等氣體通路被形成為穿過該第三板,其中該第三板包含一第五板,該第五板耦接一第六板,該第六板具有一單一溫度控制通道,該單一溫度控制通道配置在該第五板與該第六板之間;以及一第四板,配置在該第二板與該第三板之間,其中該第四板具有複數個通道,該等通道形成於該第四板中並且流體連通式耦接該第三板中的該複數個第二氣體通路,且其中該第四板具有複數個氣體通路,該等氣體通路形成為穿過該第四板並且將該氣體歧管流體連通式耦接該第三板中的該複數個第一氣體通路。
  6. 如請求項5之組件,其中該等第一氣體通路與該等第二氣體通路包含複數個流體導管,該等流體導管耦接該第五板與該第六板。
  7. 如請求項6之組件,其中該複數個流體導管配置成穿過該溫度控制通道。
  8. 如請求項1之組件,其中該第三板具有複數個溫度控制通道,該等溫度控制通道配置在該複數個第一氣體通路與該複數個第二氣體通路之間。
  9. 一種噴淋頭組件,包含:一第一板;一第二板,耦接該第一板以形成複數個氣體歧管;一第三板,耦接該第二板並且具有一或多個溫度控制通道,該一或多個溫度控制通道配置在該第三板中,該一或多個溫度控制通道與一處理空間隔離,且設以將一熱交換流體從該第三板中所形成的一入口循環到該第三板中所形成的一出口,其中該第三板具有複數個第一氣體通路以及複數個第二氣體通路,該等氣體通路形成為穿過該第三板,該複數個第一氣體通路與該複數個第二氣體通路被該一或多個溫度控制通道所環繞;以及 一第四板,配置在該第二板與該第三板之間,其中該第四板具有複數個通道,該等通道形成於該第四板中並且流體連通式耦接該第三板中的該複數個第二氣體通路,且其中該第四板具有複數個氣體通路,該等氣體通路形成為穿過該第四板並且將該複數個氣體歧管流體連通式耦接該第三板中的該複數個第一氣體通路,該第四板具有一底表面,該底表面暴露至該處理空間。
  10. 如請求項9之組件,其中該第四板具有一或多個壁,該一或多個壁將該複數個通道與該複數個氣體通路分隔成二或更多個隔離區域。
  11. 如請求項10之組件,其中該二或更多個隔離區域包括一內側區域與一外側區域。
  12. 如請求項11之組件,其中該內側區域共心地配置在該外側區域內。
  13. 如請求項9之組件,其中該第一板、第二板,與第三板是使用複數個機械式緊固件耦接在一起。
  14. 如請求項9之組件,其中該第四板包含一聚合材料。
  15. 一種噴淋頭組件,包含:一第一板;一第二板,耦接該第一板以形成一氣體歧管;一第三板,耦接該第二板並且具有一或多個溫度控制通道,該一或多個溫度控制通道配置在該第三板中,其中該第三板具有複數個第一氣體通路以及複數個第二氣體通路,該等氣體通路被形成為穿過該第三板,其中該第三板包含一第五板,該第五板耦接一第六板,該第六板具有一單一溫度控制通道,該單一溫度控制通道配置在該第五板與該第六板之間;以及一第四板,配置在該第二板與該第三板之間,其中該第四板具有複數個通道,該等通道形成於該第四板中並且流體連通式耦接該第三板中的該複數個第二氣體通路,且其中該第四板具有複數個氣體通路,該等氣體通路形成為穿過該第四板並且將該複數個氣體歧管流體連通式耦接該第三板中的該複數個第一氣體通路。
  16. 如請求項15之組件,其中該等第一氣體通路與該等第二氣體通路包含複數個流體導管,該等流體導管耦接該第五板與該第六板。
  17. 如請求項16之組件,其中該複數個流體導管配置成穿過該溫度控制通道。
  18. 如請求項9之組件,其中該第三板具有複數個溫度控制通道,該等溫度控制通道配置在該複數個第一氣體通路與該複數個第二氣體通路之間。
  19. 一種噴淋頭組件,包含:一第一板;一第二板,耦接該第一板以形成一第一氣體歧管與一第二氣體歧管;一第三板,耦接該第二板並且具有一或多個溫度控制通道,該一或多個溫度控制通道配置在該第三板中,該一或多個溫度控制通道與一處理空間隔離,且設以將一熱交換流體從該第三板中所形成的一入口循環到該第三板中所形成的一出口,其中該第三板具有複數個第一氣體通路以及複數個第二氣體通路,該等氣體通路形成為穿過該第三板,該複數個第一氣體通路與該複數個第二氣體通路被該一或多個溫度控制通道所環繞;以及一第四板,配置在該第二板與該第三板之間,其中該第四板具有複數個通道,該等通道形成於該第四板中並且流體連通式耦接該第三板中的該複數個第二氣體通路,其中該第四板具有複數個氣體通路,該等氣體通路形成為穿過該第四板並且流體連通式耦接該第三板中的該複數個第一氣體通路,該第四板具 有一底表面,該底表面暴露至該處理空間,其中該第四板具有一或多個壁,該一或多個壁將該複數個通道與該複數個氣體通路分隔成一第一區域與一第二區域,且其中該第一區域中的該複數個氣體通路流體連通式耦接該第一氣體歧管,且該第二區域中的該複數個氣體通路流體連通式耦接該第二氣體歧管。
  20. 如請求項19之組件,其中該第三板具有複數個溫度控制通道,該等溫度控制通道配置在該複數個第一氣體通路與該複數個第二氣體通路之間。
TW100139184A 2011-03-18 2011-10-27 噴淋頭組件 TWI534291B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201161454067P 2011-03-18 2011-03-18

Publications (2)

Publication Number Publication Date
TW201239132A TW201239132A (en) 2012-10-01
TWI534291B true TWI534291B (zh) 2016-05-21

Family

ID=46827689

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100139184A TWI534291B (zh) 2011-03-18 2011-10-27 噴淋頭組件

Country Status (6)

Country Link
US (1) US9057128B2 (zh)
JP (2) JP5912140B2 (zh)
KR (1) KR101903950B1 (zh)
CN (1) CN103443903B (zh)
TW (1) TWI534291B (zh)
WO (1) WO2012128789A1 (zh)

Families Citing this family (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103789747B (zh) * 2012-10-26 2016-03-09 中微半导体设备(上海)有限公司 一种气体喷淋头及制作该气体喷淋头的方法
US9982343B2 (en) * 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
DE102013101534A1 (de) * 2013-02-15 2014-08-21 Aixtron Se Gasverteiler für einen CVD-Reaktor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
CN104889111B (zh) * 2014-03-05 2018-05-25 北京北方华创微电子装备有限公司 一种腔室
CN106463344B (zh) * 2014-05-16 2019-10-11 应用材料公司 喷头设计
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
WO2016052333A1 (ja) * 2014-09-30 2016-04-07 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
CN105695952A (zh) * 2014-11-26 2016-06-22 广东昭信半导体装备制造有限公司 热壁式金属有机物化学气相沉积喷淋装置及工艺方法
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
CN104498905B (zh) * 2015-01-05 2017-03-01 中国科学院半导体研究所 用于金属有机化合物化学气相沉积设备反应室的进气顶盘
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10648080B2 (en) * 2016-05-06 2020-05-12 Applied Materials, Inc. Full-area counter-flow heat exchange substrate support
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
WO2017209802A1 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. Effective and novel design for lower particle count and better wafer quality by diffusing the flow inside the chamber
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
TWI609720B (zh) * 2016-09-30 2018-01-01 漢民科技股份有限公司 應用於半導體設備之氣體噴射裝置
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN110050333B (zh) * 2016-12-08 2023-06-09 应用材料公司 时间性原子层沉积处理腔室
US10604841B2 (en) * 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
JP2021521648A (ja) * 2018-04-17 2021-08-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 加熱されるセラミック面板
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP6852040B2 (ja) * 2018-11-16 2021-03-31 大陽日酸株式会社 半導体製造装置部品の洗浄装置、半導体製造装置部品の洗浄方法、及び半導体製造装置部品の洗浄システム
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11598004B2 (en) 2019-03-11 2023-03-07 Applied Materials, Inc. Lid assembly apparatus and methods for substrate processing chambers
SG11202112203VA (en) * 2019-05-15 2021-12-30 Applied Materials Inc Dynamic multi zone flow control for a processing system
US11555244B2 (en) * 2019-11-05 2023-01-17 Applied Materials, Inc. High temperature dual chamber showerhead
KR20220093206A (ko) * 2019-11-08 2022-07-05 어플라이드 머티어리얼스, 인코포레이티드 가스 전달 조절을 위한 챔버 컴포넌트들
JP2023512451A (ja) * 2020-01-13 2023-03-27 ラム リサーチ コーポレーション 溝の輪郭を最適化するために複数のゾーンを有するガス分配プレート
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
US20220010431A1 (en) * 2020-07-08 2022-01-13 Applied Materials, Inc. Multiple-channel showerhead design and methods in manufacturing
US11694908B2 (en) * 2020-10-22 2023-07-04 Applied Materials, Inc. Gasbox for semiconductor processing chamber
WO2023177950A1 (en) * 2022-03-17 2023-09-21 Lam Research Corporation Dual plenum showerhead with center to edge tunability
JP2024021368A (ja) * 2022-08-03 2024-02-16 日本発條株式会社 成膜用反応性ガスを射出するためのヘッドとその製造方法

Family Cites Families (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4851295A (en) 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US5348911A (en) 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
DD271776A1 (de) 1988-05-06 1989-09-13 Elektromat Veb Vorrichtung zur gaszufuehrung und -ableitung fuer die gasphasenbearbeitung von werkstuecken
JPH02234419A (ja) 1989-03-07 1990-09-17 Koujiyundo Kagaku Kenkyusho:Kk プラズマ電極
USD329839S (en) 1990-01-31 1992-09-29 Hohner Automation Societe Anonyme Incremental coder
WO1992022084A1 (en) 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
JPH09501612A (ja) 1994-04-08 1997-02-18 マーク エー. レイ, 選択的プラズマ成長
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5715361A (en) 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JPH0945670A (ja) 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6270569B1 (en) 1997-06-11 2001-08-07 Hitachi Cable Ltd. Method of fabricating nitride crystal, mixture, liquid phase growth method, nitride crystal, nitride crystal powders, and vapor phase growth method
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6086673A (en) 1998-04-02 2000-07-11 Massachusetts Institute Of Technology Process for producing high-quality III-V nitride substrates
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6218280B1 (en) 1998-06-18 2001-04-17 University Of Florida Method and apparatus for producing group-III nitrides
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6413839B1 (en) 1998-10-23 2002-07-02 Emcore Corporation Semiconductor device separation using a patterned laser projection
US6373114B1 (en) 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
KR100304664B1 (ko) 1999-02-05 2001-09-26 윤종용 GaN막 제조 방법
US6309465B1 (en) 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100416308B1 (ko) 1999-05-26 2004-01-31 동경 엘렉트론 주식회사 플라즈마 처리 장치
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6569765B1 (en) 1999-08-26 2003-05-27 Cbl Technologies, Inc Hybrid deposition system and methods
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6897119B1 (en) 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
WO2001057289A1 (de) * 2000-02-04 2001-08-09 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
JP4849705B2 (ja) 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
US6451713B1 (en) 2000-04-17 2002-09-17 Mattson Technology, Inc. UV pretreatment process for ultra-thin oxynitride formation
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US6616870B1 (en) 2000-08-07 2003-09-09 Shipley Company, L.L.C. Method of producing high aspect ratio domes by vapor deposition
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
DE10048759A1 (de) 2000-09-29 2002-04-11 Aixtron Gmbh Verfahren und Vorrichtung zum Abscheiden insbesondere organischer Schichten im Wege der OVPD
DE10056029A1 (de) 2000-11-11 2002-05-16 Aixtron Ag Verfahren und Vorrichtung zur Temperatursteuerung der Oberflächentemperaturen von Substraten in einem CVD-Reaktor
DE10057134A1 (de) 2000-11-17 2002-05-23 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten sowie Vorrichtung zur Durchführung des Verfahrens
US6905547B1 (en) 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
KR100434487B1 (ko) 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
KR100756107B1 (ko) * 2001-02-09 2007-09-05 동경 엘렉트론 주식회사 성막 장치
JP4260404B2 (ja) * 2001-02-09 2009-04-30 東京エレクトロン株式会社 成膜装置
US6886491B2 (en) 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
JP2004525518A (ja) 2001-03-30 2004-08-19 テクノロジーズ アンド デバイス インターナショナル インコーポレイテッド Hvpe技術を使用したサブミクロンiii属窒化物構造を成長させる方法および装置
DE10118130A1 (de) 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
DE10124609B4 (de) 2001-05-17 2012-12-27 Aixtron Se Verfahren zum Abscheiden aktiver Schichten auf Substraten
US7103443B2 (en) 2001-06-29 2006-09-05 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
JP2003124125A (ja) 2001-10-12 2003-04-25 Applied Materials Inc 半導体製造装置
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
EP1459362A2 (de) 2001-12-21 2004-09-22 Aixtron AG Verfahren zum abscheiden von iii-v-halbleiterschichten auf einem nicht-iii-v-substrat
DE10163394A1 (de) 2001-12-21 2003-07-03 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden kristalliner Schichten und auf kristallinen Substraten
KR100568701B1 (ko) 2002-06-19 2006-04-07 니폰덴신뎅와 가부시키가이샤 반도체 발광 소자
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
JP4352783B2 (ja) 2002-08-23 2009-10-28 東京エレクトロン株式会社 ガス供給系及び処理システム
US7115896B2 (en) 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4026529B2 (ja) 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
CN101068950A (zh) 2003-05-30 2007-11-07 阿维扎技术公司 气体分配系统
DE102004009130A1 (de) 2004-02-25 2005-09-15 Aixtron Ag Einlasssystem für einen MOCVD-Reaktor
KR101309334B1 (ko) * 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
US7368368B2 (en) 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
DE102004058521A1 (de) 2004-12-04 2006-06-14 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter
KR100578089B1 (ko) 2004-12-22 2006-05-10 주식회사 시스넥스 수소화물기상증착 반응기
KR101145755B1 (ko) 2005-03-10 2012-05-16 재팬 사이언스 앤드 테크놀로지 에이젼시 평면의 반극성 갈륨 질화물의 성장을 위한 기술
US7195934B2 (en) 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
JP4803578B2 (ja) 2005-12-08 2011-10-26 東京エレクトロン株式会社 成膜方法
JP4911984B2 (ja) * 2006-02-08 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びシャワーヘッド
US7364991B2 (en) 2006-04-27 2008-04-29 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
US7585769B2 (en) 2006-05-05 2009-09-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
JP2008066490A (ja) 2006-09-06 2008-03-21 Nippon Emc Ltd 気相成長装置
JP2008243938A (ja) * 2007-03-26 2008-10-09 Nuflare Technology Inc 熱cvd方法および熱cvd装置
JP2009088229A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
US20090149008A1 (en) 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090194026A1 (en) 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
KR101019953B1 (ko) 2008-05-22 2011-03-09 주식회사 테스 가스 공급 장치
KR100997104B1 (ko) 2008-07-04 2010-11-29 주식회사 테스 반도체 제조용 샤워헤드 및 이 샤워헤드를 구비한 반도체제조장치
JP4576466B2 (ja) * 2009-03-27 2010-11-10 シャープ株式会社 気相成長装置及び気相成長方法
JP2010245135A (ja) * 2009-04-02 2010-10-28 Sharp Corp 気相成長装置
JP4699545B2 (ja) * 2009-07-06 2011-06-15 シャープ株式会社 気相成長装置及び気相成長方法
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead

Also Published As

Publication number Publication date
CN103443903A (zh) 2013-12-11
JP2014512458A (ja) 2014-05-22
JP2016164994A (ja) 2016-09-08
KR20140023934A (ko) 2014-02-27
JP6360849B2 (ja) 2018-07-18
CN103443903B (zh) 2016-05-04
US20120234945A1 (en) 2012-09-20
KR101903950B1 (ko) 2018-10-04
US9057128B2 (en) 2015-06-16
WO2012128789A1 (en) 2012-09-27
JP5912140B2 (ja) 2016-04-27
TW201239132A (en) 2012-10-01

Similar Documents

Publication Publication Date Title
TWI534291B (zh) 噴淋頭組件
US20120064698A1 (en) Multiple section showerhead assembly
US10130958B2 (en) Showerhead assembly with gas injection distribution devices
US9449859B2 (en) Multi-gas centrally cooled showerhead design
TWI570258B (zh) 具有高放射率表面的氣體散佈噴頭
TWI465294B (zh) 具有多氣體直通道之噴頭
EP1129234B1 (en) Dual channel gas distribution plate
US20090095222A1 (en) Multi-gas spiral channel showerhead
US20120167824A1 (en) Cvd apparatus
WO2014198134A1 (zh) 一种用于金属有机化学气相沉积反应器的管道冷却式气体分布装置
TWM464459U (zh) 金屬有機化學氣相沉積反應器的氣體分佈裝置及反應器
KR20150125884A (ko) 유기금속 화학기상 증착장치의 노즐 유닛