CN106463344B - 喷头设计 - Google Patents

喷头设计 Download PDF

Info

Publication number
CN106463344B
CN106463344B CN201580021593.2A CN201580021593A CN106463344B CN 106463344 B CN106463344 B CN 106463344B CN 201580021593 A CN201580021593 A CN 201580021593A CN 106463344 B CN106463344 B CN 106463344B
Authority
CN
China
Prior art keywords
gas
unit
reflecting plate
baffle
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201580021593.2A
Other languages
English (en)
Other versions
CN106463344A (zh
Inventor
卡尔蒂克·萨哈
柴塔尼亚·A·普拉萨德
凯文·J·鲍蒂斯塔
杰弗里·托宾
乌梅什·M·科尔卡
劳拉·哈夫雷查克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201910869304.4A priority Critical patent/CN110724938B/zh
Publication of CN106463344A publication Critical patent/CN106463344A/zh
Application granted granted Critical
Publication of CN106463344B publication Critical patent/CN106463344B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Abstract

本文所述的实施方式涉及具有反射板的喷头,反射板带有用于径向分配气体的气体注入插件。在一个实施方式中,喷头组件包括反射板与气体注入插件。反射板包括至少一个气体注入口。气体注入插件设置于反射板中,且包括多个孔。气体注入插件还包括设置于气体注入插件中的挡板,其中挡板还包括多个孔。第一气室形成于挡板的第一部分与反射板之间,及第二气室形成于挡板的第二部分与反射板之间。气体注入插件的多个孔与挡板的多个孔不轴向对齐。

Description

喷头设计
公开内容背景
技术领域
本公开内容的实施方式总体涉及具有反射板的喷头设计,反射板带有用于径向分配气体的气体注入插件。
背景技术
对半导体基板进行处理以适用于各式各样的应用,包括集成器件与微型器件的制造。处理基板的一个方法包括将氧自由基沉积于基板的上表面上。例如,加州圣塔克拉拉的应用材料公司(Applied Materials,Inc.,of Santa Clara,California)提供以灯加热基板并将氢与氧注入处理腔室的工艺。当气体撞击基板表面而在基板上形成一层时,气体形成自由基(radical),例如氧自由基于硅基板上形成二氧化硅层。
用于300mm基板上的氧自由基沉积的现有处理腔室喷头具有受限的沉积控制,而导致不良的处理均匀性。例如,对于氧自由基沉积的低的处理腔室压力要求及现有的喷头设计导致气体以高速到达基板。气体的高速造成对基板的撞击及使得气体无法充分地被加热。在另一方面,燃烧产生的氧自由基快速重新组合而产生氧自由基的短生命周期。因此,因气体的高速而产生的有限的沉积控制结合氧自由基的短生命周期导致在基板的中心处更多的沉积,以及在基板边缘处不良的沉积。
因此,对于为整个基板,即从中心到边缘提供更均匀的沉积控制的改良喷头设计存在需求。
发明内容
在一个实施方式中,本文公开一种喷头组件。该喷头组件包括反射板与气体注入插件(insert)。反射板具有至少一个气体注入口,至少一个气体注入口穿过反射板而设置。气体注入插件设置于反射板中。气体注入插件具有多个孔。气体注入插件包括挡板。挡板设置于气体注入插件中。挡板具有多个孔。第一气室于挡板的第一部分与反射板之间形成。第二气室于挡板的第二部分与反射板之间形成。气体注入插件的多个孔与挡板的多个孔不轴向对齐。
在另一个实施方式中,本文公开一种处理腔室。该处理腔室包括基板支撑件与喷头组件。基板支撑件经配置而在处理期间转动基板。喷头组件设置于基板支撑件上。喷头组件包括反射板与气体注入插件。反射板具有第一气体注入口与第二气体注入口,第一气体注入口与第二气体注入口穿过反射板而设置。气体注入插件设置于反射板中。气体注入插件具有多个孔。气体注入插件包括至少两挡板,至少两挡板径向设置于反射板中心附近的气体注入插件中。各挡板具有多个孔。第一气室于挡板的第一部分与反射板之间形成。第二气室于挡板的第二部分与反射板之间形成。第一气室借由反射板的壁与第二气室分隔。气体注入插件的多个孔与挡板的多个孔不轴向对齐。
又在另一个实施方式中,本文公开一种喷头组件。该喷头组件包括反射板与气体注入插件。反射板具有第一气体注入口与第二气体注入口,第一气体注入口与第二气体注入口穿过反射板而设置,以将气体传送至第一气室与第二气室。气体注入插件设置于反射板中,并设置于第一与第二气室的下方。气体注入插件包括多个孔。孔的数量与尺寸基于流动通过第一与第二气体注入口的气体的流动速率而选择。气体注入插件包括设置于气体注入插件中的挡板。挡板暴露于第一与第二气室。配置挡板以降低流动通过第一与第二气室的气体的流动速率。
附图说明
以上简要概述的本公开内容的上述详述特征可以被详细理解的方式、及对本公开内容的更特定描述,可以通过参照实施方式获得,本公开内容的实施方式的一些实施方式绘示于附图中。然而,值得注意的是,所述附图仅绘示了本公开内容的典型实施方式,因而不应视为对本公开内容的范围的限制,因为本公开内容可允许其它等同有效的实施方式。
图1是根据本公开内容的一个实施方式的处理腔室的示意图。
图2A根据本公开内容的一个实施方式绘示喷头组件的放大图。
图2B根据本公开内容的一个实施方式绘示具有设置于挡板的气体注入插件的挡板的截面放大图。
图3根据本公开内容的一个实施方式绘示反射板的放大底视图。
图4根据本公开内容的一个实施方式绘示气体注入插件的放大顶视图。
图5根据本公开内容的一个实施方式绘示气体注入插件的放大底视图。
为了便于理解,尽可能地使用了相同的数字符号标示附图中共通的相同元件。考虑到,一个实施方式中的元件与特征在没有进一步描述的情况下可有益地并入其它实施方式中。
具体实施方式
图1根据本公开内容的一个实施方式绘示快速热处理腔室100的示意图。虽然本公开内容的具体内容被描述为用于快速热处理腔室内,但是本文所述的实施方式可用于对于均匀沉积有需求的其它处理系统与器件,诸如其它的沉积腔室及蚀刻腔室。
处理腔室100包括无接触或磁悬浮基板支撑件104及腔室主体102。腔室主体102具有侧壁108、底壁110及顶壁112。侧壁108、底壁110及顶壁112界定内部空间121。顶壁112包括具有喷头组件127的盖部116。侧壁108通常包括至少一个基板出入口148。基板出入口148利于基板140进入与离开(egress)。处理腔室100也可包括设置于基板支撑件104的内直径中的辐射热源106。
基板支撑件104经调适以磁悬浮或旋转内部空间121内的基板(未图示)。基板支撑件104包括环状主体199。环状主体199包括磁性环部分130及基板支撑部分132。支撑部分132自磁性环部分130的上表面延伸以支撑支撑环134。支撑环134便于校准并为基板140提供容纳表面。
处理腔室100还包括窗114,窗114由对各种波长的热与光透明的材料制成。各种波长可包括红外线(IR)光谱范围的光,借由红外线(IR)光谱范围的光来自辐射热源106的光子可以加热基板140。窗114也可包括多个升降杆144。升降杆144经调适以选择性地接触与支撑基板140,从而便于基板140的传送进入和离开处理腔室100。
在一个实施方式中,辐射热源106包括由壳体形成的灯组件。壳体包括冷却组件161中的多个蜂窝管160。蜂窝管160与冷却源183耦接。
大气控制系统164也耦接至腔室主体102的内部空间121。大气控制系统164一般包括用于控制腔室压力的节流阀及真空泵。
喷头组件127经配置而将一或多个气体传送到基板140。喷头组件127包括设置于盖部116下方的反射板118。反射板118面向基板支撑件104。反射板118被配置成将自基板140辐射出的IR光反射回基板140上。冷却板115可选地围绕反射板118来设置并限定(circumscribe)反射板118以冷却反射板118。
在一个实施方式中,喷头组件127包括穿过盖部116与反射板118而形成的至少一第一气体注入口138与一第二气体注入口128。喷头组件127的第一气体注入口138与第二气体注入口128的放大示意图可见于图2A。第一气体注入口138经配置而将气体以大体径向向内的方向从第一气体源123注入至第一气室129。第二气体注入口128经配置而将气体以大体径向向内的方向从第二气体源125注入至第二气室120。第一与第二气室129、120于反射板118中形成。第一气室129暴露于第一气体注入口138。第二气室120暴露于第二气体注入口128。
在一个实施方式中,第一气体注入口138(用于提供气体给第一气室129)位于反射板118的第二个四分之一(quarter)中。例如,第一气体注入口138位于距离反射板118的中心约30mm至约40mm之间。在一个实施方式中,第二气体注入口128(用于提供气体给第二气室120)位于反射板118的第一个四分之一中。例如,第二气体注入口128位于距离反射板118的中心约112mm至约122mm之间。在一个实施方式中,第一与第二气体注入口138、128各具有约1mm至约10mm的直径,例如,约5mm或约5.1mm。
在一个实施方式中,第一气体源123供应氧气(O2)及第二气体源125供应氢气(H2)。因此,氧气与氢气混合物(O2/H2)供应至第一与第二气室129、120。在一个实施方式中,气体混合物是介于约23百分比至约43百分比的氢气,及介于约57百分比至约77百分比的氧气,例如,约33百分比的氢气与约67百分比的氧气。流动通过第一气室129的气体混合物形成喷头组件127中的内部区域171。流动通过第二气室120的气体混合物形成喷头组件127中的外部区域172。喷头组件127中分隔的与不同的内部与外部区域171、172有利地允许气体混合物根据处理需求在被沉积于基板140上之前被控制与调整。
反射板118还包括设置于反射板118中的一或多个气体注入插件124。图3绘示反射板118的放大底视图。在一个实施方式中,反射板118包括一个气体注入插件124,两个气体注入插件124,两个气体注入插件124的各者以绕反射板118约每180度一个设置(如图1所示)或四个气体注入插件124,四个气体注入插件124的各者以绕反射板118约每90度一个设置(如图3所示)。挡板122借由多个螺丝290与反射板118耦接。多个螺丝经配置而置入于反射板118形成的多个螺丝孔292及于挡板122形成的多个螺丝孔294。
图2B绘示挡板122的部分与气体注入插件124的截面示意图。所示挡板122与气体注入插件124的内边缘202耦接。例如,在一个实施方式中,挡板122可焊接至气体注入插件124的内边缘202。挡板122悬吊于气体注入插件124中使得第三气室131于挡板122与气体注入插件124之间形成。所示挡板122中的孔117不与气体注入插件124中形成的孔126轴向对齐,使得自第一与第二气室(未图示)至第三气室131形成迂曲(tortuous)流动路径。图4绘示气体注入插件124的放大顶视图。气体注入插件124具有大体长方形(oblong)形状的主体。气体注入插件124包括设置于气体注入插件124的主体中的长方形形状的挡板122。气体注入插件124包括多个孔126(于图4中以局部剖视图示出)。气体注入插件124经配置以将气体混合物自第一与第二气室129、120传送通过孔126进入内部空间121及至基板140。挡板122包括穿过挡板122而形成的多个孔117。挡板122经配置而使自第一与第二气室129、120流动通过孔117的气体混合物的流动速率减缓(deaden)或变慢并平均地分配气体混合物至由挡板122与注入插件124界定的第三气室131。挡板122也有利地减少总的气体混合物消耗量约30百分比。实验结果表明气体混合物的速度可降低约98百分比。例如,朝向基板140的气体混合物的速度可自约100m/s(使用传统的喷头设计)减少至约10m/s(使用如上所述的挡板122)。
在一个实施方式中,挡板122中的孔117的数量介于约20至约30个孔117,例如,约24或25个孔117。在一个实施方式中,孔117于挡板122中的单一列中形成。在一个实施方式中,孔117的半径是介于约0.25mm至约1.52mm之间,例如,约0.793mm。在一个实施方式中,气体注入插件124中的孔126数量是大于挡板122中的孔117数量。
在一个实施方式中,气体注入插件124中的孔126于两列中形成。各列可具有约40至约60之间的孔,例如,约40孔或约50孔,即共约100孔。因此,在一个实施方式中,有约100个孔126(50孔x 2列)。在一个实施方式中,孔117与孔126是偏离的(offset)以产生通过喷头组件127的迂曲流动路径。在一个实施方式中,孔126的半径是介于约0.25mm与约1.52mm之间,例如,约0.79mm。在另一个实施方式中,(i)孔126的数量与尺寸;(ii)具有孔126的列的数量;及(iii)气体注入插件124本身的厚度,可基于自第一与第二气室129、120(即内部区域171与外部区域172)流动至第三气室131及最后径向流动往基板140的气体混合物的流动速率而作选择。
图5是具有不同尺寸的多个孔126的气体注入插件500的放大底视图。在一个实施方式中,气体注入插件500的孔126改变尺寸以形成气体流动梯度。例如,孔126在气体注入插件500的一端的孔126相较于在气体注入插件500的相对端而言可以具有更大的表面积。在一个实施方式中,孔126形成于两列中。各列具有约50个孔126(如图5所示)。自气体注入插件500的第一端502至气体注入插件500的相对的第二端504,各列中的孔126的尺寸逐渐增加。在一个实施方式中,孔126的半径自约0.34mm增加至约1.98mm,例如,约0.44mm至约0.98mm之间的半径。
参照图1与图5,气体注入插件500设置于反射板118中,使得孔126的各列横跨第一与第二气室129、120的长度,例如各约50个孔126的两列。因此,各列中的孔126的约第一半,即约25个孔126横跨作为内部区域171部分的第一气室129的长度。各列中的孔126的第二半,即约25个孔126横跨作为外部区域172部分的第二气室120的长度。
在实施一个实施方式的过程中,其中气体混合物的沉积在基板140的中心处可高于在基板140的边缘处,可提供两股不同体积流动速率的气体混合物至第一与第二气体注入口138、128。例如,在一个实施方式中的总体的气体混合物以约2slm或约5slm由喷头组件127提供。
在一个实施方式中,流动通过第一气室129的气体混合物相较于流动通过第二气室120的气体混合物具有更慢的流动速率以减少基板140上的中心高的沉积。例如,以约0.69slm或约1.71slm提供气体混合物通过第一气体注入口138并进入第一气室129(即内部区域171)。接着,以约1.31slm或约3.29slm提供气体混合物通过第二气体注入口128并进入第二气室120(即外部区域172)。因为第一气室129相较于第二气室120更靠近基板140的中心设置,所以气体注入插件500的第一端502(具有更小的孔126尺寸)设置于第一气室129的下方而解决基板140上中心高的沉积。相反地,因为第二气室120相较于第一气室129更靠近基板140的边缘设置,所以气体注入插件500的第二端504(具有更大的孔126尺寸)设置于第二气室120的下方。如此,通过喷头组件127的总的气体混合物流动速率可以由以上所公开的内部与外部区域171、172有利地单个控制及调节以产生基板140的中心与边缘之间平均的气体混合物流动速率因而促成基板140上整体均匀的沉积。
虽然前述是针对本公开内容的实施方式,但在不脱离本公开内容的基本范围的条件下,可设计本公开内容的其它的与进一步的实施方式,并且本公开内容的范围由以下权利要求书确定。

Claims (13)

1.一种喷头组件,所述喷头组件包括:
反射板,所述反射板具有穿过所述反射板而设置的至少一个气体注入口;以及
气体注入插件,所述气体注入插件设置于所述反射板中且具有多个孔,其中所述气体注入插件包含:
挡板,所述挡板设置于所述气体注入插件中且具有多个孔,其中第一气室于所述挡板的第一部分与所述反射板之间形成,其中第二气室于所述挡板的第二部分与所述反射板之间形成,及其中所述气体注入插件的所述多个孔与所述挡板的所述多个孔轴向上不对齐;和
第三气室,所述第三气室于所述挡板与所述气体注入插件之间形成,所述第三气室通过所述挡板中的所述孔而与所述第一气室和所述第二气室以流体连接。
2.一种喷头组件,所述喷头组件包括:
反射板,所述反射板具有穿过所述反射板而设置的第一气体注入口及第二气体注入口,以传送气体至第一气室及第二气室;以及
气体注入插件,所述气体注入插件设置在所述反射板中,且所述气体注入插件设置于所述第一气室及所述第二气室的下方,其中所述气体注入插件包含多个孔,及其中所述孔的数量与尺寸基于流动通过所述第一气体注入口和所述第二气体注入口的气体流动速率而选择,其中所述气体注入插件包含:
挡板,所述挡板设置于所述气体注入插件中且暴露于所述第一气室及所述第二气室,其中所述挡板具有穿过所述挡板而限定的多个孔,其中所述气体注入插件中的所述多个孔与所述挡板中的多个孔轴向上不对齐;和
第三气室,所述第三气室于所述挡板与所述气体注入插件之间形成,所述第三气室通过所述挡板中的所述孔而与所述第一气室和所述第二气室以流体连接。
3.如权利要求1或2所述的喷头组件,其中所述反射板进一步包括:
第二气体注入口,所述第二气体注入口通过所述反射板而设置,所述第二气体注入口由所述反射板的壁与所述至少一个气体注入口分隔。
4.如权利要求1或2所述的喷头组件,其中所述第一气室与所述第二气室同心。
5.如权利要求1所述的喷头组件,其中所述气体注入插件的所述多个孔在一个或多个列对齐。
6.如权利要求5所述的喷头组件,其中从所述气体注入插件的第一端至所述气体注入插件的第二端,所述气体注入插件的所述孔的直径增大。
7.如权利要求1或2所述的喷头组件,其中所述气体注入插件的所述多个孔的数量大于所述挡板中的所述多个孔的数量。
8.一种处理腔室,所述处理腔室包括:
基板支撑件,所述基板支撑件经配置以在处理期间转动基板;及
喷头组件,所述喷头组件设置于所述基板支撑件的上方,其中所述喷头组件包含:
反射板,所述反射板具有穿过所述反射板而设置的第一气体注入口与第二气体注入口;以及
气体注入插件,所述气体注入插件设置于所述反射板中且具有多个孔,其中所述气体注入插件包含:
至少两挡板,所述至少两挡板径向设置于所述反射板的中心附近的所述气体注入插件中,各挡板具有多个孔,其中第一气室于所述挡板的第一部分与所述反射板之间形成,其中第二气室于所述挡板的第二部分与所述反射板之间形成,所述第一气室由所述反射板的壁而与所述第二气室分隔,及其中所述气体注入插件的所述多个孔与所述挡板轴向上不对齐。
9.如权利要求8所述的处理腔室,其中所述第一气室与所述第二气室同心。
10.如权利要求8所述的处理腔室,其中所述喷头进一步包括:
第三气室,所述第三气室于所述挡板与所述气体注入插件之间形成。
11.如权利要求10所述的处理腔室,其中所述第三气室通过所述孔而与所述第一气室及所述第二气室以流体连接,所述孔穿过所述挡板而形成。
12.如权利要求11所述的处理腔室,其中所述气体注入插件的所述多个孔在一个或多个列对齐。
13.如权利要求12所述的处理腔室,其中从所述气体注入插件的第一端至所述气体注入插件的第二端,所述气体注入插件的所述多个孔的直径增大。
CN201580021593.2A 2014-05-16 2015-04-20 喷头设计 Active CN106463344B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910869304.4A CN110724938B (zh) 2014-05-16 2015-04-20 喷头设计

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461994584P 2014-05-16 2014-05-16
US61/994,584 2014-05-16
PCT/US2015/026687 WO2015175163A1 (en) 2014-05-16 2015-04-20 Showerhead design

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201910869304.4A Division CN110724938B (zh) 2014-05-16 2015-04-20 喷头设计

Publications (2)

Publication Number Publication Date
CN106463344A CN106463344A (zh) 2017-02-22
CN106463344B true CN106463344B (zh) 2019-10-11

Family

ID=54480416

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201580021593.2A Active CN106463344B (zh) 2014-05-16 2015-04-20 喷头设计
CN201910869304.4A Active CN110724938B (zh) 2014-05-16 2015-04-20 喷头设计

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201910869304.4A Active CN110724938B (zh) 2014-05-16 2015-04-20 喷头设计

Country Status (6)

Country Link
US (2) US10221483B2 (zh)
KR (2) KR102386812B1 (zh)
CN (2) CN106463344B (zh)
SG (2) SG11201608640QA (zh)
TW (2) TWI693100B (zh)
WO (1) WO2015175163A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106463344B (zh) 2014-05-16 2019-10-11 应用材料公司 喷头设计
US9384949B2 (en) * 2014-08-08 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Gas-flow control method for plasma apparatus
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
KR102477302B1 (ko) * 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
CN110050333B (zh) * 2016-12-08 2023-06-09 应用材料公司 时间性原子层沉积处理腔室
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
JP7082514B2 (ja) * 2018-04-04 2022-06-08 株式会社Kelk 流体加熱装置
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
KR102204026B1 (ko) * 2018-07-06 2021-01-18 주식회사 케이에스엠컴포넌트 세라믹 샤워 헤드 및 그를 구비한 화학 기상 증착 장치
WO2020100376A1 (ja) * 2018-11-14 2020-05-22 株式会社アルバック 真空加熱装置、リフレクタ装置
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
WO2020159708A1 (en) * 2019-01-31 2020-08-06 Lam Research Corporation Showerhead with configurable gas outlets
CN112922935B (zh) * 2019-12-05 2023-06-30 中微半导体设备(上海)股份有限公司 连接结构和等离子体处理装置
CN114086155B (zh) * 2022-01-18 2022-04-15 北京中科重仪半导体科技有限公司 气体喷头

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05175135A (ja) * 1991-10-03 1993-07-13 Ulvac Japan Ltd 光cvd装置
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
DE29517100U1 (de) * 1995-10-17 1997-02-13 Zimmer Johannes Strömungsteilungs- und -umformungskörper
TW315493B (en) * 1996-02-28 1997-09-11 Tokyo Electron Co Ltd Heating apparatus and heat treatment apparatus
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
KR19980045169A (ko) * 1996-12-09 1998-09-15 김광호 반도체 제조장치의 샤워헤드 및 그 제조방법
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
KR19990065416A (ko) * 1998-01-13 1999-08-05 윤종용 샤워 헤드를 포함하는 반도체장치 제조용 챔버 장비
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6399484B1 (en) * 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
AU2001247685A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
CN100366792C (zh) * 2000-12-12 2008-02-06 东京毅力科创株式会社 薄膜形成方法及薄膜形成装置
JP3946641B2 (ja) * 2001-01-22 2007-07-18 東京エレクトロン株式会社 処理装置
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
JP4128383B2 (ja) * 2002-03-27 2008-07-30 東京エレクトロン株式会社 処理装置及び処理方法
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
KR20040058819A (ko) * 2002-12-27 2004-07-05 삼성전자주식회사 파티클 발생률을 줄이는 반도체 제조 설비용 샤워헤드
US7199061B2 (en) * 2003-04-21 2007-04-03 Applied Materials, Inc. Pecvd silicon oxide thin film deposition
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
KR100634451B1 (ko) * 2005-01-10 2006-10-16 삼성전자주식회사 반도체 소자 제조 장치
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
JP4509864B2 (ja) * 2005-05-30 2010-07-21 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP4749785B2 (ja) * 2005-07-19 2011-08-17 東京エレクトロン株式会社 ガス処理装置
US8535443B2 (en) * 2005-07-27 2013-09-17 Applied Materials, Inc. Gas line weldment design and process for CVD aluminum
KR100687010B1 (ko) * 2005-12-26 2007-02-26 세메스 주식회사 저온을 이용한 탄소나노튜브 합성 장치
US8088248B2 (en) * 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
KR20070081648A (ko) * 2006-02-13 2007-08-17 삼성전자주식회사 반도체 소자 제조 설비
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US20080078746A1 (en) * 2006-08-15 2008-04-03 Noriiki Masuda Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
JP5036274B2 (ja) * 2006-10-30 2012-09-26 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
JP2008243937A (ja) * 2007-03-26 2008-10-09 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US20080236495A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (CVD) apparatus
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US8628616B2 (en) * 2007-12-11 2014-01-14 Sumitomo Electric Industries, Ltd. Vapor-phase process apparatus, vapor-phase process method, and substrate
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
JP2009224455A (ja) * 2008-03-14 2009-10-01 Tokyo Electron Ltd 平面アンテナ部材およびこれを備えたプラズマ処理装置
US8111978B2 (en) * 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
US8207470B2 (en) * 2008-10-20 2012-06-26 Industry-University Cooperation Foundation Hanyang University Apparatus for generating remote plasma
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
US20110048325A1 (en) * 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9112088B2 (en) * 2010-04-22 2015-08-18 Kyocera Corporation Method for manufacturing a thin-film solar cell using a plasma between parallel electrodes
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
WO2012122054A2 (en) * 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9416450B2 (en) * 2012-10-24 2016-08-16 Applied Materials, Inc. Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
TWI600792B (zh) * 2013-11-26 2017-10-01 應用材料股份有限公司 用於減少快速熱處理的污染之影響的設備
WO2015123022A1 (en) * 2014-02-14 2015-08-20 Applied Materials, Inc. Upper dome with injection assembly
CN106463344B (zh) * 2014-05-16 2019-10-11 应用材料公司 喷头设计
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US20160033070A1 (en) * 2014-08-01 2016-02-04 Applied Materials, Inc. Recursive pumping member
US20160068955A1 (en) * 2014-09-08 2016-03-10 Applied Materials, Inc. Honeycomb multi-zone gas distribution plate
US9758868B1 (en) * 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure

Also Published As

Publication number Publication date
CN110724938B (zh) 2022-02-22
CN106463344A (zh) 2017-02-22
TWI662993B (zh) 2019-06-21
US10626500B2 (en) 2020-04-21
CN110724938A (zh) 2020-01-24
KR102386812B1 (ko) 2022-04-15
US10221483B2 (en) 2019-03-05
KR20170005072A (ko) 2017-01-11
TW201936266A (zh) 2019-09-16
SG10201810178TA (en) 2018-12-28
TWI693100B (zh) 2020-05-11
TW201600174A (zh) 2016-01-01
WO2015175163A1 (en) 2015-11-19
KR102451499B1 (ko) 2022-10-06
US20190194810A1 (en) 2019-06-27
SG11201608640QA (en) 2016-11-29
US20150329966A1 (en) 2015-11-19
KR20220048058A (ko) 2022-04-19

Similar Documents

Publication Publication Date Title
CN106463344B (zh) 喷头设计
CN207149532U (zh) 用于处理基板的设备及用于热处理基板的设备
US11634813B2 (en) Half-angle nozzle
CN104995717B (zh) 外延基环
CN102017068B (zh) 具有增加的流动均匀度的狭缝阀
TWI405266B (zh) Heat treatment apparatus, heater and manufacturing method thereof
JP2007266610A5 (zh)
TWI674331B (zh) 用於epi腔室的注射插件
TW201602399A (zh) 用於在基板處理腔室中改善氣流的方法與設備
CN106605288A (zh) 用于外延腔室的衬垫
CN107403717A (zh) 一种用于处理腔室的改进侧注入喷嘴设计
JPH05206038A (ja) 減圧cvd装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant