CN100366792C - 薄膜形成方法及薄膜形成装置 - Google Patents

薄膜形成方法及薄膜形成装置 Download PDF

Info

Publication number
CN100366792C
CN100366792C CNB018203493A CN01820349A CN100366792C CN 100366792 C CN100366792 C CN 100366792C CN B018203493 A CNB018203493 A CN B018203493A CN 01820349 A CN01820349 A CN 01820349A CN 100366792 C CN100366792 C CN 100366792C
Authority
CN
China
Prior art keywords
gas
film
supply
formation method
film forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB018203493A
Other languages
English (en)
Other versions
CN1479805A (zh
Inventor
山﨑英亮
河野有美子
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1479805A publication Critical patent/CN1479805A/zh
Application granted granted Critical
Publication of CN100366792C publication Critical patent/CN100366792C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals

Abstract

本发明涉及一种采用ALD法的薄膜形成方法及薄膜形成装置,通过ALD法将多种原料气体逐类、并经多次供应,进行成膜,在此工序之前,实施同时提供多种原料气体中的至少两种的前置处理,从而使得成膜中的潜伏期缩短并提高产率。

Description

薄膜形成方法及薄膜形成装置
技术领域
本发明涉及薄膜形成方法及薄膜形成装置,本发明尤其涉及通过交替供应原料气体来形成膜的薄膜形成方法及薄膜形成装置。
背景技术
近年,伴随半导体集成电路的微细化、高集成化,要求在基片(例如半导体基片)上形成的绝缘膜及金属布线膜等,能够达到薄膜化,并且具有很好地覆盖复杂形状的、对晶片整体来说宏观均匀、同时在微观上达到纳米级平滑的效果。但是,传统的化学气相淀积法(CVD法)不能完全满足所述全部要求。另一方面,ALD(Atomic LayerDeposition)法作为能够满足这些要求的成膜方法,备受关注。该ALD法是,在成膜时,通过对原料气体逐类地进行交替供应,使得原料气体吸附在反应表面,进而形成原子层、分子层级的膜,重复这些工序就得到规定厚度的薄膜。
具体地说,向基片上供应第一原料气体,并在基片上形成其吸附层。之后,向基片供应第二原料气体并使之反应。根据该方法,因为第一原料气体在基片上吸附后与第二原料气体反应,所以可以使成膜温度低温化。
并且,对于在孔上的成膜,也能够避免传统的CVD法无法解决的问题,即原料气体在孔的上部反应而被浪费,从而导致覆盖能力低下。
还有,吸附层的厚度一般为原子、分子的单层,或者最多也就是2、3层,并且具有一种自调节性,根据其温度和压力,即使供应多于形成吸附层所需量的原料气体,也能将多余部分排出,因此有利于控制超薄膜的厚度。而且,因为以原子层、分子层级进行一次成膜,所以易进行完全反应,膜中很难残留杂物,比较理想。
但是,与如上所述的ALD法可以得到良好的膜特性相反,一次成膜是以原子层、分子层级进行的,因此,由此而来的总成膜时间变得较长。
尤其是,因为存在最初的几个周期并不进行实质性成膜的潜伏期(培养期incubation time),从而导致产率(throughput)严重低下。
发明内容
本发明的总的目的是提供一种解决上述问题的、经过改进的薄膜形成方法及薄膜形成装置。
本发明的更具体目的是,提供一种缩短ALD法的潜伏期并且产率高的薄膜形成方法及薄膜形成装置。
为了达到所述目的,本发明是一种在基片上反应多种原料气体,从而在该基片上形成薄膜的薄膜形成方法,其特征在于,具有:
同时提供所述多种原料气体中的至少两种的工序;以及
在实施该工序后,通过将所述多种原料气体逐类、依次经多次供应,从而形成薄膜的工序。
这样,在通过ALD法将多种原料气体逐类、依次经多次供应的成膜之前,实施同时提供多种原料气体中的至少两种的前置处理,  从而,使潜伏期缩短并提高产率。
此外,在所述说明中,将多种原料气体逐类、依次经多次供应时,在相互起反应的两种原料气体的供应过程的间歇,最好进行真空排气或用第三种气体进行替换。由此,在供应相互起反应的两种原料气体的间歇,可以避免不必要反应的发生。
还有,在所述发明中,所述原料气体最好是WF6气体、NH3气体、SiH4气体。由此,在基片上形成WNx薄膜。
还有,在所述发明中,同时提供所述多种原料气体中的至少两种的时间下限为0.1秒,而其上限最好是根据该气体的组成,形成厚度为2.0nm的膜时所用的时间。通过这样的设定,可以有效缩短潜伏期。
附图的简要说明
参照附图阅读以下的详细说明,就会进一步了解本发明的其他目的、特征以及优点。
图1是通过现有的ALD法进行成膜的流程图。
图2是分别实施现有的ALD法和根据本发明的超薄膜形成方法时,原料气体供应周期数和成膜厚度的关系图表。
图3是用于实施本发明中超薄膜形成方法的真空处理装置的简单结构图。
图4是本发明一个实施例的W2N薄膜形成方法的实施流程图。
图5是实施本发明一个实施例的薄膜形成方法时的,晶片温度与一个周期的成膜厚度的关系图表。
图6是实施本发明一个实施例的薄膜形成方法时的,WF6气体或NH3气体的供应量与每一个周期的成膜厚度的关系示意图。
图7是本发明一个实施例的薄膜形成方法的实施流程图。
图8是用于实施本发明中一个实施例的薄膜形成方法的真空处理装置的简单结构图。
图9是本发明一个实施例的TiN薄膜形成方法的实施流程图。
本发明的最佳实施方式
以下,参照附图说明本发明的实施例。
图3是用于实施本发明中一个实施例的薄膜形成装置(以下称为真空处理装置)的简单结构图。
在说明薄膜形成方法之前,先说明一下用于薄膜形成的真空处理装置。
所述真空处理装置由气体供应源10A~10C、喷头20、喷头加热器21、容器30、容器加热器31、基座32、支撑部件33、排气管40、阀41、真空泵42及电源50等构成。
气体供应源10A~10C经过设在喷头20的气体流入口22~24、及导入通路25、26等,向容器30内供应气体。即,气体供应源10A~10C分别供应气体,使得这些气体对容器30内的半导体晶片W进行设定的成膜处理。具体地说,气体供应10A供应作为原料气体的WF6气体,气体供应10B供应作为原料气体的NH3气体,气体供应10C供应作为原料气体的SiH4(硅烷)气体。
喷头20设置在贯通容器30的上壁中央部分的位置。本实施例所示的喷头20由组装成一体的、上下3层的块体20A、20B、20C构成。设置在该喷头20中的气体流路25(由25A、25B、25C组成),从气体供应10A经过图中未示出的质量流控制器(mass flow controller)等,按设定的流量供应WF6气体。
而且,设置在喷头20中的气体流路26(由26A、26B、26C组成),从气体供应10B、10C经过图中未示出的质量流控制器等,按设定的流量供应NH3气体及SiH4气体。此时,各气体流路25、26被设计成能够均匀地向容器30内供应各种气体的结构。
此时,将供应WF6气体的气体流路25和供应NH3气体、SiH4气体的气体流路26分开,其理由是为了抑制WF6气体与NH3气体或与SiH4气体在喷头20内发生反应。还有,当有必要抑制NH3气体与SiH4气体的反应时,也可以采用进一步分割气体流路26的结构。
还有,虽然图中没有示出,喷头20中还设有供应惰性气体的气体流入口或流路,其中,所述惰性气体是稀释前置处理及成膜中所用气体的Ar或N2等,而该气体流入口经过质量流控制器等连接在惰性气体的气体供应源上。
喷头加热器21设置在喷头20的上面,控制喷头20的温度,从而,对通过喷头20内部的气体进行加热及防止NH4F等低汽压反应副生成物向喷头20的附着。并且,容器30是用于对半导体晶片W进行规定处理的处理室。
基座32由支撑部件33固定在容器30内,用于装载通过图中没有示出的搬运机构搬运来的、作为处理对象的半导体晶片W。而且,基座32内部备有,将半导体晶片W导向基座32中央侧的导向环(guidering)34和用于控制半导体晶片W的温度的台式加热器35。台式加热器35由设置在容器30外的电源50供电。
排气管40设置在容器30底部,经过调节排气流量的阀41连接在真空泵42上。真空泵42通过排气管41将气体从容器30内排出,可以使容器30内部达到真空度或维持在规定的压力上,其中,所述达到真空度由真空泵42的排气能力、容器30、排气管40和阀41的通过能力(conductance)决定。
接下来,就本发明人使用上述真空处理装置实施的薄膜处理方法进行说明。本发明人就通过ALD法的成膜方法,按照如图1所示的流程图进行了成膜,并特别研究了成膜初期的状态。以下,就图1所示的薄膜形成处理的每个处理进行说明。
(步骤100)将半导体晶片W搬入容器30内,并装载在预先加热到规定温度如450度的基座32上。
(步骤110)向喷头20供应Ar或N2等惰性气体的同时,对容器30进行排气,使得其内压保持在如400Pa,同时通过基座32的传热对半导体晶片进行加热。
(步骤120)停止气体的供应,对容器30进行真空排气,使其内气压达到如20Pa以下。
(步骤130)向喷头20供应混合了若干Ar、N2的 WF6气体,同时,对容器30进行排气,使得其内部保持在规定的压力上,例如供应WF6气体,使其为,分压×时间=470Pa.sec。
(步骤140)停止气体的供应,对容器30进行真空排气,使其内气压达到如20Pa以下。
(步骤150)向喷头20供应混合了若干Ar、N2的NH3气体的同时,对容器30进行排气,使得其内部保持在规定的压力上,例如向晶片上较均匀地供应NH3气体,使其气压×时间达到470Pa.sec。
(步骤160)停止气体的供应,对容器30进行真空排气,使其内气压达到如20Pa以下。
(步骤170)按规定的次数重复(步骤130)到(步骤160)。
对经过所述处理形成的薄膜进行研究的结果可知,用ALD法形成氮化钨膜(W2N膜)时,如图2中点划线B所示,在成膜初期10个周期内没有进行实质性的成膜,即存在相当于10个周期的潜伏期T。
这里,为了减小所述潜伏期T,本发明人就前置处理进行了反复研究发现,通过同时提供多种原料气体中的至少两种的前置处理,如图2的实线A所示,所述潜伏期T成为0,从而可以从最初的第1个周期开始进行成膜。
图4是根据本发明改进的薄膜形成方法的实施流程图。本发明的特征在于,在步骤110与步骤120之间,作为步骤115,实施了同时提供多种原料气体中的至少两种的前置处理。以下,就图4中所示的薄膜形成装置的各个处理进行说明。还有,在图4中,与先前说明的图1所示的处理相同的处理,也采用同样的步骤号表示。
(步骤100)将半导体晶片W搬入容器30内,并装载在预先加热到规定温度如450度的基座32上。
(步骤110)向喷头20供应Ar或N2等惰性气体,同时,对容器30进行排气,使得其内压保持在如400Pa,并通过基座32的传热对半导体晶片进行加热。
(步骤115)进行一种前置处理,即,将混合了若干Ar、N2并将分压调整到如下量的WF6气体和NH3气体同时提供7.5sec。该处理时间相当于用所述流量构成形成厚度为1nm的W2N膜时所用的时间。而且,此时的WF6气体的分压为0.2Pa,NH3气体的分压为102Pa。
(步骤120)停止气体的供应,对容器30进行真空排气,使其内气压达到如20Pa以下。
(步骤1 30)向喷头20供应混合了若干Ar、N2的 WF6气体,同时,对容器30进行排气,使得其内部保持在规定的压力上,例如供应WF6气体,使其为,分压×时间=470Pa.sec。
(步骤140)停止气体的供应,对容器30进行真空排气,使其内气压达到如20Pa以下。
(步骤150)向喷头20供应混合了若干Ar、N2的NH3气体的同时,对容器30进行排气,使得其内部保持在规定的压力上,例如向晶片上较均匀地供应NH3气体,使其气压×时间达到470Pa.sec。
(步骤160)停止气体的供应,对容器30进行真空排气,使其内气压达到如20Pa以下。
(步骤170)按规定的次数重复(步骤130)到(步骤160)。
这里,对图1所示的流程图对应的薄膜形成方法与图4所示的流程图对应的薄膜形成方法比较并进行说明。
不按照图4所示的、与本发明相关的薄膜形成方法,而按照图1所示的流程图进行成膜,并从(步骤130)到(步骤170)重复30个周期时,在最初的10个周期没有形成膜,此后,在20个周期中以每周期0.64nm的速度形成膜,因此作为膜的总厚度达到了12.8nm。
另一方面,根据本发明,按照图4的流程图进行成膜,并从(步骤130)到(步骤170)同样地重复30个周期时,因为从最初的第1个周期开始形成膜,所以,膜的总厚度达到了20.1nm,进而改善了产率。
这里,所述实施例所示的是,通过ALD法形成W2N膜时,在供应WF6气体和NH3气体的间歇进行真空排气的例子。然而,本发明并不局限于该例,也可以用规定的气体代替真空排气来将WF6气体或NH3气体挤出,即进行所谓的净化处理。具体地说,停止WF6气体或NH3气体的供应后,例如供应500sccm以上大流量的Ar、N2等惰性气体或H2气体,从而挤出容器30内的WF6气体或NH3气体。
这里,采用H2气体作为净化气体尤其对不希望W2N膜被氧化的情况很有效。并且,将真空排气、净化、真空排气作为一组应用时,虽然会延长总成膜时间,但因为增强除去残留的WF6气体或NH3气体的效果,所以比较好。
还有,所述实施例所示的是,作为用ALD法形成W2N膜的条件,即,将晶片温度设为370℃、将WF6气体和NH3气体的每周期供应量都设为470Pa.sec,其结果,每周期所形成的W2N膜的量大约在0.6到0.7nm的例子。然而,本发明人就所述W2N膜的形成方法进行反复研究的结果,发现了以下如图5、6所示的关系。
图5表示的是每一个周期的成膜厚度和晶片温度的关系。如图中所示,晶片温度在300℃~450℃的范围时,每个周期的成膜厚度不依赖于晶片温度而保持一定。然而,当晶片温度超过450℃后,每个周期的成膜厚度发生减小的现象。每个周期的成膜厚度不依赖于晶片温度而保持一定的所述区域,表示其反应是以原料的吸附作为决定速度的过程。因而,以其良好的控制能力、优良的覆盖性,比较适合作为获得W2N膜的区域。
并且,图6是在晶片温度370℃的环境下进行实验所得到的,表示每个周期的成膜厚度与WF6气体或NH3气体的供应量关系的例子。如图所示可知,当气体供应量在200Pa.sec以上时,每个周期的成膜厚度达到饱和。该区域表示的反应进行是以原料的吸附作为决定速度的过程。因而,以其良好的控制能力、优良的覆盖性,比较适合作为获得W2N膜的区域。
还有,所述实施例中,同时提供多种原料气体中的至少两种以上的前置处理(即,图4中的步骤115那样的处理)的时间为7.5sec。然而,该处理时间并不局限于此。
在图4的步骤115进行的所谓前置处理,具有重整(改質)对象表面(晶片表面),从而使在此后用ALD法进行成膜时,容易吸附原料气体的效果。即,不管是多短的时间,只要由同时提供多种原料气体中的至少两种以上而成的混合体到达对象表面,就会有重整对象表面的效果,因而,将混合体到达对象表面所需要的时间作为处理时间的下限,为0.1sec以上。
另一方面,如果前置处理的时间超过相当于成膜2.0nm所需的时间,就会因为所述前置处理,在对象表面(晶片表面)形成膜。因此,通过ALD法所形成的膜,会在前置处理时形成的膜上形成。
在所述前置处理时形成的膜,因为是通过同时提供多种原料气体中的至少两种而形成的膜,所以与通过ALD法所形成的膜相比,其覆盖性、平滑性、纯度都比较差。从而,在所述前置处理时形成的膜影响在其上部通过ALD法所形成的膜,使得通过ALD法所形成的膜的覆盖性、平滑性、纯度等特性恶化。因此,不希望前置处理时间超过相当于形成厚度为2.0nm的膜的时间。
针对这种情况,当前置处理时间在相当于形成厚度为0.1nm~2.0nm的膜的时间范围内时,既可以促进基于ALD法的原料气体的吸附,同时也不影响通过ALD法所形成膜的膜质,因而,比较理想。
而且,2.0nm以下的膜非常薄,有时,甚至用如SEM(扫描式电子显微镜)等装置也无法检测到。但是,能够实现前置处理所带来的效果的膜的厚度,可以通过所述前置处理的实施时间来控制。从而,不需要直接测定通过前置处理所形成膜的厚度,而只是通过管理前置处理实施时间的简单处理,就可以达到上述规定的效果。
还有,在上述实施例中,对于用ALD法的成膜,说明了交替供应WF6气体和NH3气体来生成W2N膜的例子,但本发明并不局限于此,如图7所示的流程图,也可以将WF6气体、NH3气体、SiH4气体按该顺序反复供应。
此时,WF6气体和SiH4气体的供应次序相邻时,形成W或WSix等,因而,会形成W2N膜中含这些成分的混合膜(WNX)。因为W或WSix的电阻率比W2N低,所以可以通过改变各种气体的供应量或改变供应顺序来控制WNX膜中W或WSix的量。据此,可以获得低于W2N单膜的电阻率或是使膜中含有Si,进而,可以形成任意特性的薄膜。例如,当按WF6、SiH4、NH3、WF6、SiH4的顺序重复供应时,WNx中的W2N比率降低,W和WSix的比率增加,从而可以获得电阻率低的WNx膜。
此外,所述的实施例,采用图3所示的真空处理装置,当同时提供多种原料气体中的至少两种的前置处理时,以及其后将多种原料气体逐类多次供应而形成薄膜时(ALD法成膜时),都通过喷头20来实施各种气体的供应。
但本发明并不局限于此,如图8(对于与图3结构相同的结构,用同一符号标注)所示,也可以具有,在前置处理时通过喷头20供应气体,但是在通过ALD法形成膜时,不经喷头20,而是从侧面将各种气体导入容器30内的结构。
具体结构是,将连接在气体供应源10A~10C的气体供应管道分成管道44A~46A和管道44B~46B,并将管道44A~46A接到喷头20,将管道44B~46B接到设置在容器30侧面的喷嘴47~49上。并且,通过在管道44A~46A上设置阀装置44C~46C,在管道44B~46B上设置阀装置44D~46D,来控制流过管道44A~46A及管道44B~46B内的气体流量。
在前置处理中,因为同时提供多种原料气体中的至少两种,所以需要喷头20使得能够向晶片表面均匀供应。因此,在前置处理时,关闭阀装置44D~46D的同时,打开阀装置44C~46C,并通过输送,向容器内供应原料气体。另一方面,用ALD法成膜时,关闭阀装置44C~46C的同时,打开阀装置44D~46D,从容器30的侧面供应原料气体。
用ALD法成膜时,因为原料气体的吸附过程决定成膜速度,所以气体的供应即使具有喷嘴47~49这样的结构也不成问题。从气体的替换观点看,与通过能力小的喷头20相比,使用结构简单的喷嘴47~49更为有利、合适。
并且,在上述实施例中,对于通过ALD法的成膜,主要说明了交替供应WF6气体和NH3气体来形成W2N膜的例子,以及交替供应WF6气体、NH3气体、SiH4气体来形成WNx膜的例子,但本发明并不局限于此,当然也适用于其它的金属膜或绝缘膜的成膜。
以下,根据图9说明,通过交替供应TiCl4气体和NH3气体形成TiN膜的例子。
(步骤300)将半导体晶片W搬入容器30内,并装载在预先加热到规定温度如450℃的基座32上。
(步骤310)向喷头20供应Ar或N2等惰性气体的同时,对容器30进行排气,使得其内压保持在如400Pa,同时通过基座32的传热对半导体晶片进行加热。
(步骤315)进行一种前置处理,即,将混合了若干Ar、N2并将分压调整到如下量的TiCl4气体和NH3气体同时提供10sec。该处理时间相当于用该流量结构形成厚度为2nm的TiN膜时所用的时间。
TiCl4分压=23Pa,NH3分压=301Pa
(步骤320)停止气体的供应,对容器30进行真空排气,使其内气压达到如20Pa以下。
(步骤330)向喷头20供应混合了若干Ar、N2的TiCl4气体,同时对容器30进行排气,使得其内部保持在规定的压力上,例如供应TiCl4,使其为,分压×时间=260Pa.sec。
(步骤340)停止气体的供应,对容器30进行真空排气,使其内气压达到如20Pa以下。
(步骤350)向喷头20供应混合了若干Ar、N2的NH3气体的同时,对容器30进行排气,使得其内部保持在规定的压力上,例如向晶片上较均匀地供应NH3气体,使其达到665Pa.sec。
(步骤360)停止气体的供应,对容器30进行真空排气,使其内气压达到如20Pa以下。
(步骤370)按规定的次数重复(步骤330)到(步骤360),如进行100个周期时,获得总厚度14nm。
此外,不按照所述实施例,没有进行步骤315的情况下,在(步骤370)重复(步骤330)到(步骤360)时,在最初的8个周期没有发现膜的形成,且在100个周期后获得了总厚度为12.8nm的膜。
以上,所述实施例所表示的是,通过ALD法形成TiN膜时,在供应TiCl4气体和NH3气体之间进行真空排气的例子,然而,它并不局限于此例,相反地,也可以在停止TiCl4气体或NH3气体的供应后,例如供应500sccm以上大流量的Ar、N2等惰性气体或H2,从而挤出容器30内的TiCl4气体或NH3气体。这里,H2尤其对不希望使TiN膜被氧化的情况是有效的。并且,将真空排气、净化、真空排气作为一组应用时,虽然会延长总成膜时间,但因为提高了除去残留的TiCl4气体或NH3气体的效果,所以较好。
如上述本实施例,在通过交替供应原料气体进行成膜的成膜方法(ALD法)的实施之前,通过实施同时提供多种原料气体中的至少两种的前置处理,能够缩短通过交替供应原料气体进行成膜的薄膜形成处理的潜伏期,进而可以进行高产率的薄膜形成。
本发明并不局限于具体公开的实施例,在不脱离本发明权利范围的条件下,可以采用各种变形例或实施例。

Claims (10)

1.一种薄膜形成方法,在基片上反应多种原料气体,从而在该基片上形成薄膜,其特征在于,具有:
同时提供所述多种原料气体中的至少两种的工序;以及
在实施该工序后,通过将所述多种原料气体逐类、依次经多次供应,从而形成膜的工序。
2.如权利要求1所述的薄膜形成方法,其特征在于,
将所述多种原料气体逐类、依次经多次供应时,在相互起反应的两种原料气体的供应过程间歇,进行真空排气或用第三种气体进行替换。
3.如权利要求1所述的薄膜形成方法,其特征在于,
所述原料气体是WF6气体、NH3气体、SiH4气体。
4.如权利要求2所述的薄膜形成方法,其特征在于,
所述原料气体是WF6气体、NH3气体、SiH4气体。
5.如权利要求3所述的薄膜形成方法,其特征在于,
同时提供所述多种原料气体中的至少两种的时间下限为0.1秒,而其上限为根据所述气体的组成,形成厚度为2.0nm的膜时所用的时间。
6.如权利要求4所述的薄膜形成方法,其特征在于,
同时提供所述多种原料气体中的至少两种的时间下限为0.1秒,而其上限为根据所述气体的组成,形成厚度为2.0nm的膜时所用的时间。
7.如权利要求1所述的薄膜形成方法,其特征在于,
所述原料气体是WF6气体和NH3气体。
8.如权利要求1所述的薄膜形成方法,其特征在于,
所述原料气体是TiCl4气体和NH3气体。
9.如权利要求1所述的薄膜形成方法,其特征在于,
在所述同时供应的工序中,同时供应所述多种原料气体中的含有所述薄膜的成分的彼此可反应的两种原料气体。
10.如权利要求9所述的薄膜形成方法,其特征在于,
在所述逐类、依次经多次供应的工序中,供应在所述同时供应的工序中供应的所述两种原料气体。
CNB018203493A 2000-12-12 2001-12-11 薄膜形成方法及薄膜形成装置 Expired - Fee Related CN100366792C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP377675/00 2000-12-12
JP2000377675 2000-12-12
JP377675/2000 2000-12-12

Publications (2)

Publication Number Publication Date
CN1479805A CN1479805A (zh) 2004-03-03
CN100366792C true CN100366792C (zh) 2008-02-06

Family

ID=18846362

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB018203493A Expired - Fee Related CN100366792C (zh) 2000-12-12 2001-12-11 薄膜形成方法及薄膜形成装置

Country Status (7)

Country Link
US (1) US7482283B2 (zh)
JP (2) JPWO2002048427A1 (zh)
KR (2) KR100601821B1 (zh)
CN (1) CN100366792C (zh)
AU (1) AU2002221122A1 (zh)
TW (1) TW507263B (zh)
WO (1) WO2002048427A1 (zh)

Families Citing this family (321)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4074461B2 (ja) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
JP3819335B2 (ja) 2002-07-15 2006-09-06 東京エレクトロン株式会社 成膜方法
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
JP4429919B2 (ja) 2002-12-27 2010-03-10 株式会社アルバック 窒化タングステン膜の成膜方法
KR101070353B1 (ko) * 2003-06-25 2011-10-05 주성엔지니어링(주) 반도체 소자 제조장치의 가스 인젝터
JP2005086185A (ja) * 2003-09-11 2005-03-31 Tokyo Electron Ltd 成膜方法
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
TW200526806A (en) * 2004-01-15 2005-08-16 Tokyo Electron Ltd Film-forming method
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP2006024668A (ja) * 2004-07-07 2006-01-26 Fujitsu Ltd 半導体装置の製造方法
US7767363B2 (en) * 2005-06-24 2010-08-03 Micron Technology, Inc. Methods for photo-processing photo-imageable material
JP5109299B2 (ja) * 2005-07-07 2012-12-26 東京エレクトロン株式会社 成膜方法
JP2007046134A (ja) * 2005-08-11 2007-02-22 Tokyo Electron Ltd 金属系膜形成方法及びプログラムを記録した記録媒体
US7582562B2 (en) 2005-10-06 2009-09-01 Micron Technology, Inc. Atomic layer deposition methods
WO2007059474A2 (en) * 2005-11-12 2007-05-24 Boston Scientific Limited, A Corporation Of The Republic Of Ireland System for reducing noise in an imaging catheter system
JP5028957B2 (ja) * 2005-12-28 2012-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置並びに記憶媒体
JP2010027868A (ja) * 2008-07-18 2010-02-04 Toshiba Corp 気相成長装置及び気相成長方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5787488B2 (ja) 2009-05-28 2015-09-30 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101189642B1 (ko) * 2012-04-09 2012-10-12 아익스트론 에스이 원자층 증착법을 이용한 TiSiN 박막의 형성방법
JP2014057047A (ja) * 2012-08-10 2014-03-27 Tokyo Electron Ltd 基板処理装置及びガス供給装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6125279B2 (ja) 2013-03-05 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP6311547B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 マスク構造体の形成方法、成膜装置及び記憶媒体
JP5971870B2 (ja) * 2013-11-29 2016-08-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) * 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102451499B1 (ko) * 2014-05-16 2022-10-06 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
JP6347544B2 (ja) * 2014-07-09 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) * 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN109576672A (zh) * 2017-09-28 2019-04-05 北京北方华创微电子装备有限公司 一种原子层沉积方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN111501024A (zh) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 气相沉积装置
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220285133A1 (en) * 2021-03-02 2022-09-08 Applied Materials, Inc. Methods and apparatus for processing a substrate
US20220307129A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH11172438A (ja) * 1997-09-29 1999-06-29 Samsung Electron Co Ltd 化学気相蒸着法による金属窒化膜形成方法及びこれを用いた半導体装置の金属コンタクト形成方法
JP2000160342A (ja) * 1998-10-16 2000-06-13 Samsung Electronics Co Ltd 薄膜製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03201425A (ja) 1989-12-28 1991-09-03 Fujitsu Ltd 半導体装置
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
JP4097747B2 (ja) 1997-08-07 2008-06-11 株式会社アルバック バリア膜形成方法
US6399484B1 (en) * 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6773687B1 (en) * 1999-11-24 2004-08-10 Tokyo Electron Limited Exhaust apparatus for process apparatus and method of removing impurity gas
JP5016767B2 (ja) * 2000-03-07 2012-09-05 エーエスエム インターナショナル エヌ.ヴェー. 傾斜薄膜の形成方法
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
JP2002206168A (ja) * 2000-10-24 2002-07-26 Canon Inc シリコン系薄膜の形成方法、シリコン系半導体層の形成方法及び光起電力素子

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH11172438A (ja) * 1997-09-29 1999-06-29 Samsung Electron Co Ltd 化学気相蒸着法による金属窒化膜形成方法及びこれを用いた半導体装置の金属コンタクト形成方法
JP2000160342A (ja) * 1998-10-16 2000-06-13 Samsung Electronics Co Ltd 薄膜製造方法

Also Published As

Publication number Publication date
JP4800344B2 (ja) 2011-10-26
KR100601821B1 (ko) 2006-07-20
AU2002221122A1 (en) 2002-06-24
TW507263B (en) 2002-10-21
JPWO2002048427A1 (ja) 2004-04-15
US20040029379A1 (en) 2004-02-12
KR20060021940A (ko) 2006-03-08
JP2008240158A (ja) 2008-10-09
WO2002048427A1 (fr) 2002-06-20
CN1479805A (zh) 2004-03-03
KR20030062365A (ko) 2003-07-23
US7482283B2 (en) 2009-01-27

Similar Documents

Publication Publication Date Title
CN100366792C (zh) 薄膜形成方法及薄膜形成装置
US6905549B2 (en) Vertical type semiconductor device producing apparatus
KR100489140B1 (ko) 라디칼-보조 순차 cvd
US6573184B2 (en) Apparatus and method for depositing thin film on wafer using atomic layer deposition
US7067438B2 (en) Atomic layer deposition method of forming an oxide comprising layer on a substrate
CN1174117C (zh) 从钽卤化物前体得到的热化学气相沉积钽氮化物膜的等离子体处理方法
US5403630A (en) Vapor-phase growth method for forming S2 O2 films
JP4585692B2 (ja) 薄膜形成方法
EP1238421B1 (en) Apparatus and method for minimizing parasitic chemical vapor deposition during atomic layer deposition
CN113529044A (zh) 形成含氮碳膜的方法和用于执行该方法的系统
US20050238808A1 (en) Methods for producing ruthenium film and ruthenium oxide film
US7163719B2 (en) Method of depositing thin film using hafnium compound
CN100577864C (zh) 形成含二氧化硅的层的原子层沉积方法
CN109576674B (zh) 原子层沉积设备
WO2005024926A1 (ja) 基板処理装置及び半導体装置の製造方法
KR100531464B1 (ko) 원자층 증착법을 이용한 하프니움산화막 형성방법
KR20030058271A (ko) 플라즈마를 이용한 원자층 증착방법
KR20060029554A (ko) Ald 다원계 박막증착방법
KR20040105195A (ko) 원자층 박막 증착 설비의 가스공급방법
JPH02282481A (ja) アモルファス多層薄膜形成方法
KR20040104096A (ko) 실리콘 나이트라이드의 원자층증착 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080206

Termination date: 20141211

EXPY Termination of patent right or utility model