KR100489140B1 - 라디칼-보조 순차 cvd - Google Patents

라디칼-보조 순차 cvd Download PDF

Info

Publication number
KR100489140B1
KR100489140B1 KR10-2001-7011511A KR20017011511A KR100489140B1 KR 100489140 B1 KR100489140 B1 KR 100489140B1 KR 20017011511 A KR20017011511 A KR 20017011511A KR 100489140 B1 KR100489140 B1 KR 100489140B1
Authority
KR
South Korea
Prior art keywords
metal
precursor
thin film
deposited
gas
Prior art date
Application number
KR10-2001-7011511A
Other languages
English (en)
Other versions
KR20020010580A (ko
Inventor
오퍼 스니
Original Assignee
제너스 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 제너스 인코포레이티드 filed Critical 제너스 인코포레이티드
Publication of KR20020010580A publication Critical patent/KR20020010580A/ko
Application granted granted Critical
Publication of KR100489140B1 publication Critical patent/KR100489140B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides

Abstract

기판에 CVD를 증착하기 위한 새로운 방법이 공개된다. 이 방법에서, 분자 프리커서로부터 증착되는 물질을 처리하도록 분자 프리커서로부터의 증착에 라디칼이 사용되고, 다음 분자 프리커서 단계를 준비하고자 반응성 화학물로 표면 기판을 준비하는 단계가 교대로 진행된다. 반복적 사이클에 의해 복합 집적 박막이 형성된다. 선호되는 실시예에서, 분자 프로커서로부터의 증착은 금속이고, 교대 단계에서 라디칼은 금속 프리커서 반응으로부터 남은 리간드를 제거하기 위해 사용되며, 또한 이어지는 층에서 금속 표면을 산화하거나 질화하기 위해 사용된다. 여러 다른 박막에 대해 다양한 화학물질이 소개되고, 발명의 구현을 위한 하드웨어 조합이 또한 소개된다.

Description

라디칼-보조 순차 CVD{RADICAL-ASSISTED SEQUENTIAL CVD}
본 발명은 화학 증기 증착법의 분야에 관한 것으로서, 원자층 증착에 의한 박막 증착을 위한 신규한 방법 및 장치에 관한 것이다.
집적 회로 제작시에, 여러 순수한 물질이나 화합물의 박막 증착이 필요하고, 이러한 증착을 행하기 위해 여러 기술이 개발되었다. 최근에 당 분야의 박막 증착에 자주 이용되는 기술은 화학 증기 증착(CVD)으로서, 이 기술은 균일한 코팅을 제공할 때 우수한 성능을 보여주고, 웨이퍼 기술에서 다른 높은 애스펙트와 불균일한 형태에 대해 바이어 내에 등각의 코팅을 제공한다. 소자 밀도가 계속해서 증가하고 형태가 점차 조밀해짐에 따라, CVD 기술의 우수한 등각 코팅조차 도전을 받고 있고, 더 훌륭한 기술이 필요해지고 있다.
CVD의 변형으로서, 원자층 증착이 고려되고 있다. 이는 저온 증착시에도 균일하고 등각의 코팅을 제공한다. 그러나, 이 기술의 실제 구현은 높은 순도와 높은 생산성에 대한 해법을 필요로한다. 이 특허출원은 이들 요구사항을 다룬다.
원자층 증착
CVD 분야에서, CVD 기술의 능력 확장을 위한 약속된 후보로 원자층 증착(ALD)이라 알려진 공정이 나타났고, 화학 증기 증착의 특성을 개선시키고자 하는 반도체 장비 제작자에 의해 급속한 발전하에 있다. ALD의 원래 명칭은 원자층 에피택시(Atomic Layer Epitaxy)로서, 1990년 Glasgo and London에서 Blackie에 의해 출판된 T. Suntola와 M.Sipmpston 편집의 "Atomic Layer Epitaxy"를 참고할 수 있다.
일반적으로 ALD는 기존 CVD 공정을 단일층 증착 단계로 나누는 공정으로서, 각각의 분리된 증착 단계는 이론적으로, 단일 분자나 원자 단층 두께에서 포화에 이르러 자체적으로 종료된다.
증착은 반응성 분자 프리커서와 기판 사이에 화학 반응의 소산이다. CVD와 유사하게, 박막을 구성하는 요소들이 분자 프리커서로 운반된다. 알짜 반응은 순수한 원하는 박막을 증착하여야 하고, 분자 프리커서(리간드)를 구성하는 "엑스트라" 원자들을 제거하여야 한다. CVD의 경우에, 분자 프리커서는 CVD 반응기 내로 동시 공급된다. 부산물의 효율적 흡수제거와 함께 분자 프리커서간의 화학 반응을 촉진시키도록 최적화된 온도로 기판이 유지된다. 따라서, 반응은 바람직한 순수한 박막을 증착하도록 진행된다.
ALD 응용의 경우에, 분자 프리커서가 ALD 반응기 내로 따로 삽입된다. 이는 실제 한번에 한 개의 프리커서를 흐르게함으로서 행하여진다. 즉, 휘발성 분자를 만들기 위해 원자나 분자 리간드 -L에 결합되는 금속 원소 -M를 포함하는 금속 프리커서 -MLx(M=Al, W, Si, Ta, 등)를 흐르게함으로서 행하여진다. 금속 프리커서 반응에 이어, 다른 프리커서의 분리된 삽입 이전에 챔버로부터 이 프리커서를 제거하기 위해 비활성 기체 정화(purging)가 실행된다. 표면이 분자 프리커서와 직접 반응 준비가 된 경우에만 ALD 반응이 발생할 것이다. 따라서, 표면은 금속 프리커서와 반응하는 수소함유 리간드를 포함하도록 준비된다. 표면-분자 반응은 표면 상의 모든 리간드와 반응하도록 진행될 수 있고, 부동태화 리간드로 금속의 단일층을 증착할 수 있다. 즉, 기판-AH+MLx -> 기판-AMLy+HL 이고, 이때 HL은 교환 반응 부산물이다. 반응 중에 초기 표면 리간드 -AH가 소모되고, 표면은 L 리간드로 덮히며, 이는 금속 프리커서 -MLx와 더 이상 반응하지 않는다. 따라서, 모든 초기 리간드가 MLy 종으로 대체될 때 반응은 자체포화된다.
금속 프리커서 반응을 완료한 후, 초과분 프리커서가 또다른 프리커서의 삽입 이전에 반응기로부터 제거된다. 금속 프리커서를 향해 표면 반응을 복원하기 위해 두 번째 형태의 프리커서가 사용된다. 즉, L 리간드를 제거하고, AH 리간드를 재증착한다.
대부분의 ALD 처리는 화합물 박막을 증착하기 위해 적용된다. 이 경우에, 제 2 프리커서는 H20, NH3, H2S 등을 이용하여 원하는 원소(일반적으로 비금속) -A(즉, O, N, S)와 수소로 이루어진다. 반응: -ML + AHz → -M-AH + HL은 표면을 다시 AH로 덮히게 한다. 바람직한 추가 원소 -A가 증착되고, 리간드 L은 휘발성 부산물로 제거된다. 또한, 반응은 반응 사이트(이 경우엔 L 종료 사이트)를 소모하고, 반응성 사이트가 완전히 고갈될 때 자체 포화된다.
초기 상태로 표면을 복원하는 표면 반응의 순서는 ALD 증착 사이클이라 불린다. 초기 표면으로의 복권은 ALD의 요지이다. 이는, 화학적 동역학, 사이클 당 증착, 조성, 그리고 두께에서 모두 동일한 동일 계측의 순서로 박막이 아래로 적층될 수 있다. 자체 포화 표면 반응은 ALD를 흐름 공정이나 표면 구조로부터 불균일하게 운송하도록 ALD를 무감각하게 한다. 어떤 균일한 플럭스도 다른 영역에서 다른 완료 시간을 보일 수 없다. 그러나, 각각의 반응이 전체 영역에서 완료된다면, 다른 완료 동역학은 어떤 벌칙도 지니지 않는다.
공정 발전에서 자주 있는 경우로서, 새 기술의 초기 약정된 장점은 마지막에 완전한 초기 약속을 지니지 않는다. 불행하게도, ALD는 중요한 기본적 문제점을 가진다. 연속적인 스테디-상태의 성질을 가지는 CVD 반응과 달리, ALD 반응은 분자-표면 상호작용의 동역학을 따른다. 분자-표면 반응의 동역학은 분자 프리커서와 표면 반응 사이트 간의 개별 반응 속도 및 가용 반응 사이트의 수에 따라 좌우된다. 반응이 완료되면, 표면은 반응성에서 비-반응성으로 변화된다. 그 결과, 반응 속도가 증착 중에 저하된다. 가장 간단한 경우에, 속도 dN/dt는 반응 사이트의 수에 비례한다. 즉, dN/dt = -kN, 이때 N은 반응 사이트의 수이고, k은 단일 사이트의 반응 속도이다. 반응 사이트의 제거(또는 기반응된 사이트의 성장)는 지수함수적인 시간 의존도 kN(t) = kN0exp(-kt)를 따른다. 분자-표면 동역학의 이 기본 성질은 위대한 과학자 랑무어(Langmuir)의 이름을 따르며, 당 분야에 공지되어 있다.
랑무어 동역학 제한의 해석은 ALD의 중대한 결함을 설명하고, 이상적인 개념으로부터 상당한 편차를 보인다. 따라서, 자체 종료 반응이 실제로는 절대로 자체 종료되지 않는다(반응이 지수함수적으로 감소하기 때문에 무한한 시간을 필요로한다). 이는 실제적 조건 하에서 증착 사이클 이후 표면이 절대 전체적으로 완료 반응되지 않음을 의미한다. 표면이 완전히 반응하지 않을 경우, 박막에는 나머지 불필요한 원소들이 남는다. 가령, MLx 반응이 전체적으로 표면 -AH를 소모할 수 없기 때문에, 박막은 H 결합을 가질 것이다. 마찬가지로, AHy 반응이 완료까지 진행되지 않기 때문에, 불필요한 L 결합이 불가피하다. 명백하게도, 박막의 품질은 불순물 정도에 따라 좌우된다. 생산성-품질 흥정선(tradeoff)은 불순물 정도의 감소를 얻기 위해 지수함수적인 생산성 감소를 보이기 때문에 상기 흥정선이 특히 중요하다.
기존 원자층 증착에서, 고순도 박막을 얻기 위해 생산성을 수용하여야 하고, 또는 높은 생산성을 위해 낮은 순도의 박막을 감내하여야 한다. 이때 명백한 사실은 랑무어의 제한을 극복할 뿐 아니라 이와 동시에 기존 방법에서도 가용한 고순도 박막을 제공하는 장치 및 방법이 필요하다는 것이다. 이러한 장치 및 방법이 아래에 설명되는 본 발명의 실시예에서 제공된다.
도 1은 본 발명의 한 실시예에 따라 라디칼-보조 순차 CVD 처리공정을 구현하기 위한 반응기와 관련 장치의 다이어그램.
도 2는 원자층 증착 공정의 본질적 단계의 순서도.
도 3은 본 발명의 한 실시예에 따라는 라디칼-보조 CVD 처리 공정의 순서도.
본 발명의 선호되는 실시예에서, 증착 챔버 내에서 기판 표면 위에 금속을 증착하는 방법이 공개되고, 이 방법은 아래의 단계로 이루어진다. a) 기판의 표면 위에 금속을 지닌 분자 프리커서 기체나 증기를 흘림으로서 기판 표면 위에 금속의 단일층을 증착한다. 이때 상기 기판 표면은 제 1 반응종으로 포화되고, 프리커서는 금속을 증착하고 반응 산물을 형성함으로서 이 제 1 반응종과 반응할 것이다. 이때 금속 프리커서로부터 리간드로 덮힌 금속 표면을 남긴다. 따라서 프리커서와는 더 이상 반응하지 않는다. b) 프리커서 기체나 증기의 흐름을 종료한다. c) 프리커서를 비활성 기체로 정화(purging)한다. d) 표면 위에서 챔버 내로 한 개 이상의 라디칼 종을 흐르게한다. 이때 라디칼 종은 금속 프리커서층의 표면 리간드와 쉽게 반응하고 리간드를 반응 부산물로 제거하며, 또한 표면을 포화시키고 제 1 반응종을 제공한다. e) 바람직한 두께 결과의 금속 박막까지 순서대로 상기 단계들을 반복한다.
이러한 여러 실시예에서 라디칼 종은 수소 원자이다. 수소 원자를 이용함으로서 여러 종류의 순수 금속이 증착될 수 있고, 그 예로는 텅스텐, 탄탈륨, 알루미늄, 티타늄, 몰리브덴, 아연, 하프늄, 니오븀, 구리 등이 있다.
발명의 또다른 태양에서, 아래의 단계로 이루어지는, 증착 챔버 내에서 기판 표면 위에 금속 산화물을 증착하는 방법이 제공된다. 즉, a) 기판 표면 위에 금속을 지닌 분자 프리커서 기체나 증기를 흘림으로서 기판 표면 위에 금속의 단일층을 증착한다. 상기 표면은 제 1 반응종에 의해 포화되고, 프리커서는 금속을 증착하고 반응 산물을 형성함으로서 제 1 반응종과 반응한다. 그래서 금속 프리커서로부터 리간드로 덮힌 금속 표면을 남기고, 따라서 프리커서와는 더 이상 반응하지 않는다. b) 프리커서 기체나 증기의 흐름을 종료한다. c) 프리커서를 비활성 기체로 정화시킨다. d) 표면 위에서 챔버 내로 제 1 라디칼 종을 흐르게한다. 이때 라디칼 종은 반응 산물과 쉽게 반응하고 반응 산물과 조합하여 휘발성 종을 생성하고 제 1 라디칼 종으로 표면을 포화시킨다. e) 챔버 내로 라디칼 산소를 흐르게하여 단계 a)에서 증착된 금속 단일층과 반응하여 금속 산화물을 형성하게 한다. f) 다음 금속 증착 단계 준비를 위해 제 1 반응종으로 표면을 종료하도록 제 3 라디칼 종을 챔버 내로 흐르게한다. g) 바람직한 두께 결과의 복합 박막에 이를 때까지 상기 단계 a)-f)를 순서대로 반복한다.
이 방법에서, 제 1 라디칼 종과 제 3 라디칼 종은 둘다 수소 원자일 수 있고, 단계 f)의 금속 표면은 금속 증착을 위해 금속 프리커서와 반응하는 수산화기로 종료된다. 또다른 실시예에서, 산소와 수소 원자의 단계 e)와 f)는 단계 내에서 박막 품질 개선을 위해 반복된다. 또다른 실시예에서, 단계 e)와 f)는 한 단계로 조합되고, 이때 표면은 수소 원자 및 산소 원자와 동시에 반응한다.
산화물 증착을 위한 여러 실시예에서, 산화물은 오산화탄탈륨, 산화알루미늄, 산화티타늄, 오산화니오븀, 산화지르코늄, 산화하프늄, 산화아연, 산화몰리브덴, 산화망간, 산화주석, 산화인듐, 산화텅스텐, 산화규소 등일 수 있다.
일부 실시예에서, 제 1 라디칼 종은 수소 원자이고, 단계 e)와 f)는 OH 라디칼을 이용하여 한 단계로 통합되며, 단계 f)의 금속 표면은 금속 증착을 위해 금속 프리커서와 반응하는 수산화기로 종료된다.
발명의 또다른 태양에서, 증착 챔버에서 기판 표면에 금속 질화물을 증착하기 위한 방법이 공개된다. 이 방법은 아래의 단계로 이루어진다. 즉, a) 기판 표면 위에 금속을 지닌 분자 프리커서 기체나 증기를 흘림으로서 기판 표면 위에 금속 단일층을 증착한다. 이때 상기 표면은 제 1 반응종으로 포화되고, 상기 프리커서는 금속을 증착하고 반응 부산물을 형성함으로서 제 1 반응종과 반응하여, 금속 프리커서로부터 리간드로 덮힌 금속 표면을 남기고, 따라서 프리커서와는 더 이상 반응이 없다. b) 프리커서 기체나 증기의 흐름을 종료한다. c) 프리커서를 비활성 기체로 정화한다. d) 표면 위에 그리고 챔버 내로 제 1 라디칼 종을 흐르게하고, 이때 원자종은 금속 프리커서층의 표면 리간드와 쉽게 반응하고 반응 부산물로 리간드를 제거하고 표면을 또한 포화시킨다. e) 라디칼 질소를 챔버 내로 흐르게하여 단계 a)에서 증착된 금속 단일층과 반응하여 금속 질화물을 형성하게 한다. f) 제 3 라디칼 종을 챔버 내로 흐르게하여, 다음 금속 증착 단계 준비를 위해 제 1 반응종으로 표면을 종료시킨다. g) 바람직한 두께 결과의 복합 필름을 얻을때까지 앞서의 단계들을 반복한다.
이 방법에서, 제 1 원자 라디칼 종과 제 3 원자 라디칼 종은 둘다 수소 원자일 수 있고, 단계 f)의 금속 표면은 금속 증착을 위해 금속 프리커서와 반응하는 아민(amine) 종으로 종료될 수 있다. 더욱이, 단계 e)와 f)는 한 단계로 통합되고, 이때 표면은 수소 및 질소 원자와 동시에 반응한다.
본 실시예의 변형에서, 여러 다른 질화물의 예로는 질화텅스텐, 질화탄탈륨, 질화알루미늄, 질화티타늄, 질화규소, 질화갈륨 등이 있다.
또다른 변형에서, 제 1 라디칼 종은 수소 원자일 수 있고, 단계 e)와 f)는 NH와 NH2 라디칼을 이용하여 한 단계로 통합될 수 있다. 단계 f)의 금속 표면은 금속 증착을 위해 금속 프리커서와 반응하는 아민 종으로 종료된다.
발명의 또하나의 태양에서, 금속, 금속 산화물, 금속 질화물 박막을 기판 표면 위에 형성하는 과정이 제공되고, 이때 증착 단계는 표면 위에 금속 프리커서 기체나 증기를 흘리는 과정을 포함하고, 상기 표면은 금속 증착을 위해 금속 프리커서와 반응하는 제 1 화학종으로 종료되며, 앞서의 단계와 교대로, 증착 단계로부터 리간드를 제거하기 위해, 그리고 기판은 다음 증착 반응을 위한 준비 상태로 종료하도록 제 1 화학종을 제공하기 위해, 새롭게 증착된 금속층 위에 라디칼 종을 흐르게한다.
이 공정에서, 금속 질화물 박막이 금속 증착의 단계적 순서에 의해 구성된다. 즉, 아민 종에 의해 종료되는 표면과 금속 프리커서 기체를 반응시킴으로서, 그후 원자 라디칼 수소, 질소, 다시 수소로 표면의 노출을 교대함으로서, 그래서 금속 증착 화학 반응으로부터 남은 부산물을 휘발처리하고, 증착된 금속 단일층을 질화시키며, 그후 다음 금속 증착 단계를 위해 준비된 아민 종으로 금속 표면을 종료한다. 금속 증착의 단게적 순서에 의해 금속 산화물 박막이 구성된다. 즉, 수산화기로 종료되는 표면과 금속 프리커서 기체를 반응시킴으로서, 그후 원자 라디칼 수소, 산소, 그리고 다시 수소로 표면을 교대로 노출시킴으로서, 그래서 금속 증착 반응으로부터 남아있는 산물을 휘발처리하고, 금속 단일층을 산화시키며, 그후 다음 금속 증착 단계를 위해 준비된 수산화기로 금속 표면을 종료한다.
발명의 또다른 태양에서, 기판 표면에 화합물 박막을 증착하기 위한 방법이 제공된다. 상기 방법은 아래의 단계로 이루어진다. a) 기판 표면 위에 금속을 지닌 분자 프리커서 기체나 증기를 흘림으로서 기판 표면에 금속의 단일층을 증착한다. 이때 기판 표면은 제 1 반응종에 의해 포화되고, 상기 프리커서는 금속을 증착하고 반응 산물을 형성함으로서 제 1 반응종과 반응하여, 금속 프리커서로부터 리간드로 덮힌 금속 표면을 남기며, 따라서 프리커서와는 더 이상 반응하지 않는다. b) 프리커서 기체나 증기의 흐름을 종료한다. c) 비활성 기체로 프리커서를 정화시킨다. d) 표면 위 그리고 챔버 내로 제 1 라디칼 종을 불어넣고, 이때 상기 라디칼 종은 반응 산물과 쉽게 반응하고 반응 산물과 결합하여 휘발성 종을 생성하고 제 1 라디칼 종으로 표면을 포화시킨다. e) 챔버 내로 비금속 원자종을 불어넣어 단계 a)에서 증착된 금속 단일층과 반응하게 하고, 금속의 화합물 박막을 형성하며, f) 챔버 내로 제 3 라디칼 종을 불어넣고, 다음 금속 증착 단계를 위한 준비로 제 1 반응종으로 표면을 종료시키며, g) 바람직한 두께의 복합 박막을 얻을 때까지 순서대로 상기 단계들을 반복한다.
이 방법에서, 제 1, 3 라디칼 종은 둘다 수소 원자일 수 있고, 단계 f)의 금속 표면은 금속 증착을 위해 금속 프리커서와 반응하는 비금속 원소의 수산화물(hydride)로 종료된다. 발명의 변형에서, 비금속 및 수소 원자 단계 e)와 f)가 단계 내에서 박막 품질 개선을 위해 반복된다. 또다른 변형에서, 단계 e)와 f)가 한 단계로 통합되고, 이때 표면이 수소 및 비금속 원자와 동시에 반응한다. 발명의 앞서 변형을 실현함으로서 여러 박막이 생성될 수 있고, 그 예로는 이황화몰리브덴(molybdenum disulfide)과 황화아연(zinc sulfide) 등이 있다.
발명의 또다른 태양에서, 챔버와 플라즈마 발생 장치를 포함하는 라디칼-보조 순차 CVD 반응기가 제공된다. 상기 챔버는 순차적으로 기체를 불어넣기 위한 제어형 기체 유입구와, 기판을 지지하고 유입 기체에 기판 표면을 노출시키기 위한 가열된 기판 지지체를 포함한다. 상기 플라즈마 발생 장치는 반응기에서 사용되는 라디칼 원자종을 발생시킨다. 이 반응기에서, 기판 표면 위에 금속을 지닌 분자 프리커서 기체나 증기를 흘림으로서 기판 표면에 금속 단일층을 증착함으로서 총 금속층(aggregate metal layer)이 형성된다. 이때 상기 표면은 제 1 반응종에 의해 종료되고, 상기 프리커서는 금속을 증착하고 반응 산물을 형성함으로서 상기 제 1 반응종과 반응하며, 프리커서와 반응하지 않는 금속 표면을 남기고, 프리커서 기체나 증기의 흐름을 종료시키며, 한 개 이상의 원자 라디칼 종을 챔버 내 표면 위로 불어넣고, 이때 원자종은 반응 산물과 쉽게 반응하여 반응 산물과 조합되고 표면을 종료시켜서, 제 1 반응종을 제공하고, 그리고 바람직한 두께의 복합 박막을 얻을 때까지 상기 단계들을 반복한다.
여러 실시예에서, 원자 라디칼 종은 수소 원자이다. 금속을 지닌 프리커서 기체는 육플루오르화텅스텐(tungsten hexaflouride)과 금속 증착된 텅스텐일 수 있다.
일부 실시예에서, 플라즈마 발생 장치는 반응기 챔버 내에 전극과, 전극에 연결된 고주파 전원 장치를 포함한다. 또다른 실시예에서, 플라즈마 발생 장치는 샤워헤드형 기체 분배 장치를 포함하고, 상기 샤워헤드 장치 내에서 플라즈마가 발생되어 라디칼 종을 생성한다. 또다른 실시예에서, 원자 라디칼 종은 원격 플라즈마 발생 장치에서 생성되고, 이 종들이 반응기로 운반된다.
발명의 여러 실시예에서, 새로운 처리과정이 제공된다. 이때 순수 금속, 금속 산화물, 금속 질화물, 등의 여러 종류의 박막이 기판 형태와 바이어 내의 덮개 형태, 그리고 다른 어려운 표면 형태에 대한 우수한 일치도와 고순도로 신속하고 효율적으로 제공될 수 있다.
발명자는 ALD의 개선된 변형을 개발하였으며, 이 변형 장치는 ALD의 기존 표면 준비 단계를 변경시키고 기존 ALD의 문제점을 극복하여 품질 저하없이 높은 생산성을 보인다. 발명자는 새롭고 독자적인 이 공정을 라디칼-보조 순차 CVD(RAS-CVD)라 부른다.
도 1은 본 발명의 한 실시예에 따라 RAS-CVD를 구현하기 위한 시스템(11)의 다이어그램이다. 본 예의 시스템에서, 증착 챔버(13)는 코팅될 기판(19)을 지지하고 가열하기 위한 가열가능한 하스(hearth)와, 코팅될 기판 표면에 기체 종을 운반하기 위한 샤워헤드(15)와 같은 기체 분배 장치를 포함한다. 기판은 챔버(13)로부터 밸브(21)와 기판 조작 장치(도시되지 않음)를 통해 삽입되고 제거된다. 기체는 기체 공급 장치(23)로부터 공급되고, 상기 기체 공급 장치(23)는 기체 물질을 순차적으로 제공하기 위해 측정 및 계량 장치를 포함한다. 장치(23)로부터 공급되는 기체로부터 기체 라디칼을 생성하기 위해 부가적인 처리 장치(25)가 제공된다.
라디칼이라는 용어는 공지된 용어이지만 혼동을 피하기 위해 잠깐 언급한다. 라디칼은 불안정한 화학종을 의미한다. 가령, 산소는 이원자 상태에서 안정하고, 자연계에서 원칙적으로 이 형태, 즉 이원자 상태로 존재한다. 그러나, 이원자 산소가 단원자 형태로 분리도리 수도 있고, 3원자로 된 분자를 형성하는 오존 생성을 위해 또다른 산소 원자와 결합할 수도 있다. 단원자 산소와 오존은 산소의 라디칼 형태로서, 이원자 산소보다 반응성이 높다. 본 발명의 실시예에서의 여러 경우에, 생성되고 사용되는 라디칼은 산소, 수소, 질소와 같은 여러 기체의 단원자 형태이지만, 발명이 이러한 단원자 기체에 제한되는 것은 아니다.
도 2는 기존 원자층 증착 공정의 순서도로서, 본 발명과 비교를 위해 제시된다. 도 2에 도시되는 바와 같이 기존 ALD에서, 제 1 분자 프리커서가 반응기 챔버 내로 들어가 표면과 반응하여, 바람직한 물질의 단일층을 생성한다(이론적)(단계 31). 이들 공정에서, 프리커서는 ALD 기체이고 증착되는 물질은 금속인 경우가 대부분이다. 한 예를 들자면 TaCl5로부터 탄탈륨이 증착되는 경우를 들 수 있다.
기존 공정의 단계 33에서, 비활성 기체가 반응기 챔버 내로 들어가 챔버로부터 과량의 제 1 프리커서를 일소해버린다.
기존 시스템의 단계 35에서, 일반적으로 비금속인 제 2 프리커서가 반응기 내로 들어간다. 제 2 프리커서의 주된 목적은 기판 표면의 조건을 제 1 프리커서와의 반응을 향해 되돌리는 것이다. 여러 경우에, 제 2 프리커서는 표면에서 금속과 결합하기 위한 분자 기체로부터의 물질을 제공하여, 새로이 증착된 금속과 산화물이나 질화물같은 화합물을 형성한다.
단계 37에서, 반응기 챔버는 과량의 제 2 프리커서를 제거하기 위해 다시 정화되고, 단계 31이 반복된다. 사이클은 원하는 박막을 얻기 위해 필요한 만큼 여러 회 반복된다.
도 3은 본 발명의 한 실시예에 따른 라디칼-보조 CVD 공정의 단계를 도시하는 순서도이다. 도 3에서 도시되는 독자적 공정에서, 첫 번째 단계들인 단계 41과 43은 기존 공정과 동일하다. 단계 41에서 제 1 프리커서가 공급되어 기판 표면과 반응하고 단일층의 증착물을 형성시키며, 챔버는 단계 43에서 정화된다. 그 다음 단계는 독자적이다. 단계 45에서, 단일 라디칼 종이나 다중 라디칼 종이 기판 표면에 제공되어, 부가적으로 표면에 제 2 물질을 제공하고 이어지는 단계에서 제 1 분자 프리커서와 반응을 향해 표면을 되돌린다. 그후 단계 41이 반복된다. 2차 정화에 대한 필요성이 없으며, 사이클은 바람직한 박막을 얻기 위해 필요한만큼 반복된다.
단계 45는 단일 라디칼 종을 포함한 단일 단계일 수 있다. 가령, 제 1 프리커서가 금속을 증착할 수 있다. 예를 들어 WF6로부터 W를 증착할 수 있고, 단계45의 라디칼 종은 수소 원자일 수 있다. 수소 원자는 매우 신속하고 효과적으로 잔여 F를 HF로 중성화하고, 표면을 수소 원자로 종료시켜서, 다음 펄스 WF6에 대한 반응 표면을 제공한다.
여러 경우에 단계 45는 여러 다른 라디칼 종들과 연관된 서브단계들을 포함하는 복합 단계일 것이다. 수소 원자 다음에 산소 원자 다음에 수소 원자의 순서가 좋은 예다. 첫 번째 수소 단계는 Cl이나 다른 리간드를 중성화하고, 산소 원자는 새로이 증착된 금속의 산화물을 제공하며, 제 2 수소 원자는 다음 금속 프리커서 단계를 위한 준비로 (OH)로 표면을 종료시킨다.
단계 45에는 여러 다양한 물질과 그 조합이 가능하며, 아래에서 여러 사항이 보다 상세하게 공개되며, 보다 완전한 화학 공정에 대한 설명이 있을 것이다.
RAS-CVD에서, 금속 프리커서 반응 이후에, 높은 반응성의 라디칼 종이 삽입되어 금속 프리커서 반응의 산물과 신속하게 반응하고 다음 금속 프리커서 반응을 위해 표면을 준비한다. 앞서 삽입된 라디칼 종은 화학적으로 불안정하여 반응성이 매우 높은 반응성 원자나 분자 조각이다. 추가적으로, 라디칼은 거의 100% 효율로 표면을 화학흡착한다. 라디칼은 여러 방식으로 생성될 수 있고, 플라즈마 발생은 효율적이고 호환가능한 준비 수단으로 판명되었다.
RAS-CVD 공정은 여러 경우에 금속 프리커서인 단일 분자 프리커서만을 이용한다. 표면 준비는 물론 비금속 원소의 증착은 원자 표면 반응에 의해 달성된다. 금속 프리커서 반응 다음에, -ML 종료된 표면이 수소 원자와 반응하여, 표면은 -MH로 변화시키고 HL 부산물을 제거한다. 분자-표면 반응과 달리, 원자-표면 반응은 반응 사이트의 수(밀도)에 따라 좌우되지 않는다. 원자 흡수제거가 일반적으로 선호되지 않으므로, 대부분의 원자(비활성 기체 제외)는 비가역 과정으로 표면에 매우 효과적으로 달라붙는다. 원자들은 비반응 사이트에서 이동성이 매우 크고, 반응 사이트에서 반응성이 매우 크다. 결과적으로 원자 표면 반응은 선형 노출 의존도과 높은 속도 의존도를 가진다.
-MH 표면은 -M-A-표면을 얻도록 A 원자와 반응할 수 있다. 이 경우에, 일부 H 리간드는 AHy로 제거될 수 있다. 가령, -MH 표면은 산화물 화합물 증착을 위해 산소 원자와 반응할 수 있다. 대안으로, -MH 표면이 M 금속 박막의 원자층 제어 증착을 위해 MLx와 다시 반응할 수 있다. 질화물 화합물 박막의 증착의 경우에, A는 질소 원자이다. A 원자 반응 이후 표면은 A-와 AH로 종료된다. 이때, 수소와의 추가적인 원자 반응이 표면을 바람직한 AH 리간드로 변화시키고, AH 리간드는 금속 프리커서를 향해 반응성을 가진다. 대안으로, MH 표면이 A와 H 원자의 혼합물과 반응할 수 있어서, 표면을 -AH로 종료되는 표면으로 변환시킨다. 앞서 기술한 모든 반응은 고속이고 효율적인 라디칼-표면 반응이고, 노출에 선형으로 의존한다. 추가적으로, 최종 수소 반응은 어떤 불순물도 끼지 않은 초기 표면으로의 완전한 복권을 유발한다.
RAS-CVD의 또다른 생산성 장점은 금속 프리커서 단계 이후에 단일 정화 단계만이 필요하다는 점이다. 정화 단계는 전문가들에게 있어 ALD 공정에서 가장 크게 생산성을 제한하는 단계로 인식되고 있다. 또다른 장점은 RAS-CVD에서 시스템 가동 시간이 더 길고 유지관리가 더 감소된다는 점이다. 이는 증착 모듈의 알루미늄 벽에 원자종이 효율적으로 켄칭될 수 있기 때문이다. 따라서 챔버와 펌핑 라인 상의 하향 증착이 제거된다. RAS-CVD는 기존 기술에서 산화물과 질화물 증착에 공통적으로 적용되는 H20와 NH3의 이용을 제거한다. 이 프리커서로 인해 진공 시스템의 고장 시간과 유지관리가 증가된다는 것은 공지의 사실이다.
금속 산화물 박막에 대한 전형적인 RAS-CVD 사이클은 다음과 같은 단계를 포함한다.
1. 금속 프리커서가 -OH(수산화기)로 종료된 표면과 반응하여, -O-MLy를 부착하고, HL 흡착제거에 의해 수소를 제거한다. 표면은 L 리간드로 덮히게 되고, 즉, TaCl5의 경우에 표면은 Cl 원자로 덮히게 된다.
2. 과량의 금속 프리커서를 일소하도록 비활성 기체로 정화.
3. 수소 원자 단계는 HL 흡착제거에 의해 리간드 L을 제거하고 표면을 수소로 종료한다.
4. 산소 원자 단계는 산화물 형성을 위해 금속의 단일층과 반응한다. 수소 원자는 다음 금속 프리커서 단계를 위해 수산화기로 표면을 포화되게 남겨둔다.
이때, 산화물 박막의 품질은 단계 4+5를 여러번 구동함으로서 향상될 수 있다. 가령, Al2O3 RAS-CVD는 트리메틸알루미늄 Al(CH3)3, 수소, 그리고 산소 노출로부터 실현될 수 있다. -OH로 종료되는 표면과 반응하는 Al(CH3)3는 메탄(CH4)의 흡착제거와 동시에 -OAl(CH3)x를 증착할 것이다. -OAl(CH3)x(x=1,2) 표면은 H 원자로 처리되어, 메칸 분자의 X 숫자를 제거할 것이고 표면을 -OAlH로 종료할 것이다. O 원자와 H 원자와의 일련의 반응 후 이 표면은 복권 상태인 -OAl-OH로 종료될 것이다. 이 때, RAS-CVD 처리는 또다른 Al(CH3)3 반응을 적용함으로서 진행될 수 있다. 대안으로, -OAl-OH 표면은 O 및 H 원자의 또다른 사이클에 노출될 수 있다. 섭씨 100도 이상의 온도에서, 이 과정은 OH 그룹과 Al-O-Al 브리지 사이트를 교환할 것이고, 최종 -OAl-OH 표면은 시작 표면보다 열역학적으로 보다 선호될 것이다. 왜냐하면, 이 처리과정이 보다 변형된 (Al-O-)n 링 구조를 제거할 뿐 아니라, 결함과 단절된 결합을 적정(titrating)하여 제거하기 때문이다. 원자 반응이 보다 고속이기 때문에, 이 품질 개선은 주된 생산성 관심사가 되지 못한다. 실제로, O, H 사이클을 여러번 적용함으로서 최선의 품질을 얻을 수 있다. 주어진 수의 O, H 원자 반응 이후에, 순서는 다음 Al(CH3)3 반응으로 진행될 것이다.
금속 질화물의 경우에, 질소가 산소를 대신한다. 순수한 금속 증착의 경우에, 텅스텐 박막과 같이 단일 수소 원자 단계를 선호하여 산소/질소 단계가 제거될 수 있다. 제 1 수소 원자 단계 이후 수소로 포화된 표면은 순수 금속 생성을 위해 WF6와 반응한다.
RAS-CVD의 일반적 성질은 다층 복합 박막(서로 다른 산화물들, 서로 다른 질화물들, 질화물을 가지는 산화물, 금속과 금속)의 경우에도 장점을 가진다.
장벽층에 유용한 또다른 독자적 처리공정에서, WN 처리 공정은 순수한 W 처리와 결합되어 다결정화 억제 및 장벽층 전기저항 감소를 위한 여러 다양한 기법으로 W와 WN 층을 교대로 생성할 수 있다. 전자 이동과 같은 다른 성질은 이러한 응용을 위해 구리 인터페이스에서 감소된 질소 함량으로 WN의 층을 제공할 수 있은 능력에 의해 제어될 수 있다.
발명의 실시예들에서 여러 다양한 처리 화학 공정이 실현되어 다양한 최종 박막을 생성할 수 있다. 순수 금속의 영역에서, 다음은 그 목록의 일부이다.
1. 6플루오르화텅스텐으로부터 텅스텐.
2. 5염화탄탈륨으로부터 탄탈륨.
3. 3염화알루미늄이나 트리메틸알루미늄으로부터 알루미늄
4. 4염화티타늄이나 4요오드화티타늄으로부터 티타늄.
5. 6플루오르화몰리브덴으로부터 몰리브덴.
6. 2염화아연으로부터 아연.
7. 4염화하프늄으로부터 하프늄.
8. 5염화니오븀으로부터 니오븀.
9. Cu3Cl3로부터 구리.
산화물 영역에서, 다음은 그 목록의 일부이다.
1. 5염화탄탈륨으로부터 5산화탄탈륨.
2. 트리메틸알루미늄이나 3염화알루미늄으로부터 산화알루미늄.
3. 3염화티타늄이나 4요오드화티타늄으로부터 산화티타늄.
4. 5염화니오븀으로부터 5산화니오븀.
5. 4염화지르코늄으로부터 산화지르코늄.
6. 4염화하프늄으로부터 산화하프늄.
7. 2염화아연으로부터 산화아연.
8. 6플루오르화몰리브덴이나 5염화몰리브덴으로부터 산화몰리브덴.
9. 2염화망간으로부터 산화망간.
10. 4염화주석으로부터 산화주석.
11. 3염화인듐이나 트리메틸인듐으로부터 산화인듐.
12. 6플루오르화텅스텐으로부터 산화텅스텐.
13. 4염화규소로부터 이산화규소.
질화물의 영역에서, 다음은 그 목록의 일부이다.
1. 6염화텅스텐으로부터 질화텅스텐.
2. 5염화탄탈륨으로부터 질화탄탈륨.
3. 3염화알루미늄이나 트리메틸알루미늄으로부터 질화알루미늄.
4. 4염화티타늄으로부터 질화티타늄.
5. 4염화규소나 디클로로실레인으로부터 질화규소.
6. 트리메틸갈륨으로부터 질화갈륨.
하드웨어 요구사항
RAS-CVD의 또다른 장점은 ALD 공정 하드웨어와 대부분의 경우에 호환된다는 점이다. 차이점은 원자종이나 다른 라디칼의 생성에 있고, 기체를 공정 챔버에 공급하는 시기와 순서에 있다. 원자종의 생산은 여러 방식으로 실행될 수 있다. 가령, 1) 원래의 플라즈마 발생, 2) 내부 샤워헤드 플라즈마 발생, 3) 고밀도 원격 플라즈마 소스에 의한 외부 발생이나 UV 해리나 준안정 분자 해리와 같은 다른 수단에 의한 외부 발생을 예로 들 수 있다. 도 1에서, 이들 방법 및 장치가 장치(25)로 총괄적으로 표시된다.
옵션 중에서도 원래의 플라즈마 발생이 가장 간단한 설계이지만, 생산성에 제약을 가져오는 턴-온, 턴-오프 시간과 같은 여러 문제점을 가지고 있다. 내부 샤워헤드 발생은 ALD 공간으로부터 원자종 발생을 분리시키는 장점을 가진다. 본 명세서의 시점에서 선호되는 방법은 고밀도 소스에 의한 원격 발생이다. 이는 가장 다용도의 방법이기도 하다. 라디칼이 원격 소스에서 발생되어 ALD 볼륨으로 운반되며, 공정에서 웨이퍼 위의 샤워헤드에 의해 분배된다.
앞서 기술된 실시예의 변형과 같이 본 발명의 범위 내에서 구현될 수 있은 여러 가지 옵션이 존재함을 당 분야의 통상의 지식을 가진 자라면 알 수 있을 것이다. 일부는 이미 기술한 바 있다. 가령, 산소, 수소, 질소와 같이 필요한 종의 라디칼이 여러 방식으로 발생될 수 있고 공정 단계에서 운반될 수 있다. 더욱이, ALD 챔버, 기체 분배, 밸브 조절, 타이밍 등은 여러 특정예에서 변화할 수 있다. 더욱이, 여러 종류의 박막을 위해 여러 금속, 산화물, 질화물 들이 생성될 수 있고 공정 단계가 변경될 수 있다.

Claims (74)

  1. 증착 챔버에서 기판 표면에 금속을 증착하는 방법으로서, 상기 방법은,
    a) 기판 표면 위에 금속을 지닌 분자 프리커서 기체나 증기를 불어넣음으로서 기판 표면에 금속의 단일층을 증착하고, 이때 상기 표면은 제 1 반응종에 의해 포화되고, 상기 프리커서는 금속 증착과 반응 산물 형성에 의해 제 1 반응종과 반응하여, 금속 프리커서로부터 금속 표면을 리간드로 덮히게 하고, 금속 표면은 프리커서와 더 이상 반응하지 않으며,
    b) 프리커서 기체나 증기의 흐름을 종료시키고,
    c) 프리커서를 비활성 기체로 정화시키고,
    d) 챔버 내 기판 위로 한 개 이상의 라디칼 종을 불어넣고, 이때 라디칼 종은 금속 프리커서층의 표면 리간드와 쉽게 반응하고 반응 산물로 리간드를 제거하며, 표면을 포화시키고 제 1 반응종을 제공하며,
    e) 바람직한 두께의 금속 박막을 얻을 때까지 상기 단계들을 반복하는, 이상의 단계들을 포함하는 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서, 라디칼 종은 수소 원자인 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서, 금속을 지닌 프리커서 기체는 6플루오르화텅스텐이고, 증착되는 금속은 텅스텐인 것을 특징으로 하는 방법.
  4. 제 1 항에 있어서, 금속을 지닌 프리커서 기체는 5염화탄탈륨이고, 증착되는 금속은 탄탈륨인 것을 특징으로 하는 방법.
  5. 제 1 항에 있어서, 금속을 지닌 프리커서 기체는 트리메틸알루미늄이나 3염화알루미늄 중 하나이고, 증착되는 금속은 알루미늄인 것을 특징으로 하는 방법.
  6. 제 1 항에 있어서, 금속을 지닌 프리커서 기체는 4염화티타늄이나 4요오드화티타늄 중 하나이고, 증착되는 금속은 티타늄인 것을 특징으로 하는 방법.
  7. 제 1 항에 있어서, 금속을 지닌 프리커서 기체는 6플루오르화몰리브덴이고, 증착되는 금속은 몰리브덴인 것을 특징으로 하는 방법.
  8. 제 1 항에 있어서, 금속을 지닌 프리커서 기체는 2염화아연이고, 증착되는 금속은 아연인 것을 특징으로 하는 방법.
  9. 제 1 항에 있어서, 금속을 지닌 프리커서 기체는 4염화하프늄이고, 증착되는 금속은 하프늄인 것을 특징으로 하는 방법.
  10. 제 1 항에 있어서, 금속을 지닌 프리커서 기체는 5염화니오븀이고, 증착되는 금속은 니오븀인 것을 특징으로 하는 방법.
  11. 제 1 항에 있어서, 금속을 지닌 프리커서 기체는 염화구리 Cu3Cl3이고, 증착되는 금속은 구리인 것을 특징으로 하는 방법.
  12. 증착 챔버에서 기판 표면에 금속 산화물을 증착하는 방법으로서, 상기 방법은,
    a) 기판 표면에 금속을 지닌 금속 분자 프리커서 기체나 증기를 불어넣음으로서 기판 표면에 금속의 단일층을 증착하고, 이때 상기 기판 표면은 제 1 반응종에 의해 포화되고, 상기 프리커서는 금속 증착과 반응 산물 형성에 의해 상기 제 1 반응종과 반응하여, 금속 프리커서로부터 리간드로 금속 표면을 덮히게하고, 상기 금속 표면이 더 이상 프리커서와 반응하지 않으며,
    b) 프리커서 기체나 증기의 흐름을 종료하고,
    c) 프리커서를 비활성 기체로 정화하며,
    d) 챔버 내 표면 위로 제 1 라디칼 종을 불어넣고, 이때 상기 라디칼 종은 반응산물과 쉽게 반응하고 반응 산물과 결합하여 휘발성 종을 생성하고 제 1 라디칼 종으로 표면을 포화시키며,
    e) 챔버 내에 라디칼 산소를 불어넣어 단계 a)에서 증착된 금속 단일층과 결합하게 하여 금속 산화물을 형성하고,
    f) 챔버 내에 제 3 라디칼 종을 불어넣어, 다음 금속 증착 단계를 준비하고자 제 1 반응 종으로 표면을 종료시키며,
    g) 바람직한 두께의 복합 박막을 얻을 때까지 순서대로 상기 단계들을 반복하는, 이상의 단계를 포함하는 것을 특징으로 하는 방법.
  13. 제 12 항에 있어서, 상기 제 1, 3 라디칼 종은 모두 수소 원자이고, 단계 f)의 금속 표면은 금속 증착을 위해 금속 프리커서와 반응하는 수산화기로 종료되는 것을 특징으로 하는 방법.
  14. 제 13 항에 있어서, 산소 및 수소 원자 단계 e)와 f)가 단계 내에서 박막 품질 개선을 위해 반복되는 것을 특징으로 하는 방법.
  15. 제 12 항에 있어서, 단계 e)와 f)가 한 단계로 통합되고, 이때 상기 표면은 수소 원자 및 산소 원자와 동시에 반응하는 것을 특징으로 하는 방법.
  16. 제 12 항에 있어서, 금속 프리커서는 5염화탄탈륨이고 박막은 5산화탄탈륨인 것을 특징으로 하는 방법.
  17. 제 12 항에 있어서, 금속 프리커서는 트리메틸알루미늄이나 3염화알루미늄이고, 박막은 산화알루미늄인 것을 특징으로 하는 방법.
  18. 제 12 항에 있어서, 금속 프리커서는 4염화티타늄이나 4요오드화티타늄이며, 박막은 산화티타늄인 것을 특징으로 하는 방법.
  19. 제 12 항에 있어서, 금속 프리커서는 5염화니오븀이고 박막은 5산화니오븀인 것을 특징으로 하는 방법.
  20. 제 12 항에 있어서, 금속 프리커서는 4염화지르코늄이고 박막은 산화지르코늄인 것을 특징으로 하는 방법.
  21. 제 12 항에 있어서, 금속 프리커서는 4염화하프늄이고 박막은 산화하프늄인 것을 특징으로 하는 방법.
  22. 제 12 항에 있어서, 금속 프리커서는 2염화아연이고 박막은 산화아연인 것을 특징으로 하는 방법.
  23. 제 12 항에 있어서, 금속 프리커서는 6플루오르화몰리브덴이나 5염화몰리브덴이고, 박막은 산화몰리브덴인 것을 특징으로 하는 방법.
  24. 제 12 항에 있어서, 금속 프리커서는 2염화망간이고 박막은 산화망간인 것을 특징으로 하는 방법.
  25. 제 12 항에 있어서, 금속 프리커서는 4염화주석이고 박막은 산화주석인 것을 특징으로 하는 방법.
  26. 제 12 항에 있어서, 금속 프리커서는 3염화인듐이나 트리메틸인듐이고 박막은 산화인듐인 것을 특징으로 하는 방법.
  27. 제 12 항에 있어서, 금속 프리커서는 6플루오르화텅스텐이고 박막은 산화텅스텐인 것을 특징으로 하는 방법.
  28. 제 12 항에 있어서, 금속 프리커서는 4염화규소이고 박막은 이산화규소인 것을 특징으로 하는 방법.
  29. 제 12 항에 있어서, 제 1 라디칼종은 수소 원자이고, 단계 e)와 f)는 OH 라디칼을 이용하여 한 단계로 통합되며, 단계 f)의 금속 표면은 금속 증착을 위해 금속 프리커서와 반응하는 수산화기로 종료되는 것을 특징으로 하는 방법.
  30. 증착 챔버에서 기판 표면에 금속 질화물을 증착하는 방법으로서, 상기 방법은,
    a) 기판 표면 위에 금속을 지닌 금속 프리커서 기체나 증기를 불어넣음으로서 기판 표면에 금속의 단일층을 증착하고, 이때 상기 표면은 제 1 반응종에 의해 포화되며, 상기 프리커서는 금속 증착과 반응 산물 형성에 의해 제 1 반응종과 반응하여, 금속 프리커서로부터 리간드로 금속 표면이 덮히게 하고, 따라서 상기 금속 표면은 프리커서와 더 이상 반응하지 않으며,
    b) 프리커서 기체나 증기의 흐름을 종료시키고,
    c) 프리커서를 비활성 기체로 정화하며,
    d) 챔버 내 기판 위로 제 1 라디칼 종을 흐르게하고, 이때 상기 원자종은 금속 프리커서 층의 표면 리간드와 쉽게 반응하며 반응 산물로 리간드를 제거하고 표면을 또한 포화시키며,
    e) 챔버 내로 라디칼 질소를 불어넣어 단계 a)에서 증착된 금속 단일층과 결합시켜, 금속 질화물을 형성하고,
    f) 챔버 내로 제 3 라디칼 종을 불어넣어, 다음 금속 증착 단계를 준비하고자 제 1 반응종으로 표면을 종료시키며,
    g) 바람직한 두께의 복합 박막을 얻을 때까지 순서대로 상기 단계를 반복하는, 이상의 단계를 포함하는 것을 특징으로 하는 방법.
  31. 제 30 항에 있어서, 제 1, 3 원자 라디칼 종은 둘다 수소 원자일 수 있고, 단계 f)의 금속 표면은 금속 증착을 위해 금속 프리커서와 반응하는 아민(amine) 종으로 종료될 수 있는 것을 특징으로 하는 방법.
  32. 제 31 항에 있어서, 단계 e)와 단계 f)는 한 단계로 통합되고, 이때 표면은 수소 및 질소 원자와 동시에 반응하는 것을 특징으로 하는 방법.
  33. 제 30 항에 있어서, 금속 프리커서는 6염화텅스텐이고 박막은 질화텅스텐인 것을 특징으로 하는 방법.
  34. 제 30 항에 있어서, 금속 프리커서는 5염화탄탈륨이고 박막은 질화탄탈륨인 것을 특징으로 하는 방법.
  35. 제 30 항에 있어서, 금속 프리커서는 3염화알루미늄이나 트리메틸알루미늄이고, 박막은 질화알루미늄인 것을 특징으로 하는 방법.
  36. 제 30 항에 있어서, 금속 프리커서는 4염화티타늄이고 박막은 질화티타늄인 것을 특징으로 하는 방법.
  37. 제 30 항에 있어서, 금속 프리커서는 4염화규소나 디클로로실레인이고, 박막은 질화규소인 것을 특징으로 하는 방법.
  38. 제 30 항에 있어서, 금속 프리커서는 트리메틸갈륨이고, 박막은 질화갈륨인 것을 특징으로 하는 방법.
  39. 제 30 항에 있어서, 제 1 라디칼 종은 수소 원자이고, 단계 e)와 f)는 NH와 NH2 라디칼 중 한 개나 두 개 모두를 이용하여 한 단계로 통합되며, 단계 f)의 금속 표면은 금속 증착을 위해 금속 프리커서와 반응하는 아민 종으로 종료되는 것을 특징으로 하는 방법.
  40. 삭제
  41. 삭제
  42. 기판 표면에 금속, 금속 산화물, 또는 금속 질화물을 형성하기 위한 처리 공정으로서, 이때 증착 단계는 기판 표면 위에 금속 프리커서 기체나 증기를 불어넣는 과정을 포함하고, 상기 기판 표면은 금속 증착을 위해 금속 프리커서와 반응하는 수산화기 화학종으로 종료되며, 그 후 수소, 산소, 다시 수소의 원자 라디칼로 표면을 노출시키며, 그래서 금속 증착 화학 반응으로부터 남아있는 산물을 휘발처리하고, 금속 단일층을 산화시키며, 그 후 다음 금속 증착 단계를 준비하고자 다시 수산화기로 금속 표면을 종료시키는, 이상의 금속 증착 단계에 의해 금속 산화물 박막이 형성되는 것을 특징으로 하는 처리 공정.
  43. 증착 챔버에서 기판 표면에 화합물 박막을 증착하는 방법으로서, 상기 방법은,
    a) 기판 표면 위에 금속을 지닌 금속 분자 프리커서 기체나 증기를 불어넣음으로서 기판 표면에 금속 단일층을 증착하고, 이때 상기 표면은 제 1 반응종에 의해 포화되고, 상기 프리커서는 금속 증착과 반응 산물 형성에 의해 상기 제 1 반응종과 반응하여, 금속 프리커서로부터 리간드로 금속 표면을 덮히게 하고, 따라서 금속 표면은 프리커서와 더 이상 반응하지 않으며,
    b) 프리커서 기체나 증기의 흐름을 종료시키고,
    c) 프리커서를 비활성 기체로 정화시키며,
    d) 챔버 내 표면 위에 제 1 라디칼 종을 불어넣고, 이때 상기 라디칼 종은 반응 산물과 쉽게 반응하고 반응 산물과 결합하여, 휘발성 종을 생성하고 표면을 제 1 라디칼 종으로 포화시키며,
    e) 비금속 라디칼 종을 챔버 내로 흐르게 하여 단계 a)에서 증착된 금속 단일층과 결합하게 하고, 그래서 금속의 화합물 박막을 형성하며,
    f) 챔버 내로 제 3 라디칼 종을 흐르게 하여, 다음 금속 증착 단계를 준비하고자 제 1 반응종으로 표면을 종료시키며,
    g) 바람직한 두께의 복합 박막을 얻을 때까지 순서대로 상기 단계들을 반복하는, 이상의 단계들을 포함하는 것을 특징으로 하는 방법.
  44. 제 43 항에 있어서, 상기 제 1, 3 라디칼 종은 모두 수소 원자이고, 단계 f)의 금속 표면은 금속 증착을 위해 금속 프리커서와 반응하는 비금속 원소의 수산화물(hydride)로 종료되는 것을 특징으로 하는 방법.
  45. 제 43 항에 있어서, 상기 비금속 및 수소 원자 단계 e)와 f)가 박막 품질 개선을 위해 반복되는 것을 특징으로 하는 방법.
  46. 제 43 항에 있어서, 단계 e)와 f)는 한 단계로 통합되고, 이때 상기 표면은 수소 및 비금속 원자와 동시에 반응하는 것을 특징으로 하는 방법.
  47. 제 43 항에 있어서, 금속 프리커서는 6플루오르화몰리브덴이거나 5염화몰리브덴이고, 상기 비금속 원소는 황이며, 상기 박막은 이황화몰리브덴인 것을 특징으로 하는 방법.
  48. 제 43 항에 있어서, 금속 프리커서는 2염화아연이고, 비금속 원소는 황이며, 박막은 황화아연인 것을 특징으로 하는 방법.
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 증착 챔버에서 기판 표면에 금속을 증착하는 방법으로서, 상기 방법은
    (a) 프리커서와 화학 반응성을 위해 준비된 기판 표면 위에 금속을 지닌 프리커서를 불어 넣음으로서 금속층을 증착하고;
    (b) 한개 이상의 라디칼 종을 불어넣어, 단계 (a)에서 증착된 층을 프리커서와의 화학 반응성을 위해 조정(conditioning)하고 ; 그리고
    (c) 금속 박막을 얻기 위하여 교대로 금속을 지닌 프리커서 그리고 라디칼 종을 불어넣는,
    이상의 단계들을 포함하는 것을 특징으로 하는 방법.
  56. 제 55항에 있어서, 기판은 기판 표면위로 한개 이상의 라디칼 종을 불어넣음으로써 화학 반응성이 준비되는 것을 특징으로 하는 방법.
  57. 증착 챔버에서 기판 표면에 금속을 증착하는 방법으로서, 상기 방법은 :
    (a) 기판 표면을 증착될 금속을 지닌 프리커서 기체나 증기와 반응성인 제 1 리간드로 종료되도록 준비하고;
    (b) 기판 표면위로 금속을 지닌 프리커서 기체나 증기를 불어넣음으로써 제 1 금속층을 증착하고;
    (c) 단계 (b)에서 증착된 제 1금속층을 라디칼 종에 노출시켜, 제 1층을 증착될 금속을 지닌 프리커서 기체나 증기와 반응성인 제 2 리간드로 종료시키고;
    (d) 단계 (b)와 (c)를 반복하여 금속 박막을 형성하는,
    이상의 단계들을 포함하는 것을 특징으로 하는 방법.
  58. 제 57항에 있어서, 금속을 지닌 프리커서 기체나 증기는 금속을 지닌 프리커서 기체는 6 플루오르화텅스텐이고, 증착되는 금속은 텅스텐인 것을 특징으로 하는 방법.
  59. 제 57항에 있어서, 금속을 지닌 프리커서 5염화탄탈륨이고 증착된 금속은 박막은 탄탈륨인 것을 특징으로 하는 방법.
  60. 제 57항에 있어서, 금속을 지닌 프리커서 기체나 증기는 3염화알루미늄이나 트리메틸알루미늄이고, 증착된 금속은 알루미늄인 것을 특징으로 하는 방법.
  61. 제 57항에 있어서, 금속을 지닌 프리커서 기체나 증기는 4염화티타늄 또는 4요오드화티타늄이며, 증착된 금속은 티타늄인 것을 특징으로 하는 방법.
  62. 제 57항에 있어서, 금속을 지닌 프리커서 기체나 증기는 6플루오르화몰리브덴이고, 증착된 금속은 몰리브덴인 것을 특징으로 하는 방법.
  63. 제 57항에 있어서, 금속을 지닌 프리커서 기체나 증기는 2염화아연이고 증착된 금속은 아연인 것을 특징으로 하는 방법.
  64. 제 57항에 있어서, 금속을 지닌 프리커서 기체나 증기는 4염화하프늄이고 증착된 금속은 하프늄인 것을 특징으로 하는 방법.
  65. 제 57항에 있어서, 금속을 지닌 프리커서 기체나 증기는 염화구리 Cu3Cl3이고, 증착되는 금속은 구리인 것을 특징으로 하는 방법.
  66. 기판에 금속 박막을 형성하고 두께를 신장시키는 반복적 방법으로서, 상기 방법은 :
    (a) 박막과 반응하도록 선택된 기체 라디칼 종에 박막을 노출시키고, 반응에서 박막의 금속에 화학-결합된 리간드를 형성하고, 상기 리간드는 박막의 금속의 기체 프리커서 화합물과 반응성인 종과 한개 이상의 다른 원소를 포함하며;
    (b) 단계 (a)의 처리에 수반하여 박막을 박막의 금속의 기체 프리커서 화합물과 한개 이상의 다른 원소에 노출시키고, 단계 (a)에서 형성된 리간드가 기체 프리커서와 반응하여 금속 박막의 두께 신장을 형성하고 ; 그리고
    (c) 바람직한 두께의 금속 박막을 얻을 때까지 상기 단계들을 반복하는,
    이상의 단계들을 포함하는 것을 특징으로 하는 방법.
  67. 제 66항에 있어서, 금속을 지닌 기체 프리커서 화합물은 6플루오르화텅스텐이고, 증착되는 금속은 텅스텐인 것을 특징으로 하는 방법.
  68. 제 66항에 있어서, 금속을 지닌 기체 프리커서 화합물은 5염화탄탈륨이고, 증착되는 금속은 탄탈륨인 것을 특징으로 하는 방법.
  69. 제 66항에 있어서, 금속을 지닌 기체 프리커서 화합물은 트리메틸알루미늄이나 3염화알루미늄 중 하나이고, 증착되는 금속은 알루미늄인 것을 특징으로 하는 방법.
  70. 제 66항에 있어서, 금속을 지닌 기체 프리커서 화합물은 4염화티타늄이나 4요오드화티타늄 중 하나이고, 증착되는 금속은 티타늄인 것을 특징으로 하는 방법.
  71. 제 66항에 있어서, 금속을 지닌 기체 프리커서 화합물은 6플루오르화몰리브덴이고, 증착되는 금속은 몰리브덴인 것을 특징으로 하는 방법.
  72. 제 66항에 있어서, 금속을 지닌 기체 프리커서 화합물은 2염화아연이고 증착되는 금속은 아연인 것을 특징으로 하는 방법.
  73. 제 66항에 있어서, 금속을 지닌 기체 프리커서 화합물은 4염화하프늄이고 증착되는 금속은 하프늄인 것을 특징으로 하는 방법.
  74. 제 66항에 있어서, 기체 프리커서 화합물은 염화구리 Cu3Cl3이고, 증착되는 금속은 구리인 것을 특징으로 하는 방법.
KR10-2001-7011511A 1999-03-11 2000-02-01 라디칼-보조 순차 cvd KR100489140B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/267,953 1999-03-11
US09/267,953 US6200893B1 (en) 1999-03-11 1999-03-11 Radical-assisted sequential CVD

Publications (2)

Publication Number Publication Date
KR20020010580A KR20020010580A (ko) 2002-02-04
KR100489140B1 true KR100489140B1 (ko) 2005-05-17

Family

ID=23020817

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-7011511A KR100489140B1 (ko) 1999-03-11 2000-02-01 라디칼-보조 순차 cvd

Country Status (8)

Country Link
US (6) US6200893B1 (ko)
EP (1) EP1125324B1 (ko)
JP (1) JP3798248B2 (ko)
KR (1) KR100489140B1 (ko)
AT (1) ATE323948T1 (ko)
AU (1) AU3479100A (ko)
DE (2) DE60027401T2 (ko)
WO (1) WO2000054320A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101035221B1 (ko) * 2002-12-27 2011-05-18 가부시키가이샤 알박 질화 텅스텐막의 형성 방법

Families Citing this family (558)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5862223A (en) 1996-07-24 1999-01-19 Walker Asset Management Limited Partnership Method and apparatus for a cryptographically-assisted commercial network system designed to facilitate and support expert-based commerce
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US7829144B2 (en) * 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
JPH11195621A (ja) * 1997-11-05 1999-07-21 Tokyo Electron Ltd バリアメタル、その形成方法、ゲート電極及びその形成方法
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6958174B1 (en) * 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR100273473B1 (ko) * 1999-04-06 2000-11-15 이경수 박막 형성 방법
US8206568B2 (en) * 1999-06-22 2012-06-26 President And Fellows Of Harvard College Material deposition techniques for control of solid state aperture surface properties
FI110311B (fi) * 1999-07-20 2002-12-31 Asm Microchemistry Oy Menetelmä ja laitteisto aineiden poistamiseksi kaasuista
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
AU1208201A (en) * 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6524317B1 (en) * 1999-12-30 2003-02-25 Opus Medical, Inc. Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
KR100803770B1 (ko) 2000-03-07 2008-02-15 에이에스엠 인터내셔널 엔.브이. 구배(graded)박막
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
FI117978B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä ja laitteisto ohutkalvon kasvattamiseksi alustalle
US7060132B2 (en) * 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
US6596343B1 (en) * 2000-04-21 2003-07-22 Applied Materials, Inc. Method and apparatus for processing semiconductor substrates with hydroxyl radicals
EP2293322A1 (en) * 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100351056B1 (ko) * 2000-06-27 2002-09-05 삼성전자 주식회사 선택적 금속산화막 형성단계를 포함하는 반도체 소자의 제조방법
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100396694B1 (ko) * 2000-07-27 2003-09-02 주식회사 하이닉스반도체 원자층 증착법을 이용한 박막 제조 방법
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US6585730B1 (en) * 2000-08-30 2003-07-01 Opus Medical, Inc. Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
JP5290488B2 (ja) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
JP2002105641A (ja) * 2000-10-03 2002-04-10 Murakami Corp 複合材およびその製造方法
US6617173B1 (en) 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US6652561B1 (en) * 2000-10-13 2003-11-25 Opus Medical, Inc Method and apparatus for attaching connective tissues to bone using a perforated suture anchoring device
US7476420B2 (en) * 2000-10-23 2009-01-13 Asm International N.V. Process for producing metal oxide films at low temperatures
TW548239B (en) * 2000-10-23 2003-08-21 Asm Microchemistry Oy Process for producing aluminium oxide films at low temperatures
KR100479283B1 (ko) * 2000-11-17 2005-03-28 동경 엘렉트론 주식회사 금속 막 형성 방법 및 반도체 제조 장치
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
WO2002045871A1 (en) * 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US8657854B2 (en) * 2001-02-12 2014-02-25 Arthrocare Corporation Knotless suture anchoring device having deforming section to accommodate sutures of various diameters
US6770076B2 (en) * 2001-02-12 2004-08-03 Opus Medical, Inc. Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US7083638B2 (en) * 2001-02-12 2006-08-01 Arthrocare Corporation Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
DE10111938A1 (de) * 2001-03-13 2002-09-26 Merck Patent Gmbh Herstellung von Hochtemperatur-Supraleiter-Pulvern in einem Pulsationsreaktor
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7015138B2 (en) * 2001-03-27 2006-03-21 Sharp Laboratories Of America, Inc. Multi-layered barrier metal thin films for Cu interconnect by ALCVD
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6780766B2 (en) * 2001-04-06 2004-08-24 Micron Technology, Inc. Methods of forming regions of differing composition over a substrate
US6511896B2 (en) 2001-04-06 2003-01-28 Micron Technology, Inc. Method of etching a substantially amorphous TA2O5 comprising layer
US7442615B2 (en) * 2001-04-21 2008-10-28 Tegal Corporation Semiconductor processing system and method
US6610169B2 (en) * 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
US7867905B2 (en) * 2001-04-21 2011-01-11 Tegal Corporation System and method for semiconductor processing
US6627268B1 (en) * 2001-05-03 2003-09-30 Novellus Systems, Inc. Sequential ion, UV, and electron induced chemical vapor deposition
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6547800B2 (en) * 2001-06-06 2003-04-15 Opus Medical, Inc. Method and apparatus for attaching connective tissues to bone using a cortical bone anchoring device
US7037862B2 (en) * 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
KR100421219B1 (ko) * 2001-06-14 2004-03-02 삼성전자주식회사 β-디케톤 리간드를 갖는 유기 금속 착물을 이용한 원자층증착방법
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709989B2 (en) * 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
DE10130936B4 (de) * 2001-06-27 2004-04-29 Infineon Technologies Ag Herstellungsverfahren für ein Halbleiterbauelement mittels Atomschichtabscheidung/ALD
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US9376750B2 (en) 2001-07-18 2016-06-28 Regents Of The University Of Colorado, A Body Corporate Method of depositing an inorganic film on an organic polymer
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
WO2003015151A1 (en) * 2001-08-02 2003-02-20 Tokyo Electron Limited Base material treating method and electron device-use material
EP1421606A4 (en) * 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6589887B1 (en) * 2001-10-11 2003-07-08 Novellus Systems, Inc. Forming metal-derived layers by simultaneous deposition and evaporation of metal
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
WO2003044242A2 (en) * 2001-11-16 2003-05-30 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP3941099B2 (ja) * 2001-12-19 2007-07-04 ソニー株式会社 薄膜形成方法
US6953730B2 (en) 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6900122B2 (en) * 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
FR2834242B1 (fr) * 2001-12-31 2004-07-02 Memscap Structure multicouche, utilisee notamment en tant que materiau de forte permittivite relative
US6767795B2 (en) 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6855157B2 (en) * 2002-02-04 2005-02-15 Arthrocare Corporation Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
KR100574150B1 (ko) * 2002-02-28 2006-04-25 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조방법
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
EP1485513A2 (en) * 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US6812100B2 (en) * 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
DE60211470T2 (de) * 2002-03-15 2006-11-09 Vhf Technologies S.A. Vorrichtung und Verfahren zur Herstellung von flexiblen Halbleiter-Einrichtungen
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7045430B2 (en) * 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
US20040086434A1 (en) * 2002-11-04 2004-05-06 Gadgil Pradad N. Apparatus and method for treating objects with radicals generated from plasma
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
WO2003100828A2 (en) * 2002-05-21 2003-12-04 Aviza Technology, Inc Method of depositing an oxide film by chemical vapor deposition
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
TWI278532B (en) * 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
AU2003248850A1 (en) * 2002-07-12 2004-02-02 President And Fellows Of Harvard College Vapor deposition of tungsten nitride
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US7297641B2 (en) * 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US6921555B2 (en) * 2002-08-06 2005-07-26 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US6858085B1 (en) 2002-08-06 2005-02-22 Tegal Corporation Two-compartment chamber for sequential processing
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6790791B2 (en) 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US6884739B2 (en) * 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6835671B2 (en) * 2002-08-16 2004-12-28 Freescale Semiconductor, Inc. Method of making an integrated circuit using an EUV mask formed by atomic layer deposition
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6808983B2 (en) * 2002-08-27 2004-10-26 Micron Technology, Inc. Silicon nanocrystal capacitor and process for forming same
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US8617312B2 (en) * 2002-08-28 2013-12-31 Micron Technology, Inc. Systems and methods for forming layers that contain niobium and/or tantalum
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US7084078B2 (en) * 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7144806B1 (en) * 2002-10-23 2006-12-05 Novellus Systems, Inc. ALD of tantalum using a hydride reducing agent
US7097782B2 (en) * 2002-11-12 2006-08-29 Micron Technology, Inc. Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly
US7022605B2 (en) * 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
US7090690B2 (en) * 2002-11-19 2006-08-15 Arthrocare Corporation Devices and methods for repairing soft tissue
US20040099889A1 (en) * 2002-11-27 2004-05-27 Agere Systems, Inc. Process for fabricating a semiconductor device having an insulating layer formed over a semiconductor substrate
US6893978B1 (en) * 2002-12-03 2005-05-17 Silicon Magnetic Systems Method for oxidizing a metal layer
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7092287B2 (en) * 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US6955986B2 (en) * 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
US7135369B2 (en) * 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US6703296B1 (en) * 2003-04-17 2004-03-09 Macronix International Co. Ltd. Method for forming metal salicide
US7183186B2 (en) * 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7115528B2 (en) * 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7399357B2 (en) * 2003-05-08 2008-07-15 Arthur Sherman Atomic layer deposition using multilayers
US6930060B2 (en) * 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
JP2007523994A (ja) * 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
US7049192B2 (en) * 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US7740917B2 (en) * 2003-07-16 2010-06-22 Konica Minolta Holdings, Inc. Method for forming thin film and base and having thin film formed by such method
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
KR20060054387A (ko) 2003-08-04 2006-05-22 에이에스엠 아메리카, 인코포레이티드 증착 전 게르마늄 표면 처리 방법
JP4887604B2 (ja) * 2003-08-29 2012-02-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2005089823A (ja) * 2003-09-17 2005-04-07 Seiji Sagawa 成膜装置および成膜方法
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050069641A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method for depositing metal layers using sequential flow deposition
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7306956B2 (en) * 2003-09-30 2007-12-11 Intel Corporation Variable temperature and dose atomic layer deposition
US7699997B2 (en) * 2003-10-03 2010-04-20 Kobe Steel, Ltd. Method of reclaiming silicon wafers
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7682374B2 (en) * 2003-10-21 2010-03-23 Arthrocare Corporation Knotless suture lock and bone anchor implant method
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
DE10357756B4 (de) * 2003-12-10 2006-03-09 Infineon Technologies Ag Verfahren zur Herstellung von Metall-Oxynitriden durch ALD-Prozesse unter Verwendung von NO und/oder N2O
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
JP4601975B2 (ja) * 2004-03-01 2010-12-22 東京エレクトロン株式会社 成膜方法
US7087497B2 (en) * 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7700155B1 (en) * 2004-04-08 2010-04-20 Novellus Systems, Inc. Method and apparatus for modulation of precursor exposure during a pulsed deposition process
US20050227003A1 (en) * 2004-04-08 2005-10-13 Carlson Chris M Methods of forming material over substrates
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
KR100589062B1 (ko) * 2004-06-10 2006-06-12 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
US6987063B2 (en) * 2004-06-10 2006-01-17 Freescale Semiconductor, Inc. Method to reduce impurity elements during semiconductor film deposition
US20050276922A1 (en) * 2004-06-10 2005-12-15 Henry Bernhardt Method of forming thin dielectric layers
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
DE102004040797A1 (de) * 2004-08-23 2005-10-20 Infineon Technologies Ag Verfahren zum Abscheiden von Schichten auf einem zu beschichtenden Substrat und Schichtsystem
US20060045968A1 (en) * 2004-08-25 2006-03-02 Metz Matthew V Atomic layer deposition of high quality high-k transition metal and rare earth oxides
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7494939B2 (en) * 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US8158488B2 (en) * 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7253084B2 (en) 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) * 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US7674726B2 (en) * 2004-10-15 2010-03-09 Asm International N.V. Parts for deposition reactors
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
DE102004061094A1 (de) * 2004-12-18 2006-06-22 Aixtron Ag Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen sowie dazu geeignete Ausgangsstoffe
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7399666B2 (en) * 2005-02-15 2008-07-15 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
TW200634982A (en) 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
CN100595973C (zh) * 2005-03-30 2010-03-24 松下电器产业株式会社 传输线对和传输线组
EP2348300A3 (en) * 2005-04-06 2011-10-12 The President and Fellows of Harvard College Molecular characterization with carbon nanotube control
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
JP4456533B2 (ja) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20100104755A1 (en) * 2005-06-29 2010-04-29 Christian Dussarrat Deposition method of ternary films
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
DE102006038885B4 (de) * 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Verfahren zum Abscheiden einer Ge-Sb-Te-Dünnschicht
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7393736B2 (en) * 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US8110469B2 (en) * 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
JP4845455B2 (ja) * 2005-09-01 2011-12-28 キヤノンアネルバ株式会社 薄膜作製装置及び薄膜作製方法
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
KR101019293B1 (ko) * 2005-11-04 2011-03-07 어플라이드 머티어리얼스, 인코포레이티드 플라즈마-강화 원자층 증착 장치 및 방법
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
JP2009529605A (ja) * 2005-11-28 2009-08-20 マセソン トライ−ガス, インコーポレイテッド 化学蒸着法により形成されたガス貯蔵コンテナーライニング
US7718518B2 (en) * 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
WO2007078802A2 (en) * 2005-12-22 2007-07-12 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
KR100668745B1 (ko) * 2005-12-29 2007-01-29 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 형성 방법
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7615061B2 (en) * 2006-02-28 2009-11-10 Arthrocare Corporation Bone anchor suture-loading system, method and apparatus
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7537804B2 (en) 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
DE102006027932A1 (de) * 2006-06-14 2007-12-20 Aixtron Ag Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
WO2008005892A2 (en) * 2006-06-30 2008-01-10 Applied Materials, Inc. Nanocrystal formation
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
KR101369355B1 (ko) * 2006-07-31 2014-03-04 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 층 형성 동안에 형태를 제어하는 방법
US8029620B2 (en) * 2006-07-31 2011-10-04 Applied Materials, Inc. Methods of forming carbon-containing silicon epitaxial layers
US8133258B2 (en) * 2006-08-03 2012-03-13 Arthrocare Corporation Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
US20080038486A1 (en) * 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US7871678B1 (en) 2006-09-12 2011-01-18 Novellus Systems, Inc. Method of increasing the reactivity of a precursor in a cyclic deposition process
US7902018B2 (en) * 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
WO2008042981A2 (en) 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20080182021A1 (en) * 2007-01-31 2008-07-31 Simka Harsono S Continuous ultra-thin copper film formed using a low thermal budget
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
WO2008108754A1 (en) * 2007-03-06 2008-09-12 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US8236379B2 (en) * 2007-04-02 2012-08-07 Applied Microstructures, Inc. Articles with super-hydrophobic and-or super-hydrophilic surfaces and method of formation
US20080268642A1 (en) * 2007-04-20 2008-10-30 Kazutaka Yanagita Deposition of transition metal carbide containing films
US8137381B2 (en) 2007-04-25 2012-03-20 Arthrocare Corporation Knotless suture anchor having discrete polymer components and related methods
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
US7851307B2 (en) 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US7963972B2 (en) * 2007-09-12 2011-06-21 Arthrocare Corporation Implant and delivery system for soft tissue repair
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US8383439B2 (en) * 2007-10-25 2013-02-26 Showa Denko K.K. Apparatus for manufacturing group-III nitride semiconductor layer, method of manufacturing group-III nitride semiconductor layer, group-III nitride semiconductor light-emitting device, method of manufacturing group-III nitride semiconductor light-emitting device, and lamp
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
KR101410930B1 (ko) * 2008-01-17 2014-06-23 삼성전자주식회사 탄소나노튜브 상의 금속 산화막 형성방법 및 이를 이용한탄소나노튜브 트랜지스터 제조방법
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
WO2009129332A2 (en) 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) * 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
EP2159304A1 (en) * 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
CN102239278A (zh) * 2008-12-05 2011-11-09 莲花应用技术有限责任公司 具有改进的阻隔层性能的薄膜的高速沉积
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US8871628B2 (en) 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
KR101172147B1 (ko) * 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8568529B2 (en) * 2009-04-10 2013-10-29 Applied Materials, Inc. HVPE chamber hardware
US8183132B2 (en) 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US8138069B2 (en) * 2009-04-24 2012-03-20 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group III depositions
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
TW201039381A (en) * 2009-04-29 2010-11-01 Applied Materials Inc Method of forming in-situ pre-GaN deposition layer in HVPE
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
JP5307072B2 (ja) * 2009-06-17 2013-10-02 東京エレクトロン株式会社 金属酸化物膜の形成方法及び成膜装置
US8026157B2 (en) * 2009-09-02 2011-09-27 Applied Materials, Inc. Gas mixing method realized by back diffusion in a PECVD system with showerhead
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
JP5482196B2 (ja) * 2009-12-25 2014-04-23 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
EP2360293A1 (en) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
KR20130062980A (ko) * 2010-07-22 2013-06-13 시너스 테크놀리지, 인코포레이티드 원자층 증착에서 불활성 기체 플라즈마를 이용한 기판 표면의 처리
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8747964B2 (en) 2010-11-04 2014-06-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
US8969823B2 (en) * 2011-01-21 2015-03-03 Uchicago Argonne, Llc Microchannel plate detector and methods for their fabrication
US9105379B2 (en) 2011-01-21 2015-08-11 Uchicago Argonne, Llc Tunable resistance coatings
US8921799B2 (en) 2011-01-21 2014-12-30 Uchicago Argonne, Llc Tunable resistance coatings
US8143147B1 (en) 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
JP5986591B2 (ja) * 2011-03-04 2016-09-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated コンタクト洗浄のための方法
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9636101B2 (en) 2011-09-01 2017-05-02 Arthrocare Corporation Bone anchor having an integrated stress isolator
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9034014B2 (en) 2012-01-27 2015-05-19 Arthrocare Corporation Free floating wedge suture anchor for soft tissue repair
US9364210B2 (en) 2012-01-27 2016-06-14 Arthrocare Corporation Biased wedge suture anchor and method for soft tissue repair
US9023083B2 (en) 2012-01-27 2015-05-05 Arthrocare Corporation Method for soft tissue repair with free floating suture locking member
US9226742B2 (en) 2012-01-27 2016-01-05 Arthrocare Corporation Restricted wedge suture anchor and method for soft tissue repair
US9198649B2 (en) 2012-01-27 2015-12-01 Arthrocare Corporation Rotating locking member suture anchor and method for soft tissue repair
US9029264B2 (en) * 2012-03-14 2015-05-12 Applied Materials, Inc. Methods for depositing a tin-containing layer on a substrate
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US20140117559A1 (en) * 2012-03-30 2014-05-01 Paul A. Zimmerman Process and material for preventing deleterious expansion of high aspect ratio copper filled through silicon vias (tsvs)
US9855028B2 (en) 2012-04-06 2018-01-02 Arthrocare Corporation Multi-suture knotless anchor for attaching tissue to bone and related method
US9430102B2 (en) 2012-07-05 2016-08-30 Apple Touch interface using patterned bulk amorphous alloy
DE102012017894A1 (de) * 2012-09-11 2014-03-13 Hochschule Für Angewandte Wissenschaft Und Kunst Hildesheim/Holzminden/Göttingen Verfahren zum Aufbringen einer Oxidschicht auf eine Oberfläche
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US11326255B2 (en) 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9552979B2 (en) * 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
TWI633604B (zh) 2013-09-27 2018-08-21 美商應用材料股份有限公司 實現無縫鈷間隙塡充之方法
US11286557B2 (en) 2014-01-24 2022-03-29 Commissariat A L'energie Atomique Et Aux Engergies Alternatives Method of forming a crystalline thin film having the formula MY2 using an ALD-formed amorphous thin film having the formula MYx as a precursor
FR3016889B1 (fr) * 2014-01-24 2016-01-22 Commissariat Energie Atomique Procede de reaslisation par ald d'une couche mince de formule myx
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
KR102195139B1 (ko) * 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
DE102014205536A1 (de) 2014-03-25 2015-10-01 Robert Bosch Gmbh Mikromechanisches Bauelement mit Antihaftschicht und entsprechendes Herstellungsverfahren
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
DE102014108352A1 (de) 2014-06-13 2015-12-17 Forschungszentrum Jülich GmbH Verfahren zum Abscheiden einer Kristallschicht bei niedrigen Temperaturen, insbesondere einer photolumineszierenden IV-IV-Schicht auf einem IV-Substrat, sowie ein eine derartige Schicht aufweisendes optoelektronisches Bauelement
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US9318319B2 (en) 2014-08-27 2016-04-19 Ultratech, Inc. Radical-enhanced atomic layer deposition using CF4 to enhance oxygen radical generation
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9911591B2 (en) 2015-05-01 2018-03-06 Applied Materials, Inc. Selective deposition of thin film dielectrics using surface blocking chemistry
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
EP4358119A2 (en) 2016-03-03 2024-04-24 Applied Materials, Inc. Improved self-assembled monolayer blocking with intermittent air-water exposure
WO2017161236A1 (en) 2016-03-17 2017-09-21 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
KR20180128515A (ko) 2016-04-25 2018-12-03 어플라이드 머티어리얼스, 인코포레이티드 자기-조립 단분자층 프로세스들을 위한 화학물질 전달 챔버
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102585074B1 (ko) 2017-11-11 2023-10-04 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11038153B2 (en) * 2019-01-15 2021-06-15 Applied Materials, Inc. Methods for HMDSO thermal stability
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
KR102359908B1 (ko) * 2019-10-08 2022-02-09 주식회사 유진테크 박막 증착장치 및 박막 증착방법
US11111578B1 (en) 2020-02-13 2021-09-07 Uchicago Argonne, Llc Atomic layer deposition of fluoride thin films
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11101128B1 (en) * 2020-03-12 2021-08-24 Applied Materials, Inc. Methods for gapfill in substrates
US11901169B2 (en) 2022-02-14 2024-02-13 Uchicago Argonne, Llc Barrier coatings

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1996017107A1 (en) * 1994-11-28 1996-06-06 Mikrokemia Oy Method and apparatus for growing thin films

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5585167A (en) * 1992-05-18 1996-12-17 Matsushita Electric Industrial Co., Ltd. Thin-film conductor and method of fabricating the same
WO1997018344A1 (fr) * 1995-11-13 1997-05-22 Ist Instant Surface Technology S.A. Procede de traitement de surface et dispositif de mise en oeuvre du procede
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US5851849A (en) * 1997-05-22 1998-12-22 Lucent Technologies Inc. Process for passivating semiconductor laser structures with severe steps in surface topography
KR100274603B1 (ko) * 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
KR100252049B1 (ko) * 1997-11-18 2000-04-15 윤종용 원자층 증착법에 의한 알루미늄층의 제조방법
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6077775A (en) * 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1996017107A1 (en) * 1994-11-28 1996-06-06 Mikrokemia Oy Method and apparatus for growing thin films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101035221B1 (ko) * 2002-12-27 2011-05-18 가부시키가이샤 알박 질화 텅스텐막의 형성 방법

Also Published As

Publication number Publication date
JP3798248B2 (ja) 2006-07-19
ATE323948T1 (de) 2006-05-15
EP1125324A1 (en) 2001-08-22
DE1125324T1 (de) 2002-04-18
US6200893B1 (en) 2001-03-13
US20020197864A1 (en) 2002-12-26
US6638862B2 (en) 2003-10-28
EP1125324B1 (en) 2006-04-19
KR20020010580A (ko) 2002-02-04
JP2002539326A (ja) 2002-11-19
WO2000054320A1 (en) 2000-09-14
DE60027401D1 (de) 2006-05-24
US6475910B1 (en) 2002-11-05
US6630401B2 (en) 2003-10-07
US6451695B2 (en) 2002-09-17
AU3479100A (en) 2000-09-28
US20010002280A1 (en) 2001-05-31
DE60027401T2 (de) 2006-12-07
US20020192954A1 (en) 2002-12-19
US6602784B2 (en) 2003-08-05
US20020192955A1 (en) 2002-12-19
EP1125324A4 (en) 2004-10-27

Similar Documents

Publication Publication Date Title
KR100489140B1 (ko) 라디칼-보조 순차 cvd
US6451119B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US7335569B2 (en) In-situ formation of metal insulator metal capacitors
JP5086912B2 (ja) パルスcvdとaldの併用による薄膜の堆積方法
US6689220B1 (en) Plasma enhanced pulsed layer deposition
KR100385947B1 (ko) 원자층 증착 방법에 의한 박막 형성 방법
US20060090694A1 (en) Method for atomic layer deposition (ALD) of silicon oxide film
KR20080050510A (ko) 배치 ald 반응기에 대한 처리 공정
WO2014134476A1 (en) LOW TEMPERATURE ATOMIC LAYER DEPOSITION OF FILMS COMPRISING SiCN OR SiCON
US20140363575A1 (en) Methods for the Deposition Of Manganese-Containing Films Using Diazabutadiene-Based Precursors
US20230095086A1 (en) Methods and systems for filling a gap
KR20090066468A (ko) 물성이 양호한 박막 형성 방법
KR20030092600A (ko) 산화물박막의 원자층증착법
KR20050015442A (ko) 엠오씨브이디에 의한 산화하프늄 박막 증착 방법
KR20080060702A (ko) 반도체 소자의 ald를 이용한 박막 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130424

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140425

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20150504

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170504

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20180430

Year of fee payment: 14

LAPS Lapse due to unpaid annual fee