JP2002539326A - ラジカルを利用した連続cvd - Google Patents

ラジカルを利用した連続cvd

Info

Publication number
JP2002539326A
JP2002539326A JP2000604449A JP2000604449A JP2002539326A JP 2002539326 A JP2002539326 A JP 2002539326A JP 2000604449 A JP2000604449 A JP 2000604449A JP 2000604449 A JP2000604449 A JP 2000604449A JP 2002539326 A JP2002539326 A JP 2002539326A
Authority
JP
Japan
Prior art keywords
metal
precursor
species
film
atomic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000604449A
Other languages
English (en)
Other versions
JP3798248B2 (ja
Inventor
スネー,オフアー
Original Assignee
ジエヌス・インコーポレイテツド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ジエヌス・インコーポレイテツド filed Critical ジエヌス・インコーポレイテツド
Publication of JP2002539326A publication Critical patent/JP2002539326A/ja
Application granted granted Critical
Publication of JP3798248B2 publication Critical patent/JP3798248B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides

Abstract

(57)【要約】 基板上にCVD堆積するための新しい方法が、示唆され、この方法によれば、ラジカル種が、交互に実施されるステップにおいて、分子前駆体からの堆積物に使用され、分子前駆体から堆積した材料を処理し、次の分子前駆体ステップの準備として、反応性のある化学物質によって基板表面を調整する。反復サイクルによって、一体化した複合膜が形成される。好ましい実施形態においては、分子前駆体からの堆積物は、金属であり、交互に実施されるステップにおけるラジカルは、金属前駆体反応によって残されたリガンドを除去し、かつ、連続する層において、金属表面を酸化または窒化するのに使用される。異なる様々な化学物質が、様々な膜のために示唆され、また、本発明を実施するためのハードウェアの組み合わせが、示唆される。

Description

【発明の詳細な説明】
【0001】 (発明の分野) 本発明は、化学気相成長法の分野に属し、より詳細には、原子層堆積によって
膜を堆積するための新しい方法および装置に関する。
【0002】 (背景技術) 集積回路を製造する場合、多くの純粋材料および化合物材料からなる薄膜を堆
積しなければならず、そのような堆積を実現するために、多くの技術が、開発さ
れてきた。近年、この分野における薄膜を堆積するための主要な技術は、化学気
相成長法(CVD)であり、それは、均一で平坦なコーティングを提供すること
ができ、そして、ウェーハトポロジーにおいて、ビアの中を、およびその他の高
いアスペクト比を有する平坦でない形状を比較的にコンフォーマルに被覆するこ
とができる、優れた能力を有することが知られている。デバイス密度が、増大し
つづけると、また、形状が、より複雑なものになりつづけると、たとえどんなに
CVD技術の優れたコンフォーマルコーティングが、挑戦したとしても、新しい
より良い技術が必要となる。
【0003】 均一性およびコンフォーマリティを改善するために、とりわけ、低温堆積のた
めに、CVDの変形である原子層堆積によるアプローチが、研究されてきた。し
かしながら、この技術を実用化するには、より高い純度およびより高いスループ
ットを解決しなければならない。本願は、これらの要求に取り組むものである。
【0004】 原子層堆積 CVDの分野において、原子層堆積(ALD)として知られているプロセスが
、CVD技術の能力を増大させるための有望な候補として出現し、半導体装置製
造業者らによって、化学気相成長法の特性をさらに改善するように急速に開発さ
れつつある。ALDは、元々は、原子層エピタキシーと呼ばれていたプロセスで
あり、それに関しては、T.SuntolaおよびM.Simpsonによって
編集され、Blackie、Glasgo and Londonによって19
90年に出版された「Atomic Layer Epitaxy(原子層エピ
タキシー)」を参照するのが適切である。この刊行物は、本明細書に参照により
組み込まれる。
【0005】 一般に、ALDは、良く知られているCVDプロセスが、単一のモノレイヤ堆
積ステップに分割されたプロセスであり、各個別の堆積ステップは、理論的には
、ただ1つの分子または原子のモノレイヤの厚さで飽和して自己停止する。
【0006】 堆積は、反応性分子前駆体と基板との間の化学反応の結果である。CVDの場
合と同様に、膜を構成する元素は、分子前駆体として供給される。最終的な反応
は、所望される純粋な膜を堆積し、そして、分子前駆体を構成する「余分な」原
子(リガンド)を除去しなければならない。CVDの場合には、複数の分子前駆
体が、同時にCVDリアクタの中に供給される。基板は、副産物を効率的に脱離
させると同時に、分子前駆体間の化学反応を促進するように最適化された温度に
維持される。それによって、反応は、所望される純粋な膜を堆積するように進行
する。
【0007】 ALDに使用される場合、複数の分子前駆体は、別々にALDリアクタの中に
導入される。これは、実際には、1度に1つの前駆体、すなわち、揮発性分子を
生成するための原子リガンドまたは分子リガンドLに結合された金属元素Mを含
む、金属前駆体ML(M=Al、W、Ta、Si、など)を流すことによって
なされる。金属前駆体反応の後には、典型的には、他の前駆体を別々に導入する
のに先立って、室からこの前駆体を除去するために、不活性ガスによるパージが
なされる。ALD反応は、表面が分子前駆体と直接に反応する準備がなされてい
る場合にのみ発生する。したがって、表面は、典型的には、金属前駆体と反応す
るリガンド含有水素AHを含むための準備がなされる。表面−分子の反応は、表
面上のすべてのリガンドと反応し、それのパッシベーションリガンドを備えた金
属のモノレイヤを堆積するように進行することができる。基板−AH+ML
基板−AML+HL、ここで、HLは、交換反応副産物である。反応中、初期
の表面リガンドAHが、使い果たされ、表面が、次第に、Lリガンドによって被
覆された状態になり、表面は、金属前駆体MLとさらには反応することができ
なくなる。したがって、反応は、すべての初期リガンドがML種と置換すれば
、自己飽和する。
【0008】 金属前駆体反応が終了すれば、余分な前駆体は、典型的には、別の前駆体が導
入される前に、リアクタから除去される。表面の金属前駆体との反応性を回復さ
せるために、第2の種類の前駆体が使用される。すなわち、Lリガンドを除去し
、AHリガンドを再び堆積させる。
【0009】 ほとんどのALDプロセスは、化合物膜を堆積するのに利用されてきた。この
場合、第2の前駆体は、例えば、HO、NH、あるいは、HSを用いて、
所望される(通常、非金属)元素A(すなわち、O、N、S)および水素から構
成される。反応:−ML+AH→−M−AH+HL(わかりやすいように、化
学反応式は釣り合っていない)は、表面を、AHによって被覆されたものに戻す
。所望される添加元素Aが、堆積し、リガンドLが、揮発性副産物として除去さ
れる。繰り返すと、反応は、反応性部位(今度は、L終端部位)を使い果たし、
反応性部位が、すっかり使い果たされたときに、自己飽和する。
【0010】 表面を初期時点に回復させる表面反応のシーケンスは、ALD堆積サイクルと
呼ばれる。初期表面に戻ることが、ALDの根本原理である。それは、化学反応
速度論的にすべて同一の等しく計量されたシーケンス、サイクルごとの堆積、組
成、および厚さで、膜を積層することができることを意味する。自己飽和する表
面反応は、ALDを、流体工学かまたは表面トポロジー(すなわち、高いアスペ
クト比を有する構造内への堆積)から生じる、輸送非均一性に影響されないもの
にする。不均一な流動は、異なる領域において異なる終了時間しかもたらさない
。しかしながら、それぞれの反応が、領域全体で終了することができるならば、
異なる終了反応速度論は、なんら不都合ではない。
【0011】 プロセス開発にはよくあるように、新しい技術の最初に期待された利点は、結
局は、それらの最初の期待を完全に達成することができない。残念ながら、AL
Dは、重大な根本問題を有する。絶えず定常状態にあるという性質を有するCV
D反応とは異なり、ALD反応は、分子−表面間相互作用の反応速度論に従う。
分子−表面間反応の反応速度論は、分子前駆体と表面の反応性部位との間の個々
の反応速度と、有効な反応性部位の数とに依存する。反応が進行して終了すれば
、表面は、反応性から非反応性に変わる。その結果として、反応速度は、堆積中
に遅くなる。最も簡単な場合を考えれば、速度dN/dtは、反応性部位の数に
比例し、dN/dt=−kNとなり、ここで、Nは、反応性部位の数であり、k
は、(1つの部位の)反応速度である。反応性部位の除去(すなわち、すでに反
応している部位の成長)は、指数関数的な時間依存関係式kN(t)=kN
xp(−kt)に従う。分子−表面間反応速度論のこの基本特性は、有名な科学
者Langmuirの名で呼ばれており、この分野ではきわめて良く知られてい
る。
【0012】 Langmuirの反応速度論による制限の解釈は、ALDの重大な欠点およ
び理想像からの大きなずれを説明している。したがって、自己停止反応は、実際
には、決して自己停止しない(速度は、指数関数的に減少するので、自己停止反
応は、無限の時間を必要とする)。それは、実際の条件下において、堆積サイク
ルの後、表面は、決して、終了するまで完全に反応しないことを意味している。
表面が、完全に反応していなければ、望ましくない元素が膜に残留する。例えば
、ML反応が、表面−AH部位のすべてを使い果たすことができなければ、膜
は、Hを取り込む。さらに、AH反応が、終了まで実行されなければ、望まし
くないLの取り込みが必ず起こる。疑いもなく、膜の品質は、不純物レベルに依
存する。スループット−品質のトレードオフは、とくに重要である。なぜなら、
それは、不純物レベルを減少させるためには、指数関数的にスループットを犠牲
にするからである。
【0013】 従来の原子層堆積において、高い純度の膜を達成するためには、低いスループ
ットを受け入れなければならず、あるいは、より高いスループットを得るには、
より低い純度の膜を受け入れなければならない。必要とされているものは、疑い
もなく、Langmuirの制限を克服するだけでなく、それと同時に、従来技
術による方法で得られるものよりも高い純度の膜を提供する、装置および方法で
ある。そのような装置および方法が、以下にできるだけ詳細に説明する本発明の
実施形態において提供される。
【0014】 (発明の概要) 本発明の好ましい実施形態において、堆積室内の基板表面上に金属を堆積させ
る方法が提供され、この方法は、(a)金属を含有する分子前駆体ガスまたは蒸
気を基板表面上に流すことによって、基板表面上に金属のモノレイヤを堆積させ
、その表面が、金属を堆積させて反応生成物を形成することによって、前駆体が
反応する第1の反応性のある種によって飽和され、金属表面を金属前駆体からの
リガンドで被覆された状態のままにしておき、それによって、さらには前駆体と
反応することができないステップと、(b)前駆体ガスまたは蒸気を流すのを停
止するステップと、(c)不活性ガスによって前駆体をパージするステップと、
(d)少なくとも1つのラジカル種を室内の基板表面上に流し込み、ラジカル種
が、金属前駆体層の表面リガンドとの高い反応性を有し、反応生成物としてリガ
ンドを除去し、さらに、表面を飽和させ、第1の反応性のある種を提供するステ
ップと、(e)所望の厚さの金属膜が得られるまで、前記ステップを順序正しく
反復するステップと、を備える。
【0015】 多くのそのような実施形態においては、ラジカル種は、原子状水素である。原
子状水素を用いて、タングステン、タンタル、アルミニウム、チタン、モリブデ
ン、亜鉛、ハフニウム、ニオブ、および銅のような、幅広い種類の純粋な金属を
堆積させることができる。
【0016】 本発明のさらなる態様においては、堆積室内の基板表面上に金属酸化物を堆積
させる方法が提供され、この方法は、(a)金属を含有する金属分子前駆体ガス
または蒸気を基板表面上に流すことによって、基板表面上に金属のモノレイヤを
堆積させ、その表面が、金属を堆積させて反応生成物を形成することによって、
前駆体が反応する第1の反応性のある種によって飽和され、金属表面を金属前駆
体からのリガンドで被覆された状態のままにしておき、それによって、さらには
前駆体と反応することができないステップと、(b)前駆体ガスまたは蒸気を流
すのを停止するステップと、(c)不活性ガスによって前駆体をパージするステ
ップと、(d)第1のラジカル種を室内の基板表面上に流し込み、ラジカル種が
、反応生成物との高い反応性を有し、反応生成物と化合して揮発性のある種を生
成し、第1のラジカル種によって、表面を飽和させるステップと、(e)室内に
ラジカル酸素を流し込み、ステップ(a)で堆積した金属モノレイヤと化合させ
、金属酸化物を形成するステップと、(f)次の金属堆積ステップの準備として
、室内に第3のラジカル種を流し込み、第1の反応性のある種によって表面を終
端させるステップと、(g)所望の厚さの複合膜が得られるまで、前記ステップ
を順序正しく反復するステップと、を備える。
【0017】 この方法においては、第1および第3のラジカル種は、いずれも、原子状水素
であってもよく、ステップ(f)における金属表面は、金属前駆体と反応して金
属を堆積させる水酸基種によって終端する。さらなる実施形態においては、酸素
原子ステップ(e)および水素原子ステップ(f)が、膜の品質を改善するため
に反復される。さらにもう1つの実施形態においては、ステップ(e)および(
f)が、1つのステップに統合され、表面が、水素原子および酸素原子と同時に
反応させられる。
【0018】 酸化物を堆積させる様々な実施形態において、酸化物は、他にもあるが、例え
ば、五酸化タンタル、酸化アルミニウム、酸化チタン、五酸化ニオブ、酸化ジル
コニウム、酸化ハフニウム、酸化亜鉛、酸化モリブデン、酸化マンガン、酸化錫
、酸化インジウム、酸化タングステン、酸化珪素であってもよい。
【0019】 いくつかの実施形態においては、第1のラジカル種は、原子状水素であり、ス
テップ(e)および(f)は、OHラジカルを用いる1つのステップに統合され
、ステップ(f)における金属表面は、金属前駆体と反応して金属を堆積させる
水酸基種によって終端する。
【0020】 本発明のさらにもう1つの態様においては、堆積室内の基板表面上に金属窒化
物を堆積させる方法が提供され、この方法は、(a)金属を含有する金属前駆体
ガスまたは蒸気を基板表面上に流すことによって、基板表面上に金属のモノレイ
ヤを堆積させ、その表面が、金属を堆積させて反応生成物を形成することによっ
て、前駆体が反応する第1の反応性のある種によって飽和され、金属表面を金属
前駆体からのリガンドで被覆された状態のままにしておき、それによって、さら
には前駆体と反応することができないステップと、(b)前駆体ガスまたは蒸気
を流すのを停止するステップと、(c)不活性ガスによって前駆体をパージする
ステップと、(d)第1のラジカル種を室内の基板表面上に流し込み、原子種が
、金属前駆体層の表面リガンドとの高い反応性を有し、反応生成物としてリガン
ドを除去し、さらに、表面を飽和させるステップと、(e)室内にラジカル窒素
を流し込み、ステップ(a)で堆積した金属モノレイヤと化合させ、金属窒化物
を形成するステップと、(f)次の金属堆積ステップの準備として、室内に第3
のラジカル種を流し込み、第1の反応性のある種によって表面を終端させるステ
ップと、(g)所望の厚さの複合膜が得られるまで、前記ステップを順序正しく
反復するステップと、を備える。
【0021】 この方法においては、第1および第3の原子ラジカル種は、いずれも、原子状
水素であってもよく、ステップ(f)における金属表面は、金属前駆体と反応し
て金属を堆積させるアミン種によって終端してもよい。さらに、ステップ(e)
および(f)は、1つのステップに統合されてもよく、表面は、水素原子および
窒素原子と同時に反応させられる。
【0022】 この実施形態の変形においては、異なる様々な窒化物が、生成されてもよく、
それらには、限定はしないが、窒化タングステン、窒化タンタル、窒化アルミニ
ウム、窒化チタン、窒化珪素、窒化ガリウムなどが含まれる。
【0023】 さらにもう1つの変形においては、第1のラジカル種は、原子状水素であって
もよく、ステップ(e)および(f)は、NHラジカルおよびNHラジカルの
一方または両方を用いる1つのステップに統合されてもよく、ステップ(f)に
おける金属表面は、金属前駆体と反応して金属を堆積させるアミン種によって終
端する。
【0024】 本発明のさらなる態様においては、基板表面上に金属、金属酸化物、または、
金属窒化物の膜を形成するプロセスが、提供され、このプロセスにおいては、金
属前駆体と反応して金属を堆積する第1の化学種によって表面終端された表面上
に、金属前駆体ガスまたは蒸気を流すことを備えた堆積ステップと、次の堆積反
応の準備として、新しく堆積した金属層上にラジカル種を流し込み、堆積ステッ
プからのリガンドを除去し、第1の化学種を提供し、基板表面を終端させること
を備えたステップとが、交互に実行される。
【0025】 このプロセスにおいては、金属前駆体ガスを、アミン種によって終端された表
面と反応させ、そして、原子ラジカル水素、原子ラジカル窒素、再度、原子ラジ
カル水素に表面を交互に暴露し、それによって、金属堆積化学反応で残った生成
物を揮発させ、堆積した金属モノレイヤを窒化させ、そして、次の金属堆積ステ
ップの準備として、再度、アミン種によって、金属表面を終端させることによる
、金属堆積のステップシーケンスによって、金属窒化物膜が、徐々に形成される
。金属前駆体ガスを、水酸基種によって終端された表面と反応させ、そして、原
子ラジカル水素、原子ラジカル酸素、再度、原子ラジカル水素に表面を交互に暴
露し、それによって、金属堆積化学反応で残った生成物を揮発させ、金属モノレ
イヤを酸化させ、そして、次の金属堆積ステップの準備として、再度、水酸基種
によって、金属表面を終端させることによる、金属堆積のステップシーケンスに
よって、金属酸化物膜が、徐々に形成される。
【0026】 本発明のさらにもう1つの態様においては、堆積室内の基板表面上に化合物膜
を堆積させる方法が、提供され、この方法は、(a)金属を含有する金属分子前
駆体ガスまたは蒸気を基板表面上に流すことによって、基板表面上に金属のモノ
レイヤを堆積させ、その表面が、金属を堆積させて反応生成物を形成することに
よって、前駆体が反応する第1の反応性のある種によって飽和され、金属表面を
金属前駆体からのリガンドで被覆された状態のままにしておき、それによって、
さらには前駆体と反応することができないステップと、(b)前駆体ガスまたは
蒸気を流すのを停止するステップと、(c)不活性ガスによって前駆体をパージ
するステップと、(d)第1のラジカル種を室内の基板表面上に流し込み、ラジ
カル種が、反応生成物との高い反応性を有し、反応生成物と化合して揮発性のあ
る種を生成し、第1のラジカル種によって、表面を飽和させるステップと、(e
)室内に非金属ラジカル種を流し込み、ステップ(a)で堆積した金属モノレイ
ヤと化合させ、金属の化合物膜を形成するステップと、(f)次の金属堆積ステ
ップの準備として、室内に第3のラジカル種を流し込み、第1の反応性のある種
によって表面を終端させるステップと、(g)所望の厚さの複合膜が得られるま
で、前記ステップを順序正しく反復するステップと、を備える。
【0027】 この方法においては、第1および第3のラジカル種は、いずれも、原子状水素
であってもよく、ステップ(f)における金属表面は、金属前駆体と反応して金
属を堆積させる非金属元素の水酸化物種によって終端する。その変形においては
、非金属原子ステップ(e)および水素原子(f)は、膜の品質を改善するため
に反復される。もう1つの変形においては、ステップ(e)および(f)は、1
つのステップに統合され、表面が、水素原子および非金属原子と同時に反応させ
られる。さらに、本発明のこの変形を実施することによって、様々な膜が、生成
されてもよく、それらには、限定されないが、二硫化モリブデンおよび硫化亜鉛
が含まれる。
【0028】 本発明のさらにもう1つの態様においては、ラジカルを利用した連続CVD(
RAS−CVD)リアクタが、提供され、このリアクタは、連続的なステップに
おいてガスを導入するための制御されたガス吸入口および、基板を保持し、そし
て入ってくるガスに基板表面を暴露するための加熱される基板支持体を備えた室
と、リアクタで使用されるラジカル原子種を生成するためのプラズマ発生装置と
を備える。このリアクタにおいて、凝集金属層が、金属を含有する前駆体ガスま
たは蒸気を基板表面上に流すことによって、金属のモノレイヤを基板表面上に堆
積させることによって形成され、表面が、金属を堆積させて反応生成物を形成す
ることによって、前駆体が反応する第1の反応性のある種によって終端され、金
属表面をさらには前駆体と反応することができない状態のままにしておき、前駆
体ガスまたは蒸気を流すのを停止し、少なくとも1つの原子ラジカル種を室内の
基板表面上に流し込み、原子種が、反応生成物との高い反応性を有し、反応生成
物と化合し、さらに、表面を終端させ、第1の反応性のある種を提供し、所望の
厚さの複合膜が得られるまで、前記ステップを順序正しく反復する。
【0029】 様々な実施形態においては、原子ラジカル種は、原子状水素である。金属を含
有する前駆体ガスは、六フッ化タングステンであり、堆積する金属は、タングス
テンであってもよい。
【0030】 いくつかの実施形態においては、プラズマ発生装置は、リアクタ室内にある電
極と、その電極に接続された高周波電源とを備える。別の実施形態においては、
プラズマ発生装置は、シャワーヘッド型ガス分配装置を備え、プラズマが、シャ
ワーヘッド装置内で生成され、ラジカル種を生成する。さらに別の実施形態にお
いては、原子ラジカル種は、遠隔のプラズマ発生器内で生成され、その種が、リ
アクタへ送られる。
【0031】 本発明の様々な実施形態においては、新しいプロセスが、提供され、多くの種
類の膜を、きわめて高い純度で、かつ基板形状に対する優れたコンフォーマリテ
ィ、および、ビア内およびその他の難しい表面形状の被覆性で、素早く効率的に
生成することができ、それらの膜には、純粋な金属、金属酸化物、金属窒化物、
および、その他の膜が含まれる。
【0032】 (発明の詳細な説明) 本発明人は、ALDの従来の表面準備ステップを変更し、従来のALDの問題
を克服し、品質を犠牲にすることなく高いスループットを提供する、改善された
変形ALDを開発した。本発明人は、新しい独特なプロセスを「ラジカルを利用
した連続CVD(Radical−Assisted Sequential
CVD:RAS−CVD)」と名付ける。
【0033】 図1は、本発明の実施形態によるRAS−CVDを実施するためのシステム1
1の概略図である。この例としてのシステムにおいて、堆積室13は、被覆され
るべき基板19を支持および加熱するための加熱可能な炉床と、被覆されるべき
基板表面にガス種を放出するためのシャワーヘッド15のようなガス分配装置と
を有する。基板は、バルブ21および図示しない基板ハンドリング装置を介して
、室13に挿入され、また室13から取り出される。ガスは、ガス供給パルス放
出装置23から供給され、この装置23は、ガス材料を連続的に供給するために
、計量および調節する装置を含む。オプションとしての処理装置25は、装置2
3から供給されるガスからガスラジカルを生成するために提供される。
【0034】 ラジカルという用語は、この分野において、よく知られており、かつよく理解
されているが、混同しないように、ここで再度意味を限定する。ラジカルという
用語は、不安定な種を意味する。例えば、酸素は、二原子の状態で安定しており
、たいてい、この状態で自然界に存在する。しかしながら、二原子酸素は、単原
子状態に分解され、あるいは、別の原子と化合して、3つの原子からなるオゾン
分子を生成する。単原子酸素およびオゾンのいずれもが、酸素のラジカルな状態
であり、二原子酸素よりも反応性が高い。本発明の実施形態においては、多くの
場合、生成および使用されるラジカルは、酸素、水素、および、窒素のような様
々なガスの単原子状態であるが、本発明は、厳密に、単原子ガスに限定されるも
のではない。
【0035】 図2は、従来の原子層堆積プロセスのステップ図であり、本発明と対照するた
めに、また、本発明との関係を示すために、ここに、図示される。従来のALD
においては、図2に示されるように、ステップ31において、第1の分子前駆体
が、リアクタ室の中へパルス放出され、表面と反応して、所望される材料のモノ
レイヤを生成する(理論上)。これらのプロセスにおいては、多くの場合、前駆
体は、金属含有ガスであり、堆積する材料は、例えば、TClから得られる
タンタルのような金属である。
【0036】 従来のプロセスのステップ33において、不活性ガスが、リアクタ室の中へパ
ルス放出され、余分な第1の前駆体を室から追い出す。
【0037】 従来のシステムのステップ35において、典型的には非金属である第2の前駆
体が、リアクタの中へパルス放出される。この第2の前駆体の主たる目的は、基
板表面を第1の前駆体と反応する状態に戻すことである。さらに、多くの場合、
第2の前駆体は、分子ガスから材料を提供し、表面において、金属と化合させ、
新しく堆積した金属との酸化物または窒化物のような化合物を形成する。
【0038】 ステップ37において、再度、リアクタ室をパージし、余分な第2の前駆体を
除去し、そして、ステップ31が、反復される。所望の膜を形成するのに必要な
回数だけ、このサイクルが、反復される。
【0039】 図3は、本発明の実施形態によるラジカルを利用した連続CVDプロセスにお
けるステップを説明するステップ図である。図3に示される独特なプロセスにお
いては、最初の2つのステップ41および43は、従来のプロセスと同じもので
ある。ステップ41において、第1の前駆体が、パルス放出され、基板表面と反
応して、堆積物からなるモノレイヤを形成し、ステップ43において、室が、パ
ージされる。次のステップが、独特なものである。ステップ45において、オプ
ションとして、第2の材料を表面に提供し、表面を次のステップで第1の分子前
駆体と反応する状態にするために、1つかまたは複数のラジカル種が、基板表面
へパルス放出される。そして、ステップ41が、反復される。第2のパージは、
行わなくてもよく、所望の膜を形成するのに必要な回数だけ、このサイクルが、
反復される。
【0040】 ステップ45は、ただ1つのラジカル種を含むただ1つのステップであっても
よい。例えば、第1の前駆体は、WFからのWの場合のような金属を堆積させ
てもよく、ステップ45におけるラジカル種は、原子状水素であってもよい。原
子状水素は、残存するすべてのFを、きわめて迅速かつ効率的にHFに中和し、
原子状水素によって表面を終端させ、次のWFのパルス放出のために、反応性
のある表面を提供する。
【0041】 多くの場合、ステップ45は、異なるラジカル種を含むサブステップからなる
複合ステップである。好ましい例は、原子状水素、それに続く原子状酸素、さら
に、それに続く原子状水素からなるシーケンスである。第1の水素ステップは、
Clまたはその他の残存するリガンドを中和し、原子状酸素は、新しく堆積する
金属の酸化物を提供し、第2の原子状水素は、次の金属前駆体ステップの準備と
して、(OH)によって表面を終端させる。
【0042】 ステップ45においては、多種多様な材料およびその組み合わせが存在し、そ
の多くが、以下で、より詳細に開示されるとともに、プロセス化学をより完璧に
説明する。
【0043】 RAS−CVDにおいては、金属前駆体反応に続いて、高い反応性を有するラ
ジカル種が、導入され、金属前駆体反応の生成物と素早く反応し、次の金属前駆
体反応のために、表面を準備する。ラジカル種は、上述したように導入されると
きには、反応性のある原子フラグメントまたは分子フラグメントであり、それは
、化学的に不安定であり、したがって、きわめて反応性が高い。さらに、ラジカ
ルは、ほぼ100%の効率で、表面に化学吸着する。ラジカルは、様々な方法で
生成することができ、プラズマ生成が、効率的であり、かつ準備に適合する手段
であることが知られている。
【0044】 RAS−CVDプロセスは、1つの分子前駆体だけを使用し、多くの場合、そ
れは、金属前駆体である。非金属元素の堆積と同様に、表面準備は、原子−表面
反応によって達成される。金属前駆体反応に続いて、−ML終端表面が、水素原
子と反応し、表面を−MHに変え、そして、HL副産物を除去する。分子−表面
反応と異なり、原子−表面反応は、反応性部位数の密度に依存しない。ほとんど
の原子(希ガスを除く)は、不可逆的なプロセスにおいて、表面にきわめて効率
的に付着する。なぜなら、原子脱離は、通常好ましくないからである。原子は、
非反応性部位においては、高い移動性を有し、反応性部位においては、きわめて
高い反応性を有する。その結果として、原子−表面反応は、線形暴露依存性とと
もに速い反応速度を有する。
【0045】 −MH表面は、A原子と反応して、−M−A−表面を生じさせてもよい。この
場合、なんらかのHリガンドが、AHとして、除去されてもよい。例えば、−
MH表面は、酸素化合物を堆積させるために、酸素原子と反応してもよい。ある
いは、M金属膜の制御された原子層堆積のために、−MH表面が、再度、ML と反応してもよい。窒素化合物膜の堆積の場合、Aは、原子状窒素である。A原
子反応後の表面は、A−およびAHによって終端する。この時点において、水素
とのさらなる原子反応が、表面を、金属前駆体と反応性のある所望のAHリガン
ドに変える。あるいは、1つだけ少ないステップによって、表面を−AH終端表
面に変えるために、MH表面は、A原子およびH原子の混合物と反応してもよい
。上述した反応のすべては、素早く、効率的で、暴露に線形依存する、ラジカル
−表面反応である。さらに、最終的な水素反応は、不純物をまったく取り込むこ
となく、初期の表面を完全に回復させることになる。
【0046】 RAS−CVDが有するスループットのさらなる利点は、金属前駆体ステップ
の後に、従来のプロセスにおいて必要とされる2つのパージステップではなく、
ただ1つのパージステップだけがあればよいことである。多くの研究者によって
、パージステップは、ALDプロセスにおいて、スループットを最も制限するス
テップであると考えられている。さらなる利点は、RAS−CVDが、システム
のより長い稼働時間を保証し、かつ、維持費を減少させることである。これは、
原子種を、堆積モジュールのアルミニウム壁上で効率的に急冷することができる
からである。したがって、室の下流側堆積および吸排気ラインは、ほとんど除去
される。RAS−CVDによれば、従来技術において、酸化物および窒化物の堆
積(のそれぞれ)に一般に利用される、HOおよびNHを使用しなくてもよ
い。これらの前駆体は、真空システムの維持費および不稼働時間を増大させるこ
とで有名である。
【0047】 上述した典型的なRAS−CVDによれば、金属酸化物膜を形成するためのサ
イクルは、以下のステップからなる。すなわち、 1.−O−MLを付着させ、かつ、HL脱離によって水素を除去するための、
−OH(水酸基)終端表面との金属前駆体反応。表面は、Lリガンドによって次
第に被覆される。すなわち、TaClの場合、表面は、Cl原子によって次第
に被覆される。 2.余分な金属前駆体を追い出すための不活性ガスによるパージ。 3.原子状水素ステップ。HL脱離によってリガンドLを除去し、水素によって
表面を終端させる。 4.原子状酸素ステップ。金属のモノレイヤと反応して酸化物を形成する。次の
金属前駆体ステップのために水酸基飽和表面を維持するための、再度の原子状水
素。
【0048】 この時点において、ステップ4および5を複数回実行することによって、酸化
物膜の品質(すなわち、絶縁特性、絶縁耐力、電荷トラッピング)を改善するこ
とができる。例えば、Alを用いたRAS−CVDは、トリメチルアルミ
ニウムAl(CH、水素、および酸素に暴露することによって実現するこ
とができる。−OH終端表面と反応するAl(CHは、−OAl(CHを堆積させ、それと同時に、メタン(CH)を脱離させる。−OAl(C
)x(x=1、2)表面は、x個のメタン分子を除去し、かつ、−OAlH
によって表面を終端させるために、H原子で処理される。O原子およびH原子と
の連続(または、同時)反応後のこの表面は、−OAl−OHで終端し、そして
、それは、回復した状態である。この時点において、RAS−CVDプロセスは
、さらなるAl(CH反応を用いることによって、続行することができる
。あるいは、−OAl−OH表面は、O原子およびH原子によるさらなるサイク
ルを施されてもよい。100℃以上の温度において、このプロセスは、OH基お
よびAl−O−Al架橋部位を交換し、その結果として得られるOAl−OH表
面は、熱力学的に、最初の表面よりも好ましいものである。なぜなら、プロセス
は、より多くの変形した(Al−O−)n環構造を除去するとともに、欠陥およ
び不完全な結合を定量して除去するからである。原子反応は、かなり素早いので
、これらを品質改善しても、スループットに大きく関係するとは考えられない。
実際に、最高の品質は、O、Hサイクルを数回だけ用いることによって実現する
ことができる。所定の回数のO、H原子反応に続いて、シーケンスは、次のAl
(CH反応を続行する。
【0049】 6.1.からステップを反復する。
【0050】 金属窒化物の場合、原子状窒素が、酸素の代わりに使用される。純粋な金属堆
積の場合、タングステン膜の場合のように、ただ1つの原子状水素ステップを優
先して、酸素/窒素ステップは、省略されてもよい。第1の原子状水素ステップ
後の水素飽和表面は、WFと反応性があり、純粋な金属を生成する。
【0051】 RAS−CVDの特有な性質は、様々な酸化物、様々な窒化物、窒化物を含む
酸化物、様々な金属、および化合物膜を含む金属からなる、多層結合膜に都合が
よい。
【0052】 障壁層に役立つ別の独特なプロセスにおいては、様々な方法によって、交互に
現れるW層およびWN層を生成するために、純粋なWプロセスにWNプロセスを
組み合わせてもよく、それによって、障壁層の多結晶化を抑制し、そして障壁層
の抵抗率を減少させることができる。エレクトロマイグレーションのようなその
他の性質が、そのような用途に用いられる銅の界面において窒素含有量の少ない
、WNのグレーデッド層を提供する能力によって制御されてもよい。
【0053】 本発明の実施形態においては、多種多様なプロセス化学が、実施されてもよく
、それによって、多種多様な最終的な膜を提供することができる。純粋な金属の
領域においては、例えば、限定しない一部のリストを以下に提供する。 1.六フッ化タングステンからのタングステン。 2.五塩化タンタルからのタンタル。 3.三塩化アルミニウムかまたはトリメチルアルミニウムからのアルミニウム。 4.四塩化チタンまたは四沃化チタンからのチタン。 5.六フッ化モリブデンからのモリブデン。 6.二塩化亜鉛からの亜鉛。 7.四塩化ハフニウムからのハフニウム。 8.五塩化ニオブからのニオブ。 9.CuClからの銅。
【0054】 酸化物の領域においては、以下は、限定しない一部のリストである。 1.五塩化タンタルからの五酸化タンタル。 2.トリメチルアルミニウムまたは三塩化アルミニウムからの酸化アルミニウム
。 3.四塩化チタンまたは四沃化チタンからの酸化チタン。 4.五塩化ニオブからの五酸化ニオブ。 5.四塩化ジルコニウムからの酸化ジルコニウム。 6.四塩化ハフニウムからの酸化ハフニウム。 7.二塩化亜鉛からの酸化亜鉛。 8.六フッ化モリブデンまたは五塩化モリブデンからの酸化モリブデン。 9.二塩化マンガンからの酸化マンガン。 10.四塩化錫からの酸化錫。 11.三塩化インジウムまたはトリメチルインジウムからの酸化インジウム。 12.六フッ化タングステンからの酸化タングステン。 13.四塩化珪素からの二酸化珪素。
【0055】 窒化物の領域においては、以下は、限定しない一部のリストである。 1.六フッ化タングステンからの窒化タングステン。 2.五塩化タンタルからの窒化タンタル。 3.三塩化アルミニウムまたはトリメチルアルミニウムからの窒化アルミニウム
。 4.四塩化チタンからの窒化チタン。 5.四塩化珪素またはジクロルシランからの窒化珪素 6.トリメチルガリウムからの窒化ガリウム。
【0056】 ハードウェア要件 RAS−CVDのさらなる利点は、それが、ほとんどの場合に、ALDプロセ
スハードウェアと互換性があることである。大きな相違は、原子種および/また
はその他のラジカルを生成する点にあり、また、ガスをプロセス室に導入するタ
イミングおよびシーケンスの点にある。原子種の生成は、(1)その場でプラズ
マ生成する、(2)シャワーヘッド内でプラズマ生成する、(3)高密度遠隔プ
ラズマ源によって、あるいは、UV解離または準安定分子の解離のようなその他
の手段によって外部生成するなど、様々な方法でなされてもよい。再び、図1を
参照すると、これらの方法および装置が、まとめて、装置25によって示される
【0057】 オプションの中で、その場での生成は、最も簡単な構成であるが、スループッ
トを制限する可能性のある、ターンオン−ターンオフ時間のようないくつかの問
題を有する。シャワーヘッド内での生成は、原子種の生成をALD空間から分離
するという利点を有することが知られている。本発明がなされた時点における好
ましい方法は、高密度プラズマ源による遠隔生成である。なぜなら、これは、最
も用途の広い方法であるからである。ラジカルは、遠隔源で生成され、ALD容
器へ送られ、シャワーヘッドによって、加工中のウェーハ全体に分配される。
【0058】 上述した実施形態の変形として、本発明の範囲内で実施することのできる様々
なオプションがあることは、当業者には明らかなことである。そのいくつかは、
既に記載されている。例えば、水素、酸素、窒素のような必要とされる種のラジ
カルは、様々な方法で生成され、プロセスステップにおいて放出されてもよい。
さらに、ALD室、ガス分配、流量調節、タイミング、および、それらに類似す
るものは、多くの点において様々に変化してもよい。さらにまた、多くの金属、
酸化物、窒化物、および、それらに類似するものが、作成されてもよく、そして
、グレーデッド膜および交互に現れる膜を生成するために、プロセスステップが
、変更および挿入されてもよい。
【0059】 こられの変形に加えて、2、3、またはそれ以上の金属からなる合金を堆積さ
せることができ、2、3、またはそれ以上の成分によって化合物を堆積させるこ
とができ、さらに、グレーデッド膜およびナノラミネートのようなものを形成す
ることができる方法で、本明細書で説明されるプロセスを組み込むことによって
、プロセスステップを交互に実行できることは、当業者には明らかなことである
。これらの変形は、交互のサイクルにおいて、典型的には、その場において、本
発明の個々の実施形態を用いて、ただ単に変形したものである。本発明の精神お
よび範囲内には、その他の多くの変形が存在するので、本発明は、請求項によっ
てのみ限定される。
【図面の簡単な説明】
【図1】 本発明の実施形態による、ラジカルを利用した連続CVDプロセスを実施する
ためのリアクタおよびそれに関連する装置の概略図である。
【図2】 原子層堆積プロセスの主要なステップを説明するフローチャートである。
【図3】 本発明の実施形態による、ラジカルを利用した連続CVDプロセスのステップ
を説明するフローチャートである。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,SD,SL,SZ,TZ,UG,ZW ),EA(AM,AZ,BY,KG,KZ,MD,RU, TJ,TM),AE,AL,AM,AT,AU,AZ, BA,BB,BG,BR,BY,CA,CH,CN,C U,CZ,DE,DK,EE,ES,FI,GB,GD ,GE,GH,GM,HR,HU,ID,IL,IN, IS,JP,KE,KG,KP,KR,KZ,LC,L K,LR,LS,LT,LU,LV,MD,MG,MK ,MN,MW,MX,NO,NZ,PL,PT,RO, RU,SD,SE,SG,SI,SK,SL,TJ,T M,TR,TT,UA,UG,UZ,VN,YU,ZA ,ZW Fターム(参考) 4K030 AA03 AA04 AA11 BA02 BA12 BA13 BA17 BA18 BA20 BA21 BA38 BA42 CA04 FA10 HA01 LA15 4M104 BB02 BB04 BB13 BB14 BB16 BB17 BB18 BB30 BB32 BB33 BB36 DD45 EE16 EE17

Claims (54)

    【特許請求の範囲】
  1. 【請求項1】 堆積室内の基板表面上に金属を堆積させる方法であって、 (a)金属を含有する分子前駆体ガスまたは蒸気を基板表面上に流すことによ
    って、基板表面上に金属のモノレイヤを堆積させ、該表面が、金属を堆積させて
    反応生成物を形成することによって、前駆体が反応する第1の反応性のある種に
    よって飽和され、金属表面を金属前駆体からのリガンドで被覆された状態のまま
    にしておき、それによって、さらには前駆体と反応することができないステップ
    と、 (b)前駆体ガスまたは蒸気を流すのを停止するステップと、 (c)不活性ガスによって前駆体をパージするステップと、 (d)少なくとも1つのラジカル種を室内の基板表面上に流し込み、ラジカル
    種が、金属前駆体層の表面リガンドとの高い反応性を有し、反応生成物としてリ
    ガンドを除去し、さらに、表面を飽和させ、第1の反応性のある種を提供するス
    テップと、 (e)所望の厚さの金属膜が得られるまで、前記ステップを順序正しく反復す
    るステップと、 を備えた堆積室内の基板表面上に金属を堆積させる方法。
  2. 【請求項2】 ラジカル種が、原子状水素である請求項1に記載の方法。
  3. 【請求項3】 金属を含有する前駆体ガスが、六フッ化タングステンであり
    、堆積する金属が、タングステンである請求項1に記載の方法。
  4. 【請求項4】 金属を含有する前駆体ガスが、五塩化タンタルであり、堆積
    する金属が、タンタルである請求項1に記載の方法。
  5. 【請求項5】 金属を含有する前駆体ガスが、トリメチルアルミニウムかま
    たは三塩化アルミニウムのどちらかであり、堆積する金属が、アルミニウムであ
    る請求項1に記載の方法。
  6. 【請求項6】 金属を含有する前駆体ガスが、四塩化チタンかまたは四沃化
    チタンのどちらかであり、堆積する金属が、チタンである請求項1に記載の方法
  7. 【請求項7】 金属を含有する前駆体ガスが、六フッ化モリブデンであり、
    堆積する金属が、モリブデンである請求項1に記載の方法。
  8. 【請求項8】 金属を含有する前駆体ガスが、二塩化亜鉛であり、堆積する
    金属が、亜鉛である請求項1に記載の方法。
  9. 【請求項9】 金属を含有する前駆体ガスが、四塩化ハフニウムであり、堆
    積する金属が、ハフニウムである請求項1に記載の方法。
  10. 【請求項10】 金属を含有する前駆体ガスが、五塩化ニオブであり、堆積
    する金属が、ニオブである請求項1に記載の方法。
  11. 【請求項11】 前駆体ガスが、塩化銅CuClであり、堆積する金属
    が、銅である請求項1に記載の方法。
  12. 【請求項12】 堆積室内の基板表面上に金属酸化物を堆積させる方法であ
    って、 (a)金属を含有する金属分子前駆体ガスまたは蒸気を基板表面上に流すこと
    によって、基板表面上に金属のモノレイヤを堆積させ、該表面が、金属を堆積さ
    せて反応生成物を形成することによって、前駆体が反応する第1の反応性のある
    種によって飽和され、金属表面を金属前駆体からのリガンドで被覆された状態の
    ままにしておき、それによって、さらには前駆体と反応することができないステ
    ップと、 (b)前駆体ガスまたは蒸気を流すのを停止するステップと、 (c)不活性ガスによって前駆体をパージするステップと、 (d)第1のラジカル種を室内の基板表面上に流し込み、ラジカル種が、反応
    生成物との高い反応性を有し、反応生成物と化合して揮発性のある種を生成し、
    第1のラジカル種によって、表面を飽和させるステップと、 (e)室内にラジカル酸素を流し込み、ステップ(a)で堆積した金属モノレ
    イヤと化合させ、金属酸化物を形成するステップと、 (f)次の金属堆積ステップの準備として、室内に第3のラジカル種を室内に
    流し込み、第1の反応性のある種によって表面を終端させるステップと、 (g)所望の厚さの複合膜が得られるまで、前記ステップを順序正しく反復す
    るステップと、 を備えた堆積室内の基板表面上に金属酸化物を堆積させる方法。
  13. 【請求項13】 第1および第3のラジカル種が、いずれも、原子状水素で
    あり、ステップ(f)における金属表面が、金属前駆体と反応して金属を堆積さ
    せる水酸基種によって終端する請求項12に記載の方法。
  14. 【請求項14】 酸素原子ステップ(e)および水素原子ステップ(f)が
    、膜の品質を改善するために反復される請求項13に記載の方法。
  15. 【請求項15】 ステップ(e)および(f)が、1つのステップに統合さ
    れ、表面が、水素原子および酸素原子と同時に反応させられる請求項12に記載
    の方法。
  16. 【請求項16】 金属前駆体が、五塩化タンタルであり、膜が、五酸化タン
    タルである請求項12に記載の方法。
  17. 【請求項17】 金属前駆体が、トリメチルアルミニウムまたは三塩化アル
    ミニウムであり、膜が、酸化アルミニウムである請求項12に記載の方法。
  18. 【請求項18】 金属前駆体が、四塩化チタンまたは四沃化チタンであり、
    膜が、酸化チタンである請求項12に記載の方法。
  19. 【請求項19】 金属前駆体が、五塩化ニオブであり、膜が、五酸化ニオブ
    である請求項12に記載の方法。
  20. 【請求項20】 金属前駆体が、四塩化ジルコニウムであり、膜が、酸化ジ
    ルコニウムである請求項12に記載の方法。
  21. 【請求項21】 金属前駆体が、四塩化ハフニウムであり、膜が、酸化ハフ
    ニウムである請求項12に記載の方法。
  22. 【請求項22】 金属前駆体が、二塩化亜鉛であり、膜が、酸化亜鉛である
    請求項12に記載の方法。
  23. 【請求項23】 金属前駆体が、六フッ化モリブデンまたは五塩化モリブデ
    ンであり、膜が、酸化モリブデンである請求項12に記載の方法。
  24. 【請求項24】 金属前駆体が、二塩化マンガンであり、膜が、酸化マンガ
    ンである請求項12に記載の方法。
  25. 【請求項25】 金属前駆体が、四塩化錫であり、膜が、酸化錫である請求
    項12に記載の方法。
  26. 【請求項26】 金属前駆体が、三塩化インジウムまたはトリメチルインジ
    ウムであり、膜が、酸化インジウムである請求項12に記載の方法。
  27. 【請求項27】 金属前駆体が、六フッ化タングステンであり、膜が、酸化
    タングステンである請求項12に記載の方法。
  28. 【請求項28】 金属前駆体が、四塩化珪素であり、膜が、二酸化珪素であ
    る請求項12に記載の方法。
  29. 【請求項29】 第1のラジカル種が、原子状水素であり、ステップ(e)
    および(f)が、OHラジカルを用いる1つのステップに統合され、ステップ(
    f)における金属表面が、金属前駆体と反応して金属を堆積させる水酸基種によ
    って終端する請求項12に記載の方法。
  30. 【請求項30】 堆積室内の基板表面上に金属窒化物を堆積させる方法であ
    って、 (a)金属を含有する金属前駆体ガスまたは蒸気を基板表面上に流すことによ
    って、基板表面上に金属のモノレイヤを堆積させ、該表面が、金属を堆積させて
    反応生成物を形成することによって、前駆体が反応する第1の反応性のある種に
    よって飽和され、金属表面を金属前駆体からのリガンドで被覆された状態のまま
    にしておき、それによって、さらには前駆体と反応することができないステップ
    と、 (b)前駆体ガスまたは蒸気を流すのを停止するステップと、 (c)不活性ガスによって前駆体をパージするステップと、 (d)第1のラジカル種を室内の基板表面上に流し込み、原子種が、金属前駆
    体層の表面リガンドとの高い反応性を有し、反応生成物としてリガンドを除去し
    、さらに、表面を飽和させるステップと、 (e)室内にラジカル窒素を流し込み、ステップ(a)で堆積した金属モノレ
    イヤと化合させ、金属窒化物を形成するステップと、 (f)次の金属堆積ステップの準備として、室内に第3のラジカル種を流し込
    み、第1の反応性のある種によって表面を終端させるステップと、 (g)所望の厚さの複合膜が得られるまで、前記ステップを順序正しく反復す
    るステップと、 を備えた堆積室内の基板表面上に金属窒化物を堆積させる方法。
  31. 【請求項31】 第1および第3の原子ラジカル種が、いずれも、原子状水
    素であり、ステップ(f)における金属表面が、金属前駆体と反応して金属を堆
    積させるアミン種によって終端する請求項30に記載の方法。
  32. 【請求項32】 ステップ(e)および(f)が、1つのステップに統合さ
    れ、表面が、水素原子および窒素原子と同時に反応させられる請求項31に記載
    の方法。
  33. 【請求項33】 金属前駆体が、六フッ化タングステンであり、膜が、窒化
    タングステンである請求項30に記載の方法。
  34. 【請求項34】 金属前駆体が、五塩化タンタルであり、膜が、窒化タンタ
    ルである請求項30に記載の方法。
  35. 【請求項35】 金属前駆体が、三塩化アルミニウムまたはトリメチルアル
    ミニウムであり、膜が、窒化アルミニウムである請求項30に記載の方法。
  36. 【請求項36】 金属前駆体が、四塩化チタンであり、膜が、窒化チタンで
    ある請求項30に記載の方法。
  37. 【請求項37】 金属前駆体が、四塩化珪素またはジクロルシランであり、
    膜が、窒化珪素である請求項30に記載の方法。
  38. 【請求項38】 金属前駆体が、トリメチルガリウムであり、膜が、窒化ガ
    リウムである請求項30に記載の方法。
  39. 【請求項39】 第1のラジカル種が、原子状水素であり、ステップ(e)
    および(f)が、NHラジカルおよびNHラジカルの一方または両方を用いる
    1つのステップに統合され、ステップ(f)における金属表面が、金属前駆体と
    反応して金属を堆積させるアミン種によって終端する請求項30に記載の方法。
  40. 【請求項40】 基板表面上に金属、金属酸化物、または金属窒化物の膜を
    形成するプロセスであって、 金属前駆体と反応して金属を堆積する第1の化学種によって表面終端された表
    面上に、金属前駆体ガスまたは蒸気を流すことを備えた堆積ステップと、次の堆
    積反応の準備として、新しく堆積した金属層上にラジカル種を流し込み、堆積ス
    テップからのリガンドを除去し、第1の化学種を提供し、基板表面を終端させる
    ことを備えたステップとが、交互に実行される、 基板表面上に金属、金属酸化物、または金属窒化物の膜を形成するプロセス。
  41. 【請求項41】 金属前駆体ガスを、アミン種によって終端された表面と反
    応させ、そして、原子ラジカル水素、原子ラジカル窒素、再度、原子ラジカル水
    素に表面を交互に暴露し、それによって、金属堆積化学反応で残った生成物を揮
    発させ、堆積した金属モノレイヤを窒化させ、そして、次の金属堆積ステップの
    準備として、再度、アミン種によって、金属表面を終端させることによる、金属
    堆積のステップシーケンスによって、金属窒化物膜が、徐々に形成される請求項
    40に記載のプロセス。
  42. 【請求項42】 金属前駆体ガスを、水酸基種によって終端された表面と反
    応させ、そして、原子ラジカル水素、原子ラジカル酸素、再度、原子ラジカル水
    素に表面を交互に暴露し、それによって、金属堆積化学反応で残った生成物を揮
    発させ、金属モノレイヤを酸化させ、そして、次の金属堆積ステップの準備とし
    て、再度、水酸基種によって、金属表面を終端させることによる、金属堆積のス
    テップシーケンスによって、金属酸化物膜が、徐々に形成される請求項40に記
    載のプロセス。
  43. 【請求項43】 堆積室内の基板表面上に化合物膜を堆積させる方法であっ
    て、 (a)金属を含有する金属分子前駆体ガスまたは蒸気を基板表面上に流すこと
    によって、基板表面上に金属のモノレイヤを堆積させ、該表面が、金属を堆積さ
    せて反応生成物を形成することによって、前駆体が反応することのできる第1の
    反応性のある種によって飽和され、金属表面を金属前駆体からのリガンドで被覆
    された状態のままにしておき、それによって、さらには前駆体と反応することが
    できないステップと、 (b)前駆体ガスまたは蒸気を流すのを停止するステップと、 (c)不活性ガスによって前駆体をパージするステップと、 (d)第1のラジカル種を室内の基板表面上に流し込み、ラジカル種が、反応
    生成物との高い反応性を有し、反応生成物と化合して揮発性のある種を生成し、
    第1のラジカル種によって、表面を飽和させるステップと、 (e)室内に非金属ラジカル種を流し込み、ステップ(a)で堆積した金属モ
    ノレイヤと化合させ、金属の化合物膜を形成するステップと、 (f)次の金属堆積ステップの準備として、室内に第3のラジカル種を流し込
    み、第1の反応性のある種によって表面を終端させるステップと、 (g)所望の厚さの複合膜が得られるまで、前記ステップを順序正しく反復す
    るステップと、 を備えた堆積室内の基板表面上に化合物膜を堆積させる方法。
  44. 【請求項44】 第1および第3のラジカル種が、いずれも、原子状水素で
    あり、ステップ(f)における金属表面が、金属前駆体と反応して金属を堆積さ
    せる非金属元素の水酸化物種によって終端する請求項43に記載の方法。
  45. 【請求項45】 非金属原子ステップ(e)および水素原子ステップ(f)
    が、膜の品質を改善するために反復される請求項43に記載の方法。
  46. 【請求項46】 ステップ(e)および(f)が、1つのステップに統合さ
    れ、表面が、水素原子および非金属原子と同時に反応させられる請求項43に記
    載の方法。
  47. 【請求項47】 金属前駆体が、六フッ化モリブデンまたは五塩化モリブデ
    ンであり、非金属元素が、硫黄であり、膜が、二硫化モリブデンである請求項4
    3に記載の方法。
  48. 【請求項48】 金属前駆体が、二塩化亜鉛であり、非金属元素が、硫黄で
    あり、膜が、硫化亜鉛である請求項43に記載の方法。
  49. 【請求項49】 ラジカルを利用した連続CVDリアクタであって、 連続的なステップにおいてガスを導入するための制御されたガス吸入口および
    、基板を保持し、そして、入ってくるガスに基板表面を暴露するための加熱され
    る基板支持体を備えた室と、 リアクタで使用されるラジカル原子種を生成するためのプラズマ発生装置と、
    を備え、 凝集金属層が、金属を含有する前駆体ガスまたは蒸気を基板表面上に流すこと
    によって、金属のモノレイヤを基板表面上に堆積させることによって形成され、
    表面が、金属を堆積させて反応生成物を形成することによって、前駆体が反応す
    る第1の反応性のある種によって終端され、金属表面をさらには前駆体と反応す
    ることができない状態のままにしておき、前駆体ガスまたは蒸気を流すのを停止
    し、少なくとも1つの原子ラジカル種を室内の基板表面上に流し込み、原子種が
    、反応生成物との高い反応性を有し、反応生成物と化合し、さらに、表面を終端
    させ、第1の反応性のある種を提供し、所望の厚さの複合膜が得られるまで、前
    記ステップを順序正しく反復する、 ラジカルを利用した連続CVDリアクタ。
  50. 【請求項50】 原子ラジカル種が、原子状水素である請求項49に記載の
    リアクタ。
  51. 【請求項51】 金属を含有する前駆体ガスが、六フッ化タングステンであ
    り、堆積する金属が、タングステンである請求項49に記載のリアクタ。
  52. 【請求項52】 プラズマ発生装置が、リアクタ室内にある電極と、該電極
    に接続された高周波電源とを備えた請求項49に記載のリアクタ。
  53. 【請求項53】 シャワーヘッド型ガス分配装置をさらに備え、プラズマが
    、シャワーヘッド型ガス分配装置内で生成されて原子ラジカル種を生成する請求
    項49に記載のリアクタ。
  54. 【請求項54】 原子ラジカル種が、遠隔のプラズマ発生器内で生成され、
    原子ラジカル種が、リアクタへ送られる請求項49に記載のリアクタ。
JP2000604449A 1999-03-11 2000-02-01 ラジカルを利用した連続cvd Expired - Fee Related JP3798248B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/267,953 1999-03-11
US09/267,953 US6200893B1 (en) 1999-03-11 1999-03-11 Radical-assisted sequential CVD
PCT/US2000/002577 WO2000054320A1 (en) 1999-03-11 2000-02-01 Radical-assisted sequential cvd

Publications (2)

Publication Number Publication Date
JP2002539326A true JP2002539326A (ja) 2002-11-19
JP3798248B2 JP3798248B2 (ja) 2006-07-19

Family

ID=23020817

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000604449A Expired - Fee Related JP3798248B2 (ja) 1999-03-11 2000-02-01 ラジカルを利用した連続cvd

Country Status (8)

Country Link
US (6) US6200893B1 (ja)
EP (1) EP1125324B1 (ja)
JP (1) JP3798248B2 (ja)
KR (1) KR100489140B1 (ja)
AT (1) ATE323948T1 (ja)
AU (1) AU3479100A (ja)
DE (2) DE1125324T1 (ja)
WO (1) WO2000054320A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003188171A (ja) * 2001-12-19 2003-07-04 Sony Corp 薄膜形成方法
WO2005028703A1 (ja) 2003-09-17 2005-03-31 Tokyo Electron Limited 成膜装置および成膜方法
JP2005101529A (ja) * 2003-08-29 2005-04-14 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法および半導体装置
JP2006516304A (ja) * 2003-01-13 2006-06-29 アプライド マテリアルズ インコーポレイテッド 薄膜を層状堆積させるための方法及び装置
JP2006351689A (ja) * 2005-06-14 2006-12-28 Tokyo Electron Ltd シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
JP2007067302A (ja) * 2005-09-01 2007-03-15 Angstrom Technologies:Kk 薄膜作製装置及び薄膜作製方法
JP2008184688A (ja) * 2007-01-26 2008-08-14 Asm America Inc 窒化タンタル膜のプラズマald
JP2010275641A (ja) * 2000-06-08 2010-12-09 Genitech Inc 薄膜形成方法

Families Citing this family (551)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5862223A (en) 1996-07-24 1999-01-19 Walker Asset Management Limited Partnership Method and apparatus for a cryptographically-assisted commercial network system designed to facilitate and support expert-based commerce
US7829144B2 (en) * 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
JPH11195621A (ja) * 1997-11-05 1999-07-21 Tokyo Electron Ltd バリアメタル、その形成方法、ゲート電極及びその形成方法
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6958174B1 (en) * 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR100273473B1 (ko) * 1999-04-06 2000-11-15 이경수 박막 형성 방법
US8206568B2 (en) * 1999-06-22 2012-06-26 President And Fellows Of Harvard College Material deposition techniques for control of solid state aperture surface properties
FI110311B (fi) * 1999-07-20 2002-12-31 Asm Microchemistry Oy Menetelmä ja laitteisto aineiden poistamiseksi kaasuista
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
AU1208201A (en) * 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6524317B1 (en) * 1999-12-30 2003-02-25 Opus Medical, Inc. Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
FI117978B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä ja laitteisto ohutkalvon kasvattamiseksi alustalle
US7060132B2 (en) * 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
US6596343B1 (en) * 2000-04-21 2003-07-22 Applied Materials, Inc. Method and apparatus for processing semiconductor substrates with hydroxyl radicals
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100351056B1 (ko) * 2000-06-27 2002-09-05 삼성전자 주식회사 선택적 금속산화막 형성단계를 포함하는 반도체 소자의 제조방법
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
KR100396694B1 (ko) * 2000-07-27 2003-09-02 주식회사 하이닉스반도체 원자층 증착법을 이용한 박막 제조 방법
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US6585730B1 (en) * 2000-08-30 2003-07-01 Opus Medical, Inc. Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
KR100814980B1 (ko) 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
JP2002105641A (ja) * 2000-10-03 2002-04-10 Murakami Corp 複合材およびその製造方法
US6617173B1 (en) 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US6652561B1 (en) * 2000-10-13 2003-11-25 Opus Medical, Inc Method and apparatus for attaching connective tissues to bone using a perforated suture anchoring device
FI118014B (fi) * 2000-10-23 2007-05-31 Asm Int Menetelmä alumiinioksidiohutkalvojen valmistamiseksi matalissa lämpötiloissa
US7476420B2 (en) * 2000-10-23 2009-01-13 Asm International N.V. Process for producing metal oxide films at low temperatures
KR100479283B1 (ko) * 2000-11-17 2005-03-28 동경 엘렉트론 주식회사 금속 막 형성 방법 및 반도체 제조 장치
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
WO2002045871A1 (en) * 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7083638B2 (en) * 2001-02-12 2006-08-01 Arthrocare Corporation Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
US6770076B2 (en) 2001-02-12 2004-08-03 Opus Medical, Inc. Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
KR101050377B1 (ko) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US8657854B2 (en) * 2001-02-12 2014-02-25 Arthrocare Corporation Knotless suture anchoring device having deforming section to accommodate sutures of various diameters
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
DE10111938A1 (de) * 2001-03-13 2002-09-26 Merck Patent Gmbh Herstellung von Hochtemperatur-Supraleiter-Pulvern in einem Pulsationsreaktor
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7015138B2 (en) * 2001-03-27 2006-03-21 Sharp Laboratories Of America, Inc. Multi-layered barrier metal thin films for Cu interconnect by ALCVD
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6780766B2 (en) * 2001-04-06 2004-08-24 Micron Technology, Inc. Methods of forming regions of differing composition over a substrate
US6511896B2 (en) 2001-04-06 2003-01-28 Micron Technology, Inc. Method of etching a substantially amorphous TA2O5 comprising layer
US7867905B2 (en) * 2001-04-21 2011-01-11 Tegal Corporation System and method for semiconductor processing
US7442615B2 (en) * 2001-04-21 2008-10-28 Tegal Corporation Semiconductor processing system and method
US6610169B2 (en) * 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
US6627268B1 (en) * 2001-05-03 2003-09-30 Novellus Systems, Inc. Sequential ion, UV, and electron induced chemical vapor deposition
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6547800B2 (en) * 2001-06-06 2003-04-15 Opus Medical, Inc. Method and apparatus for attaching connective tissues to bone using a cortical bone anchoring device
US7037862B2 (en) * 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
KR100421219B1 (ko) * 2001-06-14 2004-03-02 삼성전자주식회사 β-디케톤 리간드를 갖는 유기 금속 착물을 이용한 원자층증착방법
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6709989B2 (en) * 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
DE10130936B4 (de) * 2001-06-27 2004-04-29 Infineon Technologies Ag Herstellungsverfahren für ein Halbleiterbauelement mittels Atomschichtabscheidung/ALD
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
JP4295614B2 (ja) 2001-07-18 2009-07-15 ザ・リージエンツ・オブ・ザ・ユニバーシテイ・オブ・コロラド 有機ポリマー表面に無機薄膜を成膜する方法
JP2005504885A (ja) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US7250375B2 (en) * 2001-08-02 2007-07-31 Tokyo Electron Limited Substrate processing method and material for electronic device
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6589887B1 (en) * 2001-10-11 2003-07-08 Novellus Systems, Inc. Forming metal-derived layers by simultaneous deposition and evaporation of metal
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
WO2003044242A2 (en) * 2001-11-16 2003-05-30 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6953730B2 (en) 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6900122B2 (en) * 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
FR2834242B1 (fr) * 2001-12-31 2004-07-02 Memscap Structure multicouche, utilisee notamment en tant que materiau de forte permittivite relative
US6767795B2 (en) * 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6855157B2 (en) * 2002-02-04 2005-02-15 Arthrocare Corporation Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6787481B2 (en) * 2002-02-28 2004-09-07 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7250083B2 (en) * 2002-03-08 2007-07-31 Sundew Technologies, Llc ALD method and apparatus
US6812100B2 (en) * 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
PT1347077E (pt) * 2002-03-15 2006-09-29 Vhf Technologies Sa Aparelho e metodo para a producao de dispositivos semicondutores flexiveis
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US20040086434A1 (en) * 2002-11-04 2004-05-06 Gadgil Pradad N. Apparatus and method for treating objects with radicals generated from plasma
AU2003233581A1 (en) * 2002-05-21 2003-12-12 Aviza Technology, Inc Method of depositing an oxide film by chemical vapor deposition
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7135421B2 (en) * 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
TWI278532B (en) * 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
JP2005533178A (ja) 2002-07-12 2005-11-04 ザ プレジデント アンド フェロウズ オブ ハーバード カレッジ 窒化タングステンの蒸着
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6858085B1 (en) 2002-08-06 2005-02-22 Tegal Corporation Two-compartment chamber for sequential processing
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US6921555B2 (en) * 2002-08-06 2005-07-26 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6884739B2 (en) 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6790791B2 (en) * 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US6835671B2 (en) * 2002-08-16 2004-12-28 Freescale Semiconductor, Inc. Method of making an integrated circuit using an EUV mask formed by atomic layer deposition
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6808983B2 (en) * 2002-08-27 2004-10-26 Micron Technology, Inc. Silicon nanocrystal capacitor and process for forming same
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US8617312B2 (en) * 2002-08-28 2013-12-31 Micron Technology, Inc. Systems and methods for forming layers that contain niobium and/or tantalum
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US7084078B2 (en) * 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7144806B1 (en) * 2002-10-23 2006-12-05 Novellus Systems, Inc. ALD of tantalum using a hydride reducing agent
US7022605B2 (en) 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
US7097782B2 (en) * 2002-11-12 2006-08-29 Micron Technology, Inc. Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly
US7090690B2 (en) * 2002-11-19 2006-08-15 Arthrocare Corporation Devices and methods for repairing soft tissue
US20040099889A1 (en) * 2002-11-27 2004-05-27 Agere Systems, Inc. Process for fabricating a semiconductor device having an insulating layer formed over a semiconductor substrate
US6893978B1 (en) * 2002-12-03 2005-05-17 Silicon Magnetic Systems Method for oxidizing a metal layer
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7092287B2 (en) * 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
WO2004061154A1 (ja) * 2002-12-27 2004-07-22 Ulvac Inc. 窒化タングステン膜の成膜方法
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US6955986B2 (en) * 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
US7135369B2 (en) * 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US6703296B1 (en) * 2003-04-17 2004-03-09 Macronix International Co. Ltd. Method for forming metal salicide
US7183186B2 (en) * 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US7399357B2 (en) * 2003-05-08 2008-07-15 Arthur Sherman Atomic layer deposition using multilayers
US6930060B2 (en) * 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US7049192B2 (en) * 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
EP1645657A4 (en) * 2003-07-16 2008-10-08 Konica Minolta Holdings Inc THIN FILM FORMING METHOD AND BASE WITH THIN FILM FORMED ACCORDING TO SAID METHOD
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
KR20060054387A (ko) 2003-08-04 2006-05-22 에이에스엠 아메리카, 인코포레이티드 증착 전 게르마늄 표면 처리 방법
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050069641A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method for depositing metal layers using sequential flow deposition
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7306956B2 (en) * 2003-09-30 2007-12-11 Intel Corporation Variable temperature and dose atomic layer deposition
US7699997B2 (en) * 2003-10-03 2010-04-20 Kobe Steel, Ltd. Method of reclaiming silicon wafers
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7682374B2 (en) * 2003-10-21 2010-03-23 Arthrocare Corporation Knotless suture lock and bone anchor implant method
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
DE10357756B4 (de) * 2003-12-10 2006-03-09 Infineon Technologies Ag Verfahren zur Herstellung von Metall-Oxynitriden durch ALD-Prozesse unter Verwendung von NO und/oder N2O
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
JP4601975B2 (ja) * 2004-03-01 2010-12-22 東京エレクトロン株式会社 成膜方法
US7087497B2 (en) * 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US20050227003A1 (en) * 2004-04-08 2005-10-13 Carlson Chris M Methods of forming material over substrates
US7700155B1 (en) * 2004-04-08 2010-04-20 Novellus Systems, Inc. Method and apparatus for modulation of precursor exposure during a pulsed deposition process
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US6987063B2 (en) * 2004-06-10 2006-01-17 Freescale Semiconductor, Inc. Method to reduce impurity elements during semiconductor film deposition
KR100589062B1 (ko) * 2004-06-10 2006-06-12 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
US20050276922A1 (en) * 2004-06-10 2005-12-15 Henry Bernhardt Method of forming thin dielectric layers
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
DE102004040797A1 (de) * 2004-08-23 2005-10-20 Infineon Technologies Ag Verfahren zum Abscheiden von Schichten auf einem zu beschichtenden Substrat und Schichtsystem
US20060045968A1 (en) * 2004-08-25 2006-03-02 Metz Matthew V Atomic layer deposition of high quality high-k transition metal and rare earth oxides
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US8158488B2 (en) * 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7253084B2 (en) 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7674726B2 (en) * 2004-10-15 2010-03-09 Asm International N.V. Parts for deposition reactors
US7427571B2 (en) * 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
DE102004061094A1 (de) * 2004-12-18 2006-06-22 Aixtron Ag Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen sowie dazu geeignete Ausgangsstoffe
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7438760B2 (en) 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7399666B2 (en) * 2005-02-15 2008-07-15 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
TW200634982A (en) 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
US7365027B2 (en) * 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
JP3984638B2 (ja) * 2005-03-30 2007-10-03 松下電器産業株式会社 伝送線路対及び伝送線路群
ATE529734T1 (de) * 2005-04-06 2011-11-15 Harvard College Molekulare charakterisierung mit kohlenstoff- nanoröhrchen-steuerung
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
KR101283835B1 (ko) * 2005-06-29 2013-07-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3원 막의 증착 방법
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
DE102006038885B4 (de) * 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Verfahren zum Abscheiden einer Ge-Sb-Te-Dünnschicht
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7393736B2 (en) * 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
TW200737307A (en) * 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US20070175905A1 (en) * 2005-11-28 2007-08-02 Matheson Tri-Gas, Inc. Gas storage container linings formed with chemical vapor deposition
US7718518B2 (en) * 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
KR100668745B1 (ko) * 2005-12-29 2007-01-29 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 형성 방법
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7615061B2 (en) * 2006-02-28 2009-11-10 Arthrocare Corporation Bone anchor suture-loading system, method and apparatus
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7537804B2 (en) 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
DE102006027932A1 (de) * 2006-06-14 2007-12-20 Aixtron Ag Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
CN103981568A (zh) * 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
JP5175285B2 (ja) * 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド エピタキシャル層形成中の形態制御方法
US8133258B2 (en) * 2006-08-03 2012-03-13 Arthrocare Corporation Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
US20080038486A1 (en) * 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US7871678B1 (en) 2006-09-12 2011-01-18 Novellus Systems, Inc. Method of increasing the reactivity of a precursor in a cyclic deposition process
TWI435376B (zh) * 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
JP2010506408A (ja) 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20080182021A1 (en) * 2007-01-31 2008-07-31 Simka Harsono S Continuous ultra-thin copper film formed using a low thermal budget
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
CN101680087A (zh) * 2007-03-06 2010-03-24 瓦里安半导体设备公司 原子层沉积技术
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US8236379B2 (en) * 2007-04-02 2012-08-07 Applied Microstructures, Inc. Articles with super-hydrophobic and-or super-hydrophilic surfaces and method of formation
US20080268642A1 (en) * 2007-04-20 2008-10-30 Kazutaka Yanagita Deposition of transition metal carbide containing films
US8137381B2 (en) 2007-04-25 2012-03-20 Arthrocare Corporation Knotless suture anchor having discrete polymer components and related methods
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US7851307B2 (en) * 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
US7963972B2 (en) * 2007-09-12 2011-06-21 Arthrocare Corporation Implant and delivery system for soft tissue repair
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US8383439B2 (en) * 2007-10-25 2013-02-26 Showa Denko K.K. Apparatus for manufacturing group-III nitride semiconductor layer, method of manufacturing group-III nitride semiconductor layer, group-III nitride semiconductor light-emitting device, method of manufacturing group-III nitride semiconductor light-emitting device, and lamp
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
KR101410930B1 (ko) * 2008-01-17 2014-06-23 삼성전자주식회사 탄소나노튜브 상의 금속 산화막 형성방법 및 이를 이용한탄소나노튜브 트랜지스터 제조방법
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP5551681B2 (ja) * 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
KR101436564B1 (ko) * 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
EP2159304A1 (en) * 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
KR20110100618A (ko) * 2008-12-05 2011-09-14 로터스 어플라이드 테크놀로지, 엘엘씨 향상된 장벽 층 특성을 갖는 얇은 막의 고속 증착
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US8871628B2 (en) 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
WO2010095901A2 (en) * 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8183132B2 (en) 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US8491720B2 (en) * 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
WO2010124261A2 (en) * 2009-04-24 2010-10-28 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group iii depositions
US8110889B2 (en) * 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
US20100279020A1 (en) * 2009-04-29 2010-11-04 Applied Materials, Inc. METHOD OF FORMING IN-SITU PRE-GaN DEPOSITION LAYER IN HVPE
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
JP5307072B2 (ja) * 2009-06-17 2013-10-02 東京エレクトロン株式会社 金属酸化物膜の形成方法及び成膜装置
US8026157B2 (en) * 2009-09-02 2011-09-27 Applied Materials, Inc. Gas mixing method realized by back diffusion in a PECVD system with showerhead
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
JP5482196B2 (ja) * 2009-12-25 2014-04-23 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
EP2360293A1 (en) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20120021252A1 (en) * 2010-07-22 2012-01-26 Synos Technology, Inc. Treating Surface of Substrate Using Inert Gas Plasma in Atomic Layer Deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
KR101881181B1 (ko) 2010-11-04 2018-08-16 노벨러스 시스템즈, 인코포레이티드 탄탈륨의 이온 유도 원자층 증착
US9105379B2 (en) 2011-01-21 2015-08-11 Uchicago Argonne, Llc Tunable resistance coatings
US8921799B2 (en) 2011-01-21 2014-12-30 Uchicago Argonne, Llc Tunable resistance coatings
US8969823B2 (en) * 2011-01-21 2015-03-03 Uchicago Argonne, Llc Microchannel plate detector and methods for their fabrication
US8143147B1 (en) 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8642473B2 (en) 2011-03-04 2014-02-04 Applied Materials, Inc. Methods for contact clean
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9636101B2 (en) 2011-09-01 2017-05-02 Arthrocare Corporation Bone anchor having an integrated stress isolator
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9198649B2 (en) 2012-01-27 2015-12-01 Arthrocare Corporation Rotating locking member suture anchor and method for soft tissue repair
US9023083B2 (en) 2012-01-27 2015-05-05 Arthrocare Corporation Method for soft tissue repair with free floating suture locking member
US9226742B2 (en) 2012-01-27 2016-01-05 Arthrocare Corporation Restricted wedge suture anchor and method for soft tissue repair
US9364210B2 (en) 2012-01-27 2016-06-14 Arthrocare Corporation Biased wedge suture anchor and method for soft tissue repair
US9034014B2 (en) 2012-01-27 2015-05-19 Arthrocare Corporation Free floating wedge suture anchor for soft tissue repair
US9029264B2 (en) * 2012-03-14 2015-05-12 Applied Materials, Inc. Methods for depositing a tin-containing layer on a substrate
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
WO2013147856A1 (en) * 2012-03-30 2013-10-03 Intel Corporation Process and material for preventing deleterious expansion of high aspect ratio copper filled through silicon vias (tsvs)
US9855028B2 (en) 2012-04-06 2018-01-02 Arthrocare Corporation Multi-suture knotless anchor for attaching tissue to bone and related method
US9430102B2 (en) 2012-07-05 2016-08-30 Apple Touch interface using patterned bulk amorphous alloy
DE102012017894A1 (de) * 2012-09-11 2014-03-13 Hochschule Für Angewandte Wissenschaft Und Kunst Hildesheim/Holzminden/Göttingen Verfahren zum Aufbringen einer Oxidschicht auf eine Oberfläche
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US11326255B2 (en) 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9552979B2 (en) * 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
WO2015047731A1 (en) 2013-09-27 2015-04-02 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
FR3016889B1 (fr) * 2014-01-24 2016-01-22 Commissariat Energie Atomique Procede de reaslisation par ald d'une couche mince de formule myx
US11286557B2 (en) 2014-01-24 2022-03-29 Commissariat A L'energie Atomique Et Aux Engergies Alternatives Method of forming a crystalline thin film having the formula MY2 using an ALD-formed amorphous thin film having the formula MYx as a precursor
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
KR102195139B1 (ko) * 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
DE102014205536A1 (de) 2014-03-25 2015-10-01 Robert Bosch Gmbh Mikromechanisches Bauelement mit Antihaftschicht und entsprechendes Herstellungsverfahren
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
DE102014108352A1 (de) 2014-06-13 2015-12-17 Forschungszentrum Jülich GmbH Verfahren zum Abscheiden einer Kristallschicht bei niedrigen Temperaturen, insbesondere einer photolumineszierenden IV-IV-Schicht auf einem IV-Substrat, sowie ein eine derartige Schicht aufweisendes optoelektronisches Bauelement
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US9318319B2 (en) 2014-08-27 2016-04-19 Ultratech, Inc. Radical-enhanced atomic layer deposition using CF4 to enhance oxygen radical generation
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
CN107533951B (zh) * 2015-05-01 2021-10-26 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102149907B1 (ko) 2016-03-03 2020-08-31 어플라이드 머티어리얼스, 인코포레이티드 주기적 공기-물 노출에 의한 개선된 자기-조립 단분자층 차단
WO2017161236A1 (en) 2016-03-17 2017-09-21 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
JP2019515493A (ja) 2016-04-25 2019-06-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己組織化単分子層処理のための化学物質供給チャンバ
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
EP3707746B1 (en) 2017-11-11 2023-12-27 Micromaterials LLC Gas delivery system for high pressure processing chamber
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11038153B2 (en) * 2019-01-15 2021-06-15 Applied Materials, Inc. Methods for HMDSO thermal stability
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
KR102359908B1 (ko) * 2019-10-08 2022-02-09 주식회사 유진테크 박막 증착장치 및 박막 증착방법
US11111578B1 (en) 2020-02-13 2021-09-07 Uchicago Argonne, Llc Atomic layer deposition of fluoride thin films
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11101128B1 (en) * 2020-03-12 2021-08-24 Applied Materials, Inc. Methods for gapfill in substrates
US11901169B2 (en) 2022-02-14 2024-02-13 Uchicago Argonne, Llc Barrier coatings

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5585167A (en) * 1992-05-18 1996-12-17 Matsushita Electric Industrial Co., Ltd. Thin-film conductor and method of fabricating the same
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
EP0861338A1 (fr) * 1995-11-13 1998-09-02 IST Instant Surface Technology S.A. Procede de traitement de surface et dispositif de mise en oeuvre du procede
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US5851849A (en) * 1997-05-22 1998-12-22 Lucent Technologies Inc. Process for passivating semiconductor laser structures with severe steps in surface topography
KR100274603B1 (ko) * 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
KR100252049B1 (ko) * 1997-11-18 2000-04-15 윤종용 원자층 증착법에 의한 알루미늄층의 제조방법
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6077775A (en) * 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010275641A (ja) * 2000-06-08 2010-12-09 Genitech Inc 薄膜形成方法
JP2003188171A (ja) * 2001-12-19 2003-07-04 Sony Corp 薄膜形成方法
JP2006516304A (ja) * 2003-01-13 2006-06-29 アプライド マテリアルズ インコーポレイテッド 薄膜を層状堆積させるための方法及び装置
JP2005101529A (ja) * 2003-08-29 2005-04-14 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法および半導体装置
WO2005028703A1 (ja) 2003-09-17 2005-03-31 Tokyo Electron Limited 成膜装置および成膜方法
JP2006351689A (ja) * 2005-06-14 2006-12-28 Tokyo Electron Ltd シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
JP2007067302A (ja) * 2005-09-01 2007-03-15 Angstrom Technologies:Kk 薄膜作製装置及び薄膜作製方法
JP2008184688A (ja) * 2007-01-26 2008-08-14 Asm America Inc 窒化タンタル膜のプラズマald

Also Published As

Publication number Publication date
US20010002280A1 (en) 2001-05-31
KR100489140B1 (ko) 2005-05-17
US6638862B2 (en) 2003-10-28
DE1125324T1 (de) 2002-04-18
AU3479100A (en) 2000-09-28
WO2000054320A1 (en) 2000-09-14
EP1125324B1 (en) 2006-04-19
ATE323948T1 (de) 2006-05-15
US6602784B2 (en) 2003-08-05
DE60027401T2 (de) 2006-12-07
US6451695B2 (en) 2002-09-17
DE60027401D1 (de) 2006-05-24
US20020197864A1 (en) 2002-12-26
US6475910B1 (en) 2002-11-05
US20020192954A1 (en) 2002-12-19
US6630401B2 (en) 2003-10-07
EP1125324A1 (en) 2001-08-22
US20020192955A1 (en) 2002-12-19
EP1125324A4 (en) 2004-10-27
US6200893B1 (en) 2001-03-13
JP3798248B2 (ja) 2006-07-19
KR20020010580A (ko) 2002-02-04

Similar Documents

Publication Publication Date Title
JP3798248B2 (ja) ラジカルを利用した連続cvd
US6451119B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP7224335B2 (ja) モリブデンを含有する低抵抗膜
US7456101B1 (en) Method for enhancing the nucleation and morphology of ruthenium films on dielectric substrates using amine containing compounds
JP5086912B2 (ja) パルスcvdとaldの併用による薄膜の堆積方法
WO2013070702A1 (en) Deposition of metal films using alane-based precursors
US9005704B2 (en) Methods for depositing films comprising cobalt and cobalt nitrides
TWI577824B (zh) 使用二氮丁二烯基前驅物沉積含錳膜之方法
TWI559381B (zh) 金屬合金薄膜的原子層沉積
TW202246560A (zh) 於基材表面上形成氮化硼之方法及系統
KR20030058271A (ko) 플라즈마를 이용한 원자층 증착방법
TW202214897A (zh) 用於形成包括過渡金屬層之結構的方法及系統
JP2024502503A (ja) 3d-nand用の酸化物表面上へのモリブデン膜の堆積

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050308

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050531

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050609

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050908

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060207

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060411

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060419

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 3798248

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100428

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110428

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120428

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120428

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130428

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130428

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees