JP2005533178A - 窒化タングステンの蒸着 - Google Patents

窒化タングステンの蒸着 Download PDF

Info

Publication number
JP2005533178A
JP2005533178A JP2004521556A JP2004521556A JP2005533178A JP 2005533178 A JP2005533178 A JP 2005533178A JP 2004521556 A JP2004521556 A JP 2004521556A JP 2004521556 A JP2004521556 A JP 2004521556A JP 2005533178 A JP2005533178 A JP 2005533178A
Authority
JP
Japan
Prior art keywords
substrate
tungsten
vapor
group
bis
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004521556A
Other languages
English (en)
Other versions
JP2005533178A5 (ja
Inventor
ジー. ゴードン,ロイ
スー,セイジ
ベッカー,ジル
Original Assignee
ザ プレジデント アンド フェロウズ オブ ハーバード カレッジ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ザ プレジデント アンド フェロウズ オブ ハーバード カレッジ filed Critical ザ プレジデント アンド フェロウズ オブ ハーバード カレッジ
Publication of JP2005533178A publication Critical patent/JP2005533178A/ja
Publication of JP2005533178A5 publication Critical patent/JP2005533178A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • C07F11/005Compounds containing elements of Groups 6 or 16 of the Periodic Table compounds without a metal-carbon linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Optical Elements Other Than Lenses (AREA)

Abstract

ビス(アルキルイミド)ビス(ジアルキルアミド)タングステンの蒸気とルイス塩基又は水素プラズマを反応させることにより、加熱基材上に窒化タングステン膜を堆積した。例えば、ビス(tert−ブチルイミド)ビス(ジメチルアミド)タングステンの蒸気とアンモニアガスを300℃に加熱した表面に交互に投与し、非常に均一な厚さと、アスペクト比が少なくとも40:1に及ぶホールにおいて優れた段差被覆性とを有する窒化タングステンのコーティングを生成した。膜は金属質でかつ優れた電気導体である。超小型電子技術における好適な用途としては、銅の拡散に対するバリヤー、キャパシタ用電極などがある。同様のプロセスにより窒化モリブデンが堆積され、それはX線ミラーにおけるシリコンとの交互層に好適である。

Description

本発明は、固体基材上に薄膜を堆積させるための材料及び方法に関する。特に、本発明は、固体基材上にタングステン含有薄膜を堆積させるための材料及び方法に関する。本発明はまた、超小型電子技術分野におけるデバイス製作のための、導電性のコンフォーマルな堆積膜を作製する方法及び材料に関する。
窒化タングステン、WNは、超小型電子回路における銅の拡散に対して優れたバリヤーであると考えられている。WNはまた、薄膜キャパシタ及び電界効果トランジスタのための電極に使用することもできる。WNは反応性スパッタリングにより作製されているが、細い特徴内の膜厚の均一性(「段差被覆性」)は、高アスペクト比の細い特徴を有する将来の超小型電子デバイスにおいて使用するのに十分であるとは期待されない。
(原子層エピタキシーとしても知られる)原子層堆積は、2つの蒸気前駆体から固体材料の薄層を堆積させる方法である。膜が堆積される基材表面に、一方の前駆体から蒸気を投与する。次いで、その前駆体からの余分な未反応蒸気をすべて吸い出す。次に、第2の前駆体の蒸気をこの表面に投与して反応させる。この工程サイクルを繰り返して、より厚い膜を発達させることができる。典型的には、それぞれの前駆体が堆積膜に原子の一部を与える。この方法の1つの特に重要な態様は、ある一定の最大厚さのみをそれぞれのサイクルで形成することができ、たとえ過剰な反応体が利用可能であるとしても、そのサイクルの間、更なる堆積が全く起こらないという点で、ALD反応が自己制限的であるということである。この自己制限的な特徴のため、ALD反応により非常に均一な厚さのコーティングが生成される。ALD膜の厚さの均一性は、平坦な基材表面だけでなく、非常に細いホール及びトレンチにも及ぶ。コンフォーマルな膜を作製するALDのこの能力は、「優れた段差被覆性」と呼ばれる。
WFとNHからALDによって作製されたWNコーティングは、良好な段差被覆性を有する。この方法の不利な点は、WF及び/又はその反応副生成物であるHFが、Si又はSiOで作製された基材を攻撃する場合があるということである。さらにこの反応によって、半導体製品において欠陥の原因となる場合がある副生成物フッ化アンモニウムの不要な粒子が生成することもある。さらにこの方法によって、表面への銅の付着を妨げる可能性のあるフッ素残留物をWN表面に残す場合がある。特に、CVDにより堆積したCuの付着は、窒化タングステンと銅の間の界面におけるフッ素汚染のため、部分的に欠陥があると考えられることがしばしばである。付着を損なうことで、製造収量の相当な損失や半導体デバイスの操作の際に信頼性の問題が生じる場合がある。
窒化モリブデン層をシリコンの交互層とともに使用してX線用のミラーを作製することができる。ALDは、X線ミラーにおいて必要とされる非常に均一な厚さでMoN層とシリコン層を堆積させるための理想的な方法であろう。
本発明は、固体基材上にコンフォーマルコーティング、特にはタングステンを含有するコンフォーマルコーティングを堆積させるための非常に効率的な方法を提供する。このコーティングは、タングステンと窒素を含み、任意選択で、酸素、ケイ素、炭素及び/又は水素、並びに比較的少量の他の元素を含有することができる。本明細書で用いられる場合、本発明のコーティングは「窒化タングステン」と称される。極めて均一な厚さの窒化タングステン層及び/又は極めて滑らかな表面の窒化タングステンコーティングが得られる。
本発明の1つの態様は、1つ又は複数のサイクルを含む逐次的な方法によって基材表面に薄膜を堆積させる方法であって、少なくとも1つのサイクルが、
(a)該薄膜の少なくとも2つの元素を含有する第1材料の蒸気に該基材をさらして、該第1材料の蒸気の少なくとも一部を自己制限的な方法によって該基材表面に吸着させ;
(b)該基材の周辺から該第1材料の非吸着蒸気を除去し;
(c)該基材表面を活性化させる第2材料の蒸気に該基材をさらして、該表面が該第1材料の追加量と反応できるようにし;
(d)該基材の周辺から該第2材料の残留蒸気を除去すること;
を含む方法である。
本発明の1つの態様においては、ビス(アルキルイミド)ビス(ジアルキルアミド)タングステン(VI)の蒸気が、基材の加熱表面上でアンモニア又はピリジンなどのルイス塩基と反応して、窒化タングステンのコーティングが形成される。他の実施態様においては、窒化タングステン前駆体蒸気が活性化プラズマにさらされる。
少なくとも幾つかの実施態様においては、タングステン化合物は一般式1を有し、式中、Rは、アルキル基、フルオロアルキル基、又は好ましくは化合物の揮発性を高めるよう選択された他の原子若しくは基で置換されたアルキル基を表し、RはR〜Rのいずれか1つである。Rは互いに同じであるか又は異なってもよい。
Figure 2005533178
式1に対応する1つ又は複数の実施態様においては、アルキル基R及びRは、イミド窒素に結合した第三炭素を有する。1つ又は複数の実施態様においては、この化合物は一般構造2を有する。
Figure 2005533178
この構造は、第三炭素を有するアルキル基についての容易なβ−水素脱離反応によって、低炭素含有量の膜の堆積を促進させると考えられる。
少なくとも幾つかの実施態様においては、メチル基が上に与えられる一般式2の全てのRに関して選択されて、式3の化合物、ビス(tert−ブチルイミド)ビス(ジメチルアミド)タングステン(VI)が得られる。
Figure 2005533178
本発明の1つ又は複数の実施態様においては、化合物は、式2においてR、R、R、R、R、R、R及びR10がメチル基、並びにR及びRがエチル基となるよう選択することで得られ、式4のビス(エチルメチルアミド)ビス(tert−ブチルイミド)タングステン(VI)が得られる。
Figure 2005533178
本発明の別の化合物は、式1においてR、R、R及びRがメチル基、並びにR及びRがイソプロピル基となるよう選択することで得られ、式5のビス(ジメチルアミド)ビス(イソプロピルイミド)タングステン(VI)が得られる。
Figure 2005533178
先の化合物においては、さらに、2つ以上のアルキル基が結合して環状化合物を形成することができ、これらの基が或る程度の不飽和、例えば、アリール基、アルケニル基又はアルキニル基を含むことができると解される。
本発明の1つ又は複数の実施態様においては、窒化タングステン膜が堆積される。この膜は、堆積窒化タングステン膜とそれが堆積された基材との間に優れた付着を生成する条件下で堆積される。
1つ又は複数の実施態様においては、高付着性の膜が本発明の窒化タングステン膜の上部に堆積される。特には、付着性の銅層を窒化タングステン層上に堆積することができる。
1つ又は複数の実施態様においては、非常に均一な窒化タングステン膜の蒸着は、反応器内の反応体濃度及び基材位置のような或る範囲の条件に関して達成される。本発明の1つ又は複数の実施態様においては、基材は比較的低温、例えば、約200℃〜400℃でコーティングされる。
本発明の方法はまた、基材上の窒化タングステンのコンフォーマルコーティングに細いホール、トレンチ又は他の構造を与える。この能力は「良好な段差被覆性」として一般に公知である。ピンホール又は他の機械的欠陥が実質的にない窒化タングステンコーティングを調製することもできる。コーティングは、粉末若しくはワイヤー上に又は複雑な機械的構造の周り及びその内部に置くこともできる。コーティングは電気キャパシタに使用できるか、又は超小型電子デバイスの金属拡散に対するバリヤーとして使用できる。
タングステン源は室温で耐腐食性の液体であり、原子層堆積のためのプロセスは、構造をエッチングすることなく又はそれに損傷を与えることなく進められる(なぜなら、主としてHFが堆積プロセスの副生成物でないからである)。したがって、均一な窒化タングステン膜が、膜中にフッ素不純物なしで及びこのプロセスからの前駆体又は流出液中に毒性のフッ素成分なしで堆積される。
1つ又は複数の実施態様においては、銅の拡散に対する優れたバリヤーである導電膜が設けられる。本発明は、超小型電子デバイスの銅拡散に対するバリヤーとして使用するための、ハードコーティングとして有用な機械的性質を有するか、又は拡散、酸化若しくは腐食に対する保護として有用な導電性窒化タングステンの堆積方法を含む。
窒化モリブデン、MoNは、タングステンの代わりにモリブデンを有する類似の化合物を使用することによって堆積することができる。
本発明の先の及び様々な他の態様、特徴及び利点、並びに本発明それ自体は、以下の図面に関して考えた場合に、以下の本発明の詳細な説明を参照してより十分に理解することができる。この図面は例示のためにのみ与えられ、本発明を限定しようとするものではない。
1.窒化タングステンのALDプロセスの概要
本発明は、タングステンと窒素を含む材料を調製するための方法を提供し、該材料は、たとえ炭素、酸素又は水素などのより少量の他の元素を含有するとしても「窒化タングステン」と称される。通常の化学気相成長(CVD)法においては、タングステン前駆体の蒸気が、ルイス塩基、例えば、アンモニアと基材表面で反応する。窒化タングステンは、加熱基材上に膜として形成することができる。交互層堆積(ALD)プロセスにおいては、基材は、タングステン前駆体の蒸気、次いでアンモニア又はピリジンなどの別のルイス塩基に交互にさらされる。プラズマアシストALDプロセスにおいては、基材は、タングステン前駆体の蒸気、次いで水素含有プラズマに交互にさらされる。以下により詳細に説明されるように、ALD法は非常にコンフォーマルな膜を与え、広範囲な反応条件及び反応体の反応性において使用するのに好適である。
2.タングステン前駆体の合成
例示的なタングステン前駆体、ビス(tert−ブチルイミド)ビス(ジメチルアミド)タングステン(VI)、(t−BuN)(MeN)Wは、以下の反応順序に従って合成することができる。
WCl6 + 4HN(t-Bu)SiMe3 → (t-BuN)2WCl2NH2(t-Bu) + 3Me3SiCl + (t-Bu)(Me3Si)NH2Cl
(1)
(t-BuN)2WCl2NH2(t-Bu) + 2pyr → (t-BuN)2WCl2(pyr)2 + (t-Bu)NH2
(2)
(t-BuN)2WCl2(pyr)2 + 2LiNMe2 → (t-BuN)2(Me2N)2W + 2LiCl + 2pyr
(3)
これらの式において、「t−Bu」とは第三ブチルを表し、「pyr」とはピリジンを表す。
当業者であれば理解できるように、他の類似のタングステン前駆体は、ブチルトリメチルシリルアミンを他のアミンに置き換えること、及びリチウムジメチルアミドを他のリチウムアルキルアミドに置き換えることによる類似の反応によって調製することができる。タングステン前駆体は大気中の水分と一般に反応するため、純窒素ガスなどの不活性な乾燥雰囲気のもとで貯蔵されるべきである。
3.ALDプロセスの詳細な説明
本発明の1つ又は複数の実施態様による方法は、原子層堆積(ALD)装置を用いて実施することができる。第1及び第2反応体蒸気が堆積チャンバーに交互に投与され、制御された組成及び厚さの層が基材上に形成される。この装置によって、第1反応体蒸気の測定量がその中にコーティングされるべき基材を有する堆積チャンバーに導入される。第1反応体の薄層が基材上に堆積される。所定の時間後、次いで、第2反応体蒸気の測定量を堆積チャンバーに導入し、第1反応体によって既に堆積された層と相互に作用させる。この時間は数秒程度でよく、導入されたばかりの成分が基材上で反応するのに十分でかつ基材上のヘッドスペースから余分な蒸気をすべて除去するのに十分な時間を与えるよう選択される。表面の反応は自己制限的であるので、予測可能な組成の再現可能な層が堆積することが測定された。当業者であれば理解できるように、3つ以上の反応体成分を利用した堆積方法も本発明の範囲内である。
本発明の1つの実施態様においては、ガスクロマトグラフに試料を注入するのに通常用いられる6ポートのサンプリングバルブ(Valcoモデル EP4C6WEPH、テキサス州、ヒューストンのバルコ・インスツルメンツ)を使用して、反応体ガス、液又は溶液のパルスを好適なキャリヤーガスに供給することができる。バルブが開くたびに、反応体の規定体積が、液体又は溶液を蒸発させる加熱チューブに流入する。キャリヤーガスによって、反応体のガス又は蒸気がチューブから基材を収容するゾーンへ移動する。
別の実施態様においては、図1に示すような装置を用いてALDによって層が堆積される。液体又は固体の前駆体20が容器10の中に置かれ、炉40によって温度Tに加熱される。その温度で、この前駆体はチャンバー圧力よりも低くなるよう選択された平衡蒸気圧Peqを有する。タングステン前駆体蒸気30の測定投与量が、3つの空気作動ダイアフラムバルブ、3、50及び70(カリフォルニア州、リッチモンドのパーカー・ハネフィン製、Titan IIモデル)を使用して加熱堆積チャンバー110に導入される。まず、チャンバー5が、圧力コントローラ(図示せず)からチューブ1とバルブ3を介して送られるキャリヤーガスによって加圧される。次いで、バルブ3を閉じ、バルブ50を開けて、キャリヤーガスにより前駆体貯蔵器10が圧力Ptotに加圧されるようにする。この圧力はチャンバー圧力Pdepよりも高くなるよう選択される。次いでバルブ50を閉じる。
そのとき、貯蔵器10における蒸気スペース30中の前駆体蒸気のモル分率はPeq/Ptotになる。次いで、バルブ70を開けて、前駆体蒸気の投与量とキャリヤーガスを反応ゾーンに流入させるようにする。この投与において送られるモル数は、以下の式により算定することができる。
n=(Peq/Ptot)(Ptot−Pdep)(V/RT
式中、Vはチャンバー10における蒸気スペース30の体積である。バルブ70を開けている間、体積30にチューブ95から幾らかのキャリヤーガスを入れる場合には、これよりも幾分多い投与量を送ることができる。体積Vを十分大きくすることによって、表面反応を完了(「飽和」とも呼ばれる)させるほど前駆体の投与量を十分大きくすることができる。蒸気圧Peqが非常に低いため、必要とされる体積Vが実行不可能に大きくなる場合には、他の反応体の投与を供給する前に、体積Vから追加の投与量を供給することができる。
キャリヤーガス(例えば、窒素又は水素ガス)は、堆積チャンバーへの反応体の流入及び反応副生成物と未反応の反応体蒸気のパージを速めるために、制御された速度で入口90及び95に流れる。炉120によって加熱され、1つ又は複数の基材130を収容する堆積チャンバー110に入ったときに、キャリヤーガス中の前駆体蒸気の濃度がより均一であるようにするため、スタティックミキサーを反応器に通ずるチューブ100中に配置することができる。反応副生成物と未反応の反応体蒸気は、真空ポンプ150へ通す前にトラップ140によって除去することができる。キャリヤーガスは排気160から出る。
ガス状反応体、例えば、アンモニア又は水素は、供給源タンク並びに圧力調整器及び/又は流量調節計(図示せず)からチューブ180へ導入される。ガスは、3方バルブ170を通って混合ゾーン100、次いで、加熱ゾーン120におけるチャンバー110内の基材130上へ流れる。十分な投与量が供給されると、キャリヤーガスをマスフローコントローラ90から堆積チャンバー110へ流し、余分な反応体蒸気をすべて一掃するように、3方バルブ170の向きを他の位置に変える。投与量の大きさは、3方バルブ170が供給位置で保持される時間の長さによって調節される。
等温堆積ゾーン110において、材料は一般に、基材及び内部のチャンバー壁を含め、前駆体蒸気にさらされる全ての表面上に堆積される。したがって、使用した前駆体の投与量を基材と露出したチャンバー壁の合計面積で除したモル数に換算して報告することが適当である。幾つかの場合、堆積は基材の背面の一部又は全てに関しても生じ、その場合には、その面積も合計面積に含むべきである。
本発明は、例示のためにのみ与えられ、本発明を限定するものではない以下の例を参照して理解することができ、本発明の完全な範囲は、特許請求の範囲において記載される。
[例1]
[ビス(tert−ブチルイミド)ビス(ジメチルアミド)タングステン(VI)、(t−BuN)(MeN)Wの合成]
1)トルエン(300mL)中、WCl(30.0g、75.6mmol)の紫色懸濁液に、トルエン(65mL)中、HN(t−Bu)SiMe(50g、344mmol)の溶液を2時間かけて滴下した。この懸濁液を合計24時間撹拌した。濃緑色の懸濁液をセライトに通して濾過し、固体の(t−Bu)(MeSi)NHClと全ての未反応WClを除去した。暗褐色の濾液を温水浴において真空下で乾燥した。幾つかの不純物を溶解させるため、得られた暗褐色の固体にヘキサン50mLを添加して撹拌した。褐色の懸濁液を一晩フリーザーで冷却し、次いで、不純物を含有する暗褐色の上澄み溶液を別の容器に移した。[(t−BuN)WClNH(t−Bu)]に関するNMR、H NMR(CDCl):δ4.3(br,4,HNMe),1.45(s,18,μ−NCMe),1.40(s,18,NCMe),1.33(s,18,HNCMe)(この第1反応に関する参考文献:A.J.Nielson,Polyhedron,第6巻,1657頁,1987)。
2)第1工程からの固体生成物をエーテル200mL中に懸濁させた。この黄色がかった褐色の懸濁液に過剰なピリジン(30mL、371mmol)を添加した。懸濁液は直ちに黒色に変化した。懸濁液を30分間撹拌し、次いで真空下に置いてエーテル、tert−ブチルアミン、及び過剰なピリジンを除去し、黒色の固体が残った。(t−BuN)WCl(pyr)に関するNMR、H NMR(CDCl):δ8.93(m,4,o−py)7.62(m,2,p−py),7.42(m,4,m−py)1.40(s,18,NCMe)(この第2反応に関する参考文献:J.Sundermeyer,Chem.Ber.,第124巻,1977頁,1991)。
3)この固体にエーテル300mLを添加し、次いで、LiNMe(12.0g、235.2mmol)を非常にゆっくりとこの懸濁液に添加した(注:この反応は非常に活発でかつ発熱性である)。リチウムジメチルアミドの添加は、固体添加ファンネルから還流塔を介して実施することができる。得られた褐色の懸濁液を一晩撹拌し、次いで、真空下で乾燥してエーテルとピリジンを除去した。粘着性の黒色残留物をヘキサン部分とともに抽出し(合計300mL)、セライト上で濾過してLiClと過剰なLiNMeを除去した。黒色の濾液を真空下で乾燥し、次いで、黒色の残留物を減圧下(沸点が23mTorrで77〜78℃)で2倍に希釈し、淡黄色の液体として生成物を得た(12.3g、収率39%)。H NMR(C):δ3.50(s,12,NMe),1.40(s,18,NCMe),13C{H}NMR(C):66.4(2,NCMe),53.8(4,NMe),34.1(6,NCMe)。認められた(計算された)C1230Wに関する元素組成は、35.07(34.79)%C、7.22(7.30)%H、13.14(13.53)%N、(44.38)%Wであった。
[例2]
[ビス(tert−ブチルイミド)ビス(エチルメチルアミド)タングステン(VI)の合成]
ビス(tert−ブチルイミド)ビス(エチルメチルアミド)タングステン(VI)、(t−BuN)(EtMeN)Wを合成するために、LiNMeをLiNEtMeに置き換えた。生成物は淡黄色の液体である(17.1g、収率50%)(沸点が20mTorrで79〜81℃)。H NMR(C):δ3.70(q,4,J=7.0Hz,N(CHCH)Me),3.50(s,12,NEtMe),1.40(s,18,NCMe),1.18(t,6,J=7.0Hz,N(CHCH)Me)。13C{H}NMR(C):66.2(2,NCMe),59.7(2,N(CHCH)Me),50.1(2,NEtMe),34.0(6,NCMe),16.3(2,N(CHCH)Me)。認められた(計算された)C1434Wに関する元素組成は、37.74(38.01)%C、7.90(7.75)%H、12.51(12.67)%N、(41.57)%Wであった。
[例3]
[窒化タングステンのALD]
窒化タングステンコーティングを堆積するのに図1の装置を使用した。ビス(tert−ブチルイミド)ビス(ジメチルアミド)タングステン(VI)を0.6リットルの蒸気体積を有するステンレス鋼容器10に入れ、30℃に加熱した。その温度で、タングステン前駆体は、約6mTorrの蒸気圧を有する。アンモニアを20℃で圧縮ガスボンベに保持し、圧力調整器に通してその圧力が2.4気圧まで下がるようにした。シリコン基材130は、それを希釈フッ化水素酸溶液中に数秒間入れて、その自然酸化物を溶解させることにより調製した。次に、この基材をその表面が親水性になるまで空気中で紫外線(例えば、UV水銀ランプ)により照射した(約3分)。次いで、直径2.4cmを有するチャンバー110中の半円筒形基材ホルダー25cm長さの上に基材130を置き、長さ30cmにわたって300℃の温度に加熱した。細いホール(幅0.1μm×0.2μm、深さ7.3μm)を有する別のシリコン基材を同様に洗浄して、チャンバー110に置いた。
図1の装置を用いて、0.5気圧で窒素を12cmのガス容積5に導入することにより堆積サイクルを開始した。容積30をこの窒素で加圧した後、バルブ70を1秒間開けてタングステン前駆体蒸気、約4×10−10mol/cm用量を堆積チャンバー110に投与した。真空ポンプによってタングステン前駆体を約20ミリ秒でチャンバーに送った。次いで、窒素を10秒間流し、余分なタングステン前駆体及び揮発性の反応副生成物を有するチャンバーをパージした。さらに、このタングステン蒸気の投与及びパージ工程の際、バルブ170を通してキャリヤーガスを流した。アンモニアガスのパルスを供給するために、内径0.4mmの内部チャンネルを有する3方バルブ170を開けて、アンモニアを1秒間流し、その間に約5×10−6mol/cmのアンモニアが堆積チャンバーに流れた。次いで、3方バルブ170の向きを変えて、キャリヤーガスの窒素を10秒間流し、残留アンモニアガスを有するチャンバーをパージした。そうして、このサイクルを1000回以上繰り返した。
これら1000サイクルが完了した後、基材130を反応器から取り出した。この基材を走査型電子顕微鏡により検査し、基材が堆積ゾーンの長さに沿って50nmの均一な厚さの窒化タングステン膜を有することを見出した。各サイクルで約0.05nmの膜が堆積した。ラザフォード後方散乱を用いて、膜の化学組成をWN1.1±0.1と決定した。膜の密度は約12g/cmと測定した。
上記に従って発達した膜は、非常に望ましい滑らかな表面の特徴を有していた。原子間力顕微鏡により、堆積層の表面粗さは、層を堆積した基材の表面粗さに等しくない場合も非常に類似していることが確認された。X線回折により、層は、WNの知られた立方晶結晶相に相当する弱く幅の広い回折ピークが存在することで示される少量の極めて小さい微結晶とともに、その大部分がアモルファスであることが示された。この構造的な情報は、透過型電子顕微鏡(TEM)により確認された。それにより、アモルファスのマトリックス中に最大3nmサイズの微結晶が幾つか確認された。
この例の最初の段落で記載した方法によりWNをコーティングしたアスペクト比40:1の細いホールを有するウェハの走査型電子顕微鏡写真(SEM)を撮った。次いで、コーティングされたホールの断面を示すためそれを切断した。図2のSEMにより、細いホールの壁が完全にコンフォーマルなコーティングで被覆されているのが示される。他の同様の試料において、アスペクト比が200:1を超えるホールに関して良好な段差被覆性が観察された。これらの結果は、本発明の方法により優れた段差被覆性が達成されることを実証している。
窒化タングステンコーティングの電気抵抗率は約1.5×10−3Ω・cmである。この抵抗率は、フォーミングガス中において800℃で30分間アニーリングすることにより4×10−4Ω・cm未満に低減された。アニーリングされた膜は、立方晶のタングステン構造に結晶化され、その窒素を失って純粋なタングステン金属にされた。
[例4]
UV−オゾン処理によって生成される酸化物の中間層なしで窒化タングステン膜をシリコン上に直接堆積したこと以外は、例3を繰り返した。WNを1000℃の温度にアニーリングすることによりケイ化タングステンのコーティングを生成した。
[例5]
タングステン前駆体蒸気への暴露時間を10秒〜60秒に増やしたこと以外は、例3を繰り返した。同一の結果が得られ、このことはタングステン前駆体の化学反応が10秒以内に完了することを示している。
[例6]
タングステンの投与を2倍にしたこと以外は、例3を繰り返した。膜厚及びその特性は、例3のものと変わらなかった。これらの結果はタングステン前駆体の表面反応が自己制限的であることを示している。
[例7]
アンモニアの投与を2倍にしたこと以外は、例3を繰り返した。膜厚及びその特性は、例3のものと変わらなかった。これらの結果はアンモニアの表面反応が自己制限的であることを示している。
[例8]
基材温度を250℃〜350℃の範囲で変化させたこと以外は、例3を繰り返した。膜厚が図3に示すように基材温度により変化したこと以外は、同様の窒化タングステン膜が得られた。250℃未満の温度では膜は形成しなかった。膜は350℃よりも高い温度で形成したが、この膜は、タングステンと窒素に加えて炭素を含有しており、その段差被覆性は、250℃〜350℃で作られた膜ほど良くはなかった。
[例9]
アンモニアの代わりに水素プラズマを用いて例3を繰り返した。250ワットのRF電力(13.56MHz)を容量結合して水素プラズマを形成した。堆積されたままの膜の抵抗率がはるかにより低く、約4×10−4Ω・cmであり、炭素含有量がより高かったこと以外は、例3と同様の結果が得られた。
[例10]
溶融シリカ、石英、ソーダライムガラス、ガラス状炭素、ステンレス鋼、アルミニウム、銅及び金の基材を用いて例3を繰り返した。同一の結果が得られた。
[比較例1]
アンモニアは使用せず、タングステン前駆体のみを用いて例1を繰り返した。膜は形成しなかった。
[例11]
ALD窒化タングステン膜は、以下の試験により銅の拡散に対する優れたバリヤーであることが示された。厚さが1nm、2nm、5nm、10nm、20nm、50nm及び100nmの膜を含めて、シリコン基材上1nm〜100nm厚さの様々な窒化タングステン膜の上部に100nmの銅をスパッタした。これらSi/WN/Cu構造の試料をフォーミングガス中において様々な温度で30分間アニーリングした。表面上の銅を硝酸溶液中に溶解し、次いで、窒化タングステンをアンモニア/過酸化水素溶液中に溶解した。SEMによるシリコンの検査では、450、500又は550℃でアニーリングした試料において変化は見られなかった。600℃でアニーリングした試料は、窒化タングステンバリヤーの孤立した崩壊により、ケイ化銅の光沢のある結晶が幾つか見られた。650℃でアニーリングした試料は、バリヤーの完全な崩壊によりケイ化銅の結晶が多数見られた。これらの結果は、窒化タングステンが550℃まで安定で、わずか約1nm又は2nm厚さの膜の場合でさえ、銅の拡散に対して優れたバリヤーであることを意味すると通常解される。
[例12]
酸化銅は、チャンバー10の銅前駆体とチューブ180を通るオゾン発生器からのオゾン/酸素混合ガスとを用い、図1で説明した装置により、基材温度200℃で銅(II)ビス(sec−ブチルアセトアセテート)の蒸気とオゾン/酸素の混合ガスに交互にさらしてそれを100サイクル行うALDによって窒化タングステン膜上に堆積させた。酸化銅、CuOを約0.05nm/サイクルの速度で堆積させた。水素雰囲気中で1時間、試料を500℃に加熱することにより酸化銅を銅金属に還元した。得られた光沢のある銅層は窒化タングステンに強く付着しており、粘着テープでは取り除くことができなった。
[例13]
例12で生成した薄いALDの銅層を「シード」層として使用して、Chemistry of Materials,第12巻,2076頁,2000においてE.S.Hwang及びJ.Leeにより説明されている方法を用いた銅のCVDを開始することができる。
[例14]
例12で生成した薄いALDの銅層を「シード」層として使用して、周知の方法で銅の電気化学メッキを開始することができる。
[例15]
本発明のALDプロセスを使用して、WN/HfO/WNの構造を有するキャパシタを作製することができ、式中、WN層は導電性電極であり、HfOは絶縁性誘電層である。HfOは、国際公開WO02/27063号パンフレットの例12に記載されているテトラキス(ジメチルアミド)ハフニウムと水蒸気のALD反応により作製することができる。
[例16]
本発明のALDプロセスを使用して、WN/Ta/WNの構造を有するキャパシタを作製することができ、式中、WN層は導電性電極であり、Taは絶縁性誘電層である。Taは、国際公開WO02/27063号パンフレットの例15に記載されているエチルイミドトリス(ジエチルアミド)タンタルと水蒸気のALD反応により作製することができる。
[例17]
標準的な14NHの代わりに同位元素的に標識化された15NHを用いて例3を繰り返した。窒化タングステン膜をラザフォード後方散乱により分析して、膜中の窒素が標準的な窒素14Nであり、15Nではないことが示された。したがって、膜中の窒素は、アンモニアではなくタングステン前駆体中の窒素に起因している。
[例18]
アンモニアの代わりにピリジン蒸気を用いて例13を繰り返した。同様の結果が得られた。窒化タングステン膜の生成におけるピリジンの効果は、ALDプロセスの第2成分が堆積プロセスを活性化するよう作用するが、それ自体堆積膜には組み込まれないという主張と一致する。ピリジンがアミノ基転移を受けることはないが、それにもかかわらず、ピリジンは窒化タングステン膜を堆積させる。このことは、ALDプロセスの第2成分がこの反応において塩基触媒として作用することを示唆している。
当業者であれば、本明細書で具体的に記載された本発明の具体的な実施態様と等しい多数のものを理解するか又はほんの日常の実験により確認できるであろう。このような同等なものは、特許請求の範囲に包含されるものとする。
本発明の少なくとも1つの実施態様の実施において使用される原子堆積層装置の断面図である。 本発明の1つの実施態様により窒化タングステンで均一にコーティングされたシリコンウェハにおけるホールの断面についての走査型電子顕微鏡写真である。 サイクルごとに堆積する層厚が堆積中の基材温度に依存していることを示すグラフ表示である。

Claims (23)

  1. 1つ又は複数のサイクルを含む逐次的な方法によって基材表面に薄膜を堆積させる方法であって、少なくとも1つのサイクルが、
    該薄膜の少なくとも2つの元素を含有する第1材料の蒸気に該基材をさらして、該第1材料の蒸気の少なくとも一部を自己制限的な方法によって該基材表面に吸着させ;
    該基材の周辺から該第1材料の非吸着蒸気を除去し;
    該基材表面を活性化させる第2材料の蒸気に該基材をさらして、該表面が該第1材料の追加量と反応できるようにし、この活性化が該第2材料の元素を該薄膜中に取り込まないことを特徴とし;
    該基材の周辺から該第2材料の残留蒸気を除去すること;
    を含む方法。
  2. タングステンと窒素を含む薄膜を形成するための、請求項1に記載の方法。
  3. 1つ又は複数のサイクルを含む逐次的な方法によって基材表面に薄膜を堆積させる方法であって、少なくとも1つのサイクルが、
    タングステンとモリブデンから成る群より選択された元素を含みかつ該薄膜の少なくとも2つの元素を含有する第1材料の蒸気に該基材をさらして、該第1材料の蒸気の少なくとも一部を自己制限的な方法によって該基材表面に吸着させ;
    該基材の周辺から該第1材料の非吸着蒸気を除去し;
    該基材表面を活性化させる第2材料の蒸気に該基材をさらして、該表面が該第1材料の追加量と反応できるようにし;
    該基材の周辺から該第2材料の残留蒸気を除去すること;
    を含む方法。
  4. 前記第1材料が、タングステン−窒素結合を含む1つ又は複数の化合物を含む、請求項3に記載の方法。
  5. 前記第1材料が、モリブデン−窒素結合を含む1つ又は複数の化合物を含む、請求項3に記載の方法。
  6. タングステン−窒素結合を含む前記化合物が、以下の一般式を有し、
    Figure 2005533178
    式中、Rが、アルキル基、アリールアルキル基、アルケニルアルキル基、アルキニルアルキル基、フルオロアルキル基、又は化合物の揮発性を高めるよう選択された他の原子若しくは基で置換されたアルキル基を表し、RがR〜Rのいずれか1つであり、Rが互いに同じであるか又は異なることができる、請求項4に記載の方法。
  7. タングステン−窒素結合を含む前記化合物が、以下の一般式を有し、
    Figure 2005533178
    式中、Rが、アルキル基、アリールアルキル基、アルケニルアルキル基、アルキニルアルキル基、フルオロアルキル基、又は化合物の揮発性を高めるよう選択された他の原子若しくは基で置換されたアルキル基を表し、RがR〜R10のいずれか1つであり、Rが互いに同じであるか又は異なることができる、請求項4に記載の方法。
  8. タングステン−窒素結合を含む前記化合物が、以下の式、
    Figure 2005533178
    を有するビス(tert−ブチルイミド)ビス(ジメチルアミド)タングステン(VI)を含む、請求項7に記載の方法。
  9. タングステン−窒素結合を含む前記化合物が、以下の式、
    Figure 2005533178
    を有するビス(エチルメチルアミド)ビス(tert−ブチルイミド)タングステン(VI)を含む、請求項7に記載の方法。
  10. 前記第2材料がルイス塩基である、請求項3に記載の方法。
  11. 前記ルイス塩基がアンモニアである、請求項10に記載の方法。
  12. 前記ルイス塩基がピリジンである、請求項10に記載の方法。
  13. 前記第2材料が水素プラズマを含む、請求項3に記載の方法。
  14. 前記第2材料が水素原子を含む、請求項3に記載の方法。
  15. 前記基材が約200℃〜約400℃の温度で維持される、請求項3に記載の方法。
  16. 請求項1又は6に記載の方法によって形成された、1つ又は複数の導電性電極を含む電気キャパシタ。
  17. 請求項1又は6に記載の方法によって形成された、超小型電子デバイスの金属拡散に対するバリヤー。
  18. 1〜100nmの厚さを有する、請求項17に記載の拡散バリヤー。
  19. 以下の式によって表される化学化合物に相当する組成物であって、
    Figure 2005533178
    式中、MeがW又はMoであり、Rが、アルキル基、アリールアルキル基、アルケニルアルキル基、アルキニルアルキル基、フルオロアルキル基、又は化合物の揮発性を高めるよう選択された他の原子若しくは基で置換されたアルキル基を表し、RがR〜Rのいずれか1つであり、Rが互いに同じであるか又は異なることができる、組成物。
  20. 以下の式によって表される化学化合物に相当する組成物であって、
    Figure 2005533178
    式中、MeがW又はMoである、組成物。
  21. MeがWである、請求項19又は20に記載の組成物。
  22. 請求項19又は20に記載の化合物を表面に接触させることを含む、蒸気相から材料を堆積させる方法。
  23. 銅の特徴を含む超小型電子デバイスであって、請求項3又は6に記載の方法に従って堆積された窒化タングステン層が該デバイスの基材と該銅の特徴との間に挿入された、超小型電子デバイス。
JP2004521556A 2002-07-12 2003-07-09 窒化タングステンの蒸着 Pending JP2005533178A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US39557202P 2002-07-12 2002-07-12
PCT/US2003/021281 WO2004007796A1 (en) 2002-07-12 2003-07-09 Vapor deposition of tungsten nitride

Publications (2)

Publication Number Publication Date
JP2005533178A true JP2005533178A (ja) 2005-11-04
JP2005533178A5 JP2005533178A5 (ja) 2006-08-31

Family

ID=30115887

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004521556A Pending JP2005533178A (ja) 2002-07-12 2003-07-09 窒化タングステンの蒸着

Country Status (7)

Country Link
US (1) US7560581B2 (ja)
EP (1) EP1543177A1 (ja)
JP (1) JP2005533178A (ja)
KR (1) KR20050028015A (ja)
CN (1) CN1675402A (ja)
AU (1) AU2003248850A1 (ja)
WO (1) WO2004007796A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008120788A (ja) * 2006-07-31 2008-05-29 Rohm & Haas Electronic Materials Llc 有機金属化合物
WO2012165124A1 (ja) * 2011-05-27 2012-12-06 株式会社Adeka 酸化モリブデンを含有する薄膜の製造方法、酸化モリブデンを含有する薄膜の形成用原料及びモリブデンアミド化合物
US8755679B2 (en) 2006-04-05 2014-06-17 Horiba Stec, Co., Ltd. Liquid material vaporizer
JP2014534952A (ja) * 2011-09-27 2014-12-25 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード タングステンジアザブタジエン分子、その合成及びタングステン含有膜の堆積へのその使用
JP2016181687A (ja) * 2015-03-24 2016-10-13 ラム リサーチ コーポレーションLam Research Corporation ハードマスクのための金属誘電体膜の蒸着

Families Citing this family (280)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7685341B2 (en) * 2005-05-06 2010-03-23 Fotonation Vision Limited Remote control apparatus for consumer electronic appliances
KR20050091488A (ko) * 2004-03-12 2005-09-15 주식회사 유피케미칼 세라믹 또는 금속박막 증착용 전구체 화합물 및 그제조방법
JP2006097099A (ja) * 2004-09-30 2006-04-13 Tri Chemical Laboratory Inc 膜形成材料、膜形成方法、及び素子
FR2880037B1 (fr) * 2004-12-23 2007-03-30 Air Liquide Procede de depot d'une couche de carbonitrure metallique pour la fabrication d'electrodes ou de couches barrieres
US7272436B2 (en) 2005-01-25 2007-09-18 Pacesetter, Inc. System and method for distinguishing among cardiac ischemia, hypoglycemia and hyperglycemia using an implantable medical device
FR2883287A1 (fr) * 2005-03-16 2006-09-22 Air Liquide Precurseurs organo-metalliques et leur procede de fabrication
DE102006000823A1 (de) 2006-01-05 2007-07-12 H. C. Starck Gmbh & Co. Kg Wolfram- und Molybdän-Verbindungen und ihre Verwendung für die Chemical Vapour Deposition (CVD)
DE102006006283B4 (de) * 2006-02-10 2015-05-21 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Thermisch stabiler Multilayer-Spiegel für den EUV-Spektralbereich
JP5555872B2 (ja) * 2006-06-28 2014-07-23 プレジデント アンド フェローズ オブ ハーバード カレッジ 金属(iv)テトラ−アミジネート化合物ならびに蒸着においての使用
JP5571547B2 (ja) 2007-04-09 2014-08-13 プレジデント アンド フェローズ オブ ハーバード カレッジ 銅の相互接続体のための窒化コバルト層及びそれらを形成する方法
US8636845B2 (en) 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
US8592606B2 (en) 2009-12-07 2013-11-26 Air Products And Chemicals, Inc. Liquid precursor for depositing group 4 metal containing films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20140085461A (ko) 2011-09-27 2014-07-07 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 니켈 비스 디아자부타디엔 전구체, 그들의 합성, 및 니켈 함유 필름 침착을 위한 그들의 용도
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013192220A1 (en) * 2012-06-18 2013-12-27 University Of Florida Research Foundation, Inc. Tungsten nitrido precursors for the cvd of tungsten nitride, carbonitride, and oxide films
WO2014052642A1 (en) * 2012-09-28 2014-04-03 Advanced Technology Materials, Inc. Fluorine free tungsten ald/cvd process
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9643159B2 (en) 2012-10-16 2017-05-09 The Regents Of The University Of Colorado, A Body Corporate Catalyst support structure, catalyst including the structure, reactor including a catalyst, and methods of forming same
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20160032454A1 (en) * 2013-03-15 2016-02-04 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)tungsten molecules for deposition of tungsten-containing films
WO2015056944A1 (ko) * 2013-10-14 2015-04-23 한국화학연구원 몰리브데넘 화합물 또는 텅스텐 화합물, 이의 제조 방법 및 이를 이용하여 박막을 형성하는 방법
KR101505126B1 (ko) * 2013-10-14 2015-03-24 한국화학연구원 텅스텐 화합물, 이의 제조 방법 및 이를 이용하여 박막을 형성하는 방법
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
TWI656232B (zh) 2014-08-14 2019-04-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 鉬組成物及其用於形成氧化鉬膜之用途
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR101581314B1 (ko) 2015-07-20 2015-12-31 (주)마이크로켐 텅스텐 전구체 및 이를 포함하는 텅스텐 함유 필름 증착방법
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
CN106435519A (zh) * 2016-09-18 2017-02-22 北京工业大学 一种提高cvd法在长管内壁制备钨涂层均匀性的方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US11152569B2 (en) * 2017-11-30 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. PCRAM structure with selector device
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
KR102133773B1 (ko) * 2018-01-29 2020-07-15 한국생산기술연구원 유기금속 전구체를 이용한 윤활부품 박막 제조방법
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
ES2684851B2 (es) * 2018-07-27 2019-06-19 Univ Madrid Politecnica Metodo para obtener puntas sensoras de microscopia de fuerza atomica funcionalizadas mediante silanizacion por vapor activado, y las puntas obtenidas por dicho metodo
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102231296B1 (ko) 2018-10-11 2021-03-23 주식회사 메카로 유기금속 전구체 화합물 및 이를 이용하여 제조된 박막
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
EP3666783A1 (de) * 2018-12-12 2020-06-17 Umicore Ag & Co. Kg Verfahren zur herstellung von bis(tert-butylimido)bis(dialkylamido)wolfram-verbindungen, bis(tert-butylimido)bis(dialkylamido)wolfram-verbindungen, verwendung einer bis(tert-butylimido)bis(dialkylamido)wolfram-verbindung und substrat
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN112125931B (zh) * 2020-10-12 2023-08-04 安徽敦茂新材料科技有限公司 双(叔丁基胺)双(二甲基胺)钨(vi)的合成方法
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115651026B (zh) * 2022-10-11 2024-02-09 中山大学 一种ald前驱体钨配合物的制备方法
CN115584487A (zh) * 2022-10-18 2023-01-10 合肥安德科铭半导体科技有限公司 一种双(烷基亚胺基)双(烷基胺基)钨(vi)的制备方法及应用

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09509288A (ja) * 1994-11-30 1997-09-16 マイクロン テクノロジー インコーポレイテッド シリコン含有ソースガスを用いる窒化タングステン付着方法
JP2001081560A (ja) * 1999-07-08 2001-03-27 Air Prod And Chem Inc 多元金属又は金属化合物層の成長のための方法及び組成物
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
JP2002094030A (ja) * 2000-09-18 2002-03-29 Tokyo Electron Ltd 半導体装置およびその製造方法
US6539160B2 (en) * 2000-10-27 2003-03-25 Corning Cable Systems Llc Optical fiber splicing and connecting assembly with coupler cassette

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) * 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US6114242A (en) * 1997-12-05 2000-09-05 Taiwan Semiconductor Manufacturing Company MOCVD molybdenum nitride diffusion barrier for Cu metallization
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
KR100403611B1 (ko) * 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
EP1772534A3 (en) 2000-09-28 2007-04-25 The President and Fellows of Harvard College Tungsten-containing and hafnium-containing precursors for vapor deposition

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09509288A (ja) * 1994-11-30 1997-09-16 マイクロン テクノロジー インコーポレイテッド シリコン含有ソースガスを用いる窒化タングステン付着方法
JP2001081560A (ja) * 1999-07-08 2001-03-27 Air Prod And Chem Inc 多元金属又は金属化合物層の成長のための方法及び組成物
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
JP2002094030A (ja) * 2000-09-18 2002-03-29 Tokyo Electron Ltd 半導体装置およびその製造方法
US6539160B2 (en) * 2000-10-27 2003-03-25 Corning Cable Systems Llc Optical fiber splicing and connecting assembly with coupler cassette

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8755679B2 (en) 2006-04-05 2014-06-17 Horiba Stec, Co., Ltd. Liquid material vaporizer
JP2008120788A (ja) * 2006-07-31 2008-05-29 Rohm & Haas Electronic Materials Llc 有機金属化合物
WO2012165124A1 (ja) * 2011-05-27 2012-12-06 株式会社Adeka 酸化モリブデンを含有する薄膜の製造方法、酸化モリブデンを含有する薄膜の形成用原料及びモリブデンアミド化合物
JP2012246531A (ja) * 2011-05-27 2012-12-13 Adeka Corp 酸化モリブデンを含有する薄膜の製造方法、酸化モリブデンを含有する薄膜の形成用原料及びモリブデンアミド化合物
US9881796B2 (en) 2011-05-27 2018-01-30 Adeka Corporation Method for manufacturing molybdenum oxide-containing thin film
JP2014534952A (ja) * 2011-09-27 2014-12-25 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード タングステンジアザブタジエン分子、その合成及びタングステン含有膜の堆積へのその使用
JP2016181687A (ja) * 2015-03-24 2016-10-13 ラム リサーチ コーポレーションLam Research Corporation ハードマスクのための金属誘電体膜の蒸着

Also Published As

Publication number Publication date
US20060125099A1 (en) 2006-06-15
US7560581B2 (en) 2009-07-14
CN1675402A (zh) 2005-09-28
AU2003248850A1 (en) 2004-02-02
EP1543177A1 (en) 2005-06-22
KR20050028015A (ko) 2005-03-21
WO2004007796A1 (en) 2004-01-22

Similar Documents

Publication Publication Date Title
US7560581B2 (en) Vapor deposition of tungsten nitride
US7604840B2 (en) Atomic layer deposition of copper using surface-activation agents
JP5814155B2 (ja) 金属アミジナートを用いる原子層の析出
TWI361226B (en) Pretreatment processes within a batch ald reactor
US7144806B1 (en) ALD of tantalum using a hydride reducing agent
US20100227476A1 (en) Atomic layer deposition processes
JP2004040110A (ja) 原子層堆積法によって基板に二酸化シリコン層を堆積する方法
US11894233B2 (en) Electronic device having an oxygen free platinum group metal film
TW200811191A (en) Organometallic compounds
EP1939323A1 (en) Cyclic chemical vapor deposition of metal-silicon containing films
KR20080034030A (ko) 표면활성제를 사용한 금속 함유 필름의 원자층 증착
TWI579397B (zh) 製造含鎳薄膜的方法
JP2007537357A (ja) 有機金属前駆体化合物
WO2017203775A1 (ja) 薄膜形成用原料及び薄膜の製造方法
KR20210155106A (ko) 란탄족 전구체 및 이를 이용한 란탄족 함유 박막 및 상기 박막의 형성 방법 및 상기 란탄족 함유 박막을 포함하는 반도체 소자.
TWI677501B (zh) 釕化合物、薄膜形成用原料及薄膜之製造方法
WO2021087069A1 (en) Methods to grow low resistivity metal containing films
US20230142966A1 (en) Molybdenum precursor compounds
JP7495086B2 (ja) 有機金属化合物の製造方法およびその方法で得られた有機金属化合物を用いた薄膜
KR20210064658A (ko) 실리콘 함유 박막 형성용 전구체, 이를 이용한 실리콘 함유 박막 형성 방법 및 상기 실리콘 함유 박막을 포함하는 반도체 소자.
JP2023502418A (ja) 金属含有膜を選択的に形成するための化合物および方法
Gatineau et al. A New Liquid Precursor for Pure Ruthenium Depositions
KR20160062675A (ko) 신규 니켈-비스베타케토이미네이트 전구체 및 이를 이용한 니켈 함유 필름 증착방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060710

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060710

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090908

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091207

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091214

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100511