JP5086912B2 - パルスcvdとaldの併用による薄膜の堆積方法 - Google Patents

パルスcvdとaldの併用による薄膜の堆積方法 Download PDF

Info

Publication number
JP5086912B2
JP5086912B2 JP2008162014A JP2008162014A JP5086912B2 JP 5086912 B2 JP5086912 B2 JP 5086912B2 JP 2008162014 A JP2008162014 A JP 2008162014A JP 2008162014 A JP2008162014 A JP 2008162014A JP 5086912 B2 JP5086912 B2 JP 5086912B2
Authority
JP
Japan
Prior art keywords
reactant
substrate
pulse
film
reactants
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008162014A
Other languages
English (en)
Other versions
JP2009004786A (ja
Inventor
ヘンドリックス メンソ
クナップ マーティン
ペー.ハッカ スヴィ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM International NV filed Critical ASM International NV
Publication of JP2009004786A publication Critical patent/JP2009004786A/ja
Application granted granted Critical
Publication of JP5086912B2 publication Critical patent/JP5086912B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本願は一般に半導体の作製に関し、より詳細には、パルス的方法で相互に反応性がある反応物に基板を暴露することによる基板上への薄膜の堆積に関する。
本出願は、2007年6月21日に提出された、「原子層堆積による低抵抗率炭窒化金属薄膜の堆積」(LOW RESISTIVITY METAL CARBONITRIDE THIN FILM DEPOSITION BY ATOMIC LAYER DEPOSITION)と題するWei−Min Liの米国特許出願第11/766,367号(代理人整理番号ASMMC.075AUS)に関し、その全開示は参照により本明細書に組み込まれる。
ALDとは、反応物の時間的に交互かつ逐次的な反応物パルスを反応チャンバへ流すものであり、多成分系の膜(すなわち、異なる組成を有するより薄い構成膜からなり、これらのより薄い膜が好ましくは繰り返し規則的なシーケンスで垂直に積み重ねられた膜)を形成するために使用することができる。シーケンスとサイクルの回数を選択することによって、ALDを使用して容易に堆積物中の膜組成を調整することができると考えられている。
HfSiO膜は、様々なALDシーケンスを使用し、HfCl、SiClおよびHOを前駆体として使用して成長させることができるタイプの膜である。例えば、反応チャンバに流れ込むHOのパルスを使用してHfClまたはSiClのパルスを分離することができる。例えば、1サイクル中に、HfClパルスの後にHOパルスを加え、その後にSiClパルスを加え、その後にHOパルスを加えることができる。このサイクルを繰り返して所望の厚さの膜を形成することができる。
しかし、ALDは、いくつかの所望の組成を有する膜の形成に問題がある可能性があることが分かった。例えば、ALDは、酸化シリコンに富んだHfSiO膜の形成に問題があることが見出された。SiCl/HOのサイクル数を増やして酸化シリコンの量を増加させようとしても、堆積した酸化シリコンの量にはほとんど影響しないことが分かった。SiClに続いてHOを加える最初のサイクルの後、追加して続いて行うSiCl/HOサイクルでは、追加の堆積が限定されることが分かった。理論によって制約を受けるものではないが、ALDによるSiOの堆積プロセスは追加のSiCl/HOサイクルによって抑制されると考えられる。HfClに続いてHOを加えるサイクルが、次のSiO堆積を可能にするために必要であることが分かった。さらに、SiClパルスの長さを延長することによりHfSiO膜中のシリコンの量を増加させることを試みたが、効果はほとんどなかった。したがって、これらの問題により、ALDによって堆積されるHfSiO膜で得ることができるシリコン濃度は厳しい制約を受ける。
別の例において、様々なALDシーケンスで前駆体としてHfCl、SiCl、NHおよびHOを使用してHfSiON膜を成長させる場合、3原子%以上の窒素濃度を得るのは困難であった。理論によって制約を受けるものではないが、窒化物の堆積には他の膜材料の堆積より効率の低い化学反応が利用されており、それが窒素濃度を限定していると考えられる。何故ならば、より少ない材料しかこの化学反応で堆積されないからである。さらに、オキシダントパルスに暴露された場合、堆積膜表面の窒素が酸素と置換して窒素濃度をさらに低下させる可能性があると考えられる。いかなるメカニズムであれ、化学反応および所望の堆積膜に応じて、ALDは、特に多成分系膜の形成の目的では著しく制約を受けることが分かった。
いくつかの用途においては、CVDが代替の堆積方式を提供する。しかし、いくつかの化学反応および膜の種類、例えば、ハロゲン化物の加水分解を使用して酸化膜を形成する酸化物化学反応については、CVDは過度にアグレッシブな気相反応をもたらすことが予想され、ALDが好ましい堆積法である。したがって、ALDはHfSiOまたはHfSiON膜の形成などのいくつかの用途において組成を調整するための能力に限界があることが分かっているが、過度に激しい気相加水分解反応により、CVDもまたこれらの膜を堆積する適合性に限界があることが予想される。
さらに、いくつかの化学反応はCVDおよびALDの両方に適合しているが、多くの化学反応がCVDまたはALDのみに、より適合していることが分かった。ある場合には、このために、多成分系膜を堆積するために選択できる化学反応の組合せが限定されるおそれがある。例えば、形成される膜を構成する材料に応じて、膜の堆積で使用される化学反応はすべてALD処理と適合する必要があるか、あるいは、これらの化学反応はすべてCVD処理と適合する必要があるかもしれない。他の状況では、利用可能な化学反応がCVDまたはALDのいずれかに適合しているが、いくつかの利点はALDモードのオペレーションを使用して達成することができ、他の利点はCVDモードのオペレーションで達成することができることが分かっている。
好都合には、本発明の好ましい実施形態は、上記の問題を克服する解決策を提供すると同時に、ALDモードのオペレーションとCVDモードのオペレーションの利点を組み合わせる方法を提供する。例えば、好ましい実施形態は、所望のように調整することができる元素濃度を有する、HfSiON膜などの多成分系膜の形成を可能にする。さらに、ALDおよびCVD型の処理の使用は、異なる反応性を有する化学反応の組合せを可能にする。例えば、高反応性化学反応の高反応性はALD型堆積の化学反応を使用することにより制御することができ、一方、中程度反応性化学反応の中反応性を、堆積速度の上昇を考慮に入れたCVD型堆積において有利に利用することができる。
本発明は、下記の方法に関する。
項1.反応チャンバにおいて基板上に多成分系薄膜を堆積する方法であって、
期間A中に、逐次的かつ交互のパルスで前記反応チャンバの中へ第1および第2反応物を流すことによって、前記基板上に前記膜の第1成分を堆積すること(前記第1および第2反応物が前記基板上に自己制御的に堆積する)、
期間B中に、前記反応チャンバの中へ第3反応物を流しつつ、同時に前記反応チャンバの中へ第4反応物を流すことによって、前記膜の第2成分を堆積すること(ここで、前記第3および第4反応物は相互に反応性があり、前記期間B中の前記第4反応物への前記基板の暴露時間の合計が、前記期間B中の前記第3反応物への前記基板の暴露時間の合計より長い)
を含む方法。
項2.前記膜の前記第2成分を堆積することが、前記期間B中に前記反応チャンバの中へ前記第3および第4反応物をパルスで流すことを含む、項1に記載の方法。
項3.前記第4反応物のパルスの総数が前記第3反応物のパルスの総数より多い、項2に記載の方法。
項4.前記第4反応物の各パルスの持続時間が前記第3反応物の各パルスの持続時間より長く、その結果、前記第3反応物の各パルスが前記第4反応物のパルスと時間的に完全にオーバーラップする、項2に記載の方法。
項5.前記膜の前記第1成分を堆積することが、前記第1反応物および前記第2反応物の各々の複数のパルスを流すことを含む、項1に記載の方法。
項6.前記膜の前記第1成分を堆積することと前記膜の前記第2成分を堆積することとを逐次的に繰り返すことをさらに含む、項1に記載の方法。
項7.前記第2反応物がオキシダントである、項1に記載の方法。
項8.前記オキシダントが、O、OおよびHOからなる群から選択される、項7に記載の方法。
項9.前記第4反応物が窒素源またはオキシダントである、項8に記載の方法。
項10.前記窒素源がNHである、項9に記載の方法。
項11.前記オキシダントがOまたはOである、項9に記載の方法。
項12.前記第1および第3反応物が金属を含む、項9に記載の方法。
項13.前記第1および第3反応物が異なる金属を含む、項12に記載の方法。
項14.前記第1および第3反応物が同一の金属を含む、項12に記載の方法。
項15.前記第1および第3反応物が金属ハロゲン化物である、項12に記載の方法。
項16.前記第1および第3反応物が同一である、項9に記載の方法。
項17.前記膜の前記第1成分を堆積することが核生成層を形成することを含む、項1に記載の方法。
項18.前記膜の前記第2成分を堆積することが、前記核生成層を形成する材料と同じ材料を堆積することを含む、項17に記載の方法。
項19.前記膜の前記第1成分を堆積することが、前記膜の前記第2成分を堆積することの前に行われる、項1に記載の方法。
項20.期間A中に、逐次的かつ交互のパルスで前記反応チャンバの中へ第1および第2反応物を流すことが原子層堆積を構成し、期間B中に、前記反応チャンバの中へ第3反応物を流しつつ、同時に前記反応チャンバの中へ第4反応物を流すことがパルス化学気相堆積を構成し、パルス化学気相堆積が原子層堆積の前に起こる、項1に記載の方法。
項21.基板上に膜を堆積する方法であって、
期間A中に、少なくとも2つの相互に反応性がある反応物の時間的に分離されたパルスに前記基板を暴露して、前記少なくとも2つの相互に反応性がある反応物の元素を含む化合物を堆積すること(ここで、1パルス当たりほぼ単分子層以下の材料が堆積される)と、
期間B中に、第4反応物に前記基板を暴露しながら、第3反応物の1つまたは複数のパルスに前記基板を暴露すること(ここで、前記第3および第4反応物は相互に反応性があり、前記第3反応物の前記パルスの各々の間の間隔が、前記第3反応物の直前のパルスの持続時間の少なくとも約1.75倍であること
を含む方法。
項22.前記間隔が、前記第3反応物の直前のパルスの持続時間の少なくとも約2倍である、項21に記載の方法。
項23.前記少なくとも2つの相互に反応性がある反応物の時間的に分離されたパルスに前記基板を暴露することが、前記基板を保持する反応チャンバの中へ前記少なくとも2つの相互に反応性がある反応物の各々を逐次的に流すことを含む、項21に記載の方法。
項24.前記第3反応物の1パルス当たり単分子層以上の材料を堆積する、項21に記載の方法。
項25.期間B中に、前記基板を前記第4反応物に連続的に暴露する、項21に記載の方法。
項26.前記第4反応物に前記基板を暴露させながら、前記第3反応物の1つまたは複数のパルスに前記基板を暴露することが、
前記基板を前記第3反応物および前記第4反応物に同時に暴露することと、
続いて前記基板を保持する反応チャンバから反応物を除去することと、
続いて前記第4反応物に前記基板を暴露することと
を含む、項21に記載の方法。
項27.同時に暴露することと、続いて除去することと、続いて暴露することとが1サイクルを構成しており、前記第4反応物に前記基板を暴露させながら、前記第3反応物の1つまたは複数のパルスに前記基板を暴露することが、2サイクル以上を行うことを含む、項26に記載の方法。
項28.1サイクル当たり、前記第3反応物の1パルスに前記基板を暴露する、項27に記載の方法。
項29.続いて暴露することが、同時に暴露すること中の前記第4反応物の流量に対して、前記第4反応物の流量を上げることを含む、項28に記載の方法。
項30.続いて除去することが、前記反応チャンバから反応物をパージすることまたは排気することを含む、項26に記載の方法。
項31.続いて除去することが、前記反応チャンバから反応物をパージすることまたは排気することを含む、項26に記載の方法。
項32.続いて暴露することの後で、かつ同時に暴露することの前に、前記反応チャンバから反応物を除去することをさらに含む、項26に記載の方法。
項33.基板上に金属化合物の膜を堆積する方法であって、
金属源反応物と反応性がある第1反応物に前記基板を暴露しながら、前記金属源反応物の1つまたは複数のパルスに前記基板を暴露し、それによって、期間A中に金属化合物を含む膜を形成すること(ここで、前記金属源反応物1パルス当たり約8単分子層未満の前記金属化合物が堆積される)、および
期間B中に前記金属化合物膜と反応性がある第2反応物のパルスに前記基板を暴露すること(ここで、前記基板が前記期間B中に金属前駆体に暴露されないこと
を含む方法。
項34.前記金属源反応物1パルス当たり約5単分子層未満の前記金属化合物が堆積される、項33に記載の方法。
項35.前記期間A中に、他の金属源反応物の1つまたは複数のパルスに前記基板を暴露することをさらに含む、項33に記載の方法。
項36.前記金属源反応物が金属ハロゲン化物である、項33に記載の方法。
項37.前記第1反応物が窒素前駆体である、項36に記載の方法。
項38.前記窒素前駆体がアンモニアである、項37に記載の方法。
項39.前記第2反応物が酸素前駆体である、項36に記載の方法。
項40.前記酸素前駆体がオゾンである、項39に記載の方法。
本発明の一実施形態では、反応チャンバ内の基板上に多成分系薄膜を堆積する方法が提供される。本方法は、第1および第2反応物のパルスを、逐次的な、交互の、かつ自己制御的なパルスからなる原子層堆積(ALD)パターンで反応チャンバの中へ流すことにより前記膜の第1成分を堆積することと、第3反応物および第4反応物を、パルスCVDのパターンに相当するパターンで反応器に流すことにより前記膜の第2成分を堆積することとを含む。ここで、第3反応物のパルスは、反応チャンバ中へ第4反応物が流れている間に反応チャンバへ流す。「第1」、「第2」、「第3」、および「第4」という用語は、記述を容易にするために使用されるものであり、必ずしも反応物の順序や同一性を示さないことが理解されよう。例えば、これらの反応物の各々は異なっていてもよく、これらの反応物の1つまたは複数は同一であってもよい。
本発明の別の実施形態では、基板上に膜を堆積する方法が提供される。本方法は、期間A中に、ALDモードのオペレーションで、少なくとも2つの相互に反応性がある反応物の交互かつ逐次的な反応物パルスに前記基板を暴露することと、期間B中に、パルスCVDモードのオペレーションで、別の反応物に前記基板を暴露しながら、1つまたは複数の反応物のパルスに前記基板を暴露することとを含む。但し、前記2つの反応物は相互に反応性がある。
本発明のさらに別の実施形態では、反応チャンバ内の基板上に多成分薄膜を堆積する方法が提供される。本方法は、期間A中に、第1および第2反応物を、逐次的な交互パルスで反応チャンバ中へ流すことにより前記膜の第1成分を前記基板上に堆積することを含む。前記第1および第2反応物は、基板上に自己制御的に堆積する。期間B中に、反応チャンバへ第3反応物を流し、それと同時に反応チャンバへ第4反応物を流すことにより、前記膜の第2成分を前記第1成分上に堆積する。前記第3および第4反応物は相互に反応性がある。期間B中の第4反応物への基板の暴露時間の合計は、期間B中の第3反応物への基板の暴露時間の合計より長い。
本発明の別の実施形態では、基板上に膜を堆積する方法が提供される。本方法は、期間A中に、少なくとも2つの相互に反応性がある反応物の時間的に分離されたパルスに基板を暴露して、少なくとも2つの相互に反応性がある反応物の元素を含む化合物を堆積することを含む。1パルス当たりほぼ単分子層以下の材料が堆積される。期間B中に、第4反応物に基板を暴露しながら、1つまたは複数の第3反応物のパルスに基板を暴露する。第3および第4反応物は相互に反応性がある。第3反応物の各々のパルスの間隔は、第3反応物の直前のパルスの持続時間の少なくとも約1.75倍である。
本発明のさらに別の実施形態では、基板上に金属化合物の膜を堆積する方法が提供される。本方法は、金属源反応物と反応性がある第1反応物に基板を暴露しながら、前記金属源反応物の1つまたは複数のパルスに基板を暴露し、それによって、期間A中に金属化合物を含む膜を形成することを含む。金属源反応物1パルス当たり約8分子層未満の金属化合物が堆積される。基板は、期間B中に金属化合物膜と反応性がある第2の反応物のパルスに暴露される。基板は期間B中に金属前駆体には暴露されない。
本発明の好ましい実施形態は、半導体ウェーハなどの基板上に薄膜を堆積する方法を提供する。反応物または前駆体を、ある時間にわたってパルス的パターンで反応チャンバに供給する。ALD型のパルス流に相当するパルスパターンからなる1つの時期または相では、前駆体の逐次的交互パルスを反応チャンバへ流し、基板上に自己制御的に堆積させる。CVD型のパルス流に相当するパルスパターンからなる別の時期または相では、2つの相互に反応性がある前駆体を反応チャンバへ同時に流す。CVDプロセスはパルスCVDプロセスであることが好ましく、複数の反応物がパルス状態で反応チャンバへ導入される。これらのパルスの少なくとも一部は時間的にオーバーラップしている。好ましくは、反応チャンバ中へ流す前駆体パルスの持続時間は、反応チャンバ内の基板がこの前駆体に暴露される持続時間に相当する。ALDとCVDのパルスパターンを組み合わせて1サイクルを構成する。これを、所望により、例えば望ましい厚さの膜が形成されるまで繰り返すことができる。
好ましい実施形態では、CVD相の堆積中の異なる前駆体への暴露の持続時間は同じではない。すなわち、基板は、これら前駆体のうちの一方に、他方の前駆体より長い持続時間で暴露される。例えば、いくつかの実施形態では、CVDパルスパターンは、一方の前駆体のパルスを他方の前駆体のパルスより多く含むことができる。別の実施形態では、一方の前駆体のパルスの平均持続時間は、他方の前駆体のパルスの平均持続時間より長くすることができる。さらに別の実施形態では、他方の前駆体をパルス状態で流しながら、一方の前駆体を連続的に流すことができる。これらのパルスパターンの組合せも可能であることが理解されよう。
好都合には、持続時間を変化させることにより、堆積結果を改善することが可能である。金属ハロゲン化物などのいくつかの配位子含有前駆体は、窒化または酸化による配位子除去速度より高速で堆積し得ることが理解されよう。その結果、窒素または酸素前駆体への暴露時間をより長くすることが堆積した金属の完全な窒化または酸化に有利であり、それによって、どんな残存配位子も堆積金属からより完全に除去され、高品質な金属窒化物または金属酸化物が形成される。
いくつかの好ましい実施形態では、ALDパルスパターン用の前駆体の組合せがCVDパルスパターン用の前駆体の組合せとは異なり、それによって、いくつかの実施形態では多成分系膜またはナノラミネート膜の形成が可能になる。いくつかの実施形態では、ALDパルスパターンとCVDパルスパターンは共通の前駆体を持つことができるが、ALDパルスパターンに存在する前駆体がCVDパルスパターンに存在しないことがあり、かつ/またはその逆もあり得る。別の実施形態では、ALDパルスパターンとCVDパルスパターンの各々で使用される前駆体は同一である。
いくつかの相互に反応性がある前駆体が激しく反応して低品質の層を堆積する可能性があることが理解されよう。例えば、ハロゲン化物が酸化膜を形成する加水分解は制御が難しい非常に激しい気相反応である。CVDを使用したらハロゲン化物の加水分解などの激しい反応が発生したであろうと思われる層を形成するために、CVDの代わりにALDを有利に適用することができる。
ALDは膜均一性および膜の一般的品質にとってメリットがあるが、パルスCVDは、ALDの利点の多くを保持しつつより高い堆積速度という長所を有することも理解されよう。いくつかの化学反応では、どんな膜もALDではほとんど堆積されない。その結果、ALDはそれらの膜の堆積方法として実用的ではないが、好都合には、パルスCVDがより高い堆積速度および究極的により高いスループットを可能にする。例えば、TiClとNHからのTiNの堆積では、NHの連続的なフロー中にTiClのパルスを流すことにより、優れた品質を有する膜およびALDよりも実質的に高い堆積速度での堆積が達成されることが分かった。こうした堆積は2005年3月31日に出願された、共譲渡された米国特許出願第11/096,861号において議論されており、その全開示を参照により本明細書に組み込む。したがって、パルスCVDは比較的穏やかな気相反応が生じる反応物で利用することができ、それによって、好都合には、単にALDによる処理から期待されるよりも、1サイクル当たりのスループットの増加が可能になる。
有利には、好ましい実施形態では、CVDモードのオペレーションの利点とALDモードのオペレーションの利点を組み合わせることが可能になる。例えば、反応性が高い化学反応にALDを使用し、反応性がより低い化学反応にCVDを使用することにより、反応性が高い化学反応を、中程度か低い反応性の化学反応と組み合わせることができる。有利には、堆積のALD期間およびCVD期間中に異なる材料が堆積されるナノラミネート膜が、反応性が高い化学反応と反応性が低い化学反応の組合せを使用しても形成することができる。
好ましくは、反応物は、ALD相およびCVD相の各々の後反応チャンバから除去され、反応チャンバは高い温度で維持される。例えば、反応物は、反応チャンバからパージおよび/または排気することができる。好ましくは、堆積した膜から配位子を取除くまたは逃がすことができるように、パージおよび/または排気期間を十分に長くとる。これは、特にCVDにおいて膜物性の改善に利点があるであろう。CVDでは、配位子が堆積膜の一部であることが望ましくないからである。例えば、配位子が塩素であるいくつかの応用例では、残留塩素を除去することが膜の固有抵抗を有利に下げることが分かった。残存配位子の除去を促進するためには、配位子除去の持続時間は、配位子含有前駆体のパルスの持続時間の約1.5倍以上であることが好ましく、最も好ましくは約2倍以上である。この配位子除去期間に、堆積膜と反応して堆積膜中の配位子を置き換える反応物に基板を暴露することにより、配位子を除去することができることが理解されよう。さらに、堆積膜中に配位子が閉じ込められるのを防ぐために、CVD相では、CVD相あたり約8単分子層以下の材料、より好ましくは約5単分子層以下の材料が堆積される。
一例として、TiClとNHからTiNを堆積する際に、配位子の除去によって膜物性が改善されることが分かった。TiNは、2つの反応物のパルスが等しくない長さであるか、1サイクル中の第1および第2反応物への基板の暴露時間が等しくないパルスシーケンスを使用して堆積することができる。例えば、1つのスキームでは、一方の反応物だけがパルス化されるが、他方は反応チャンバへ連続的に流される。その結果、一方は、他方の反応物のパルスの間およびパルス中に反応チャンバへ流される。本スキームは特に有利であることが分かった。すなわち、NHの連続的なフロー中にTiClのパルスを流すことにより、優れた品質を有する膜が得られ、かつALDを用いるよりもかなり高い堆積速度での堆積がもたらされる。特に有利なパルスシーケンスでは、NHを連続的に流し、TiClパルスの長さは1パルス当たり5単分子層以下のTiNが堆積するような長さであり、逐次的なTiClパルスの間隔は、等しい長さであるか、またはTiClパルスの長さより大きい。逐次的なTiClパルスの間隔は、TiClパルスの長さの少なくとも約1.75倍以上であることが好ましく、より好ましくは約2倍以上である。こうした状況の下では、NHがまだ流れているときに、残留塩素は、TiClパルスの間隔中のアニーリング期間に堆積膜から逃げることができる。これにより、残留塩素の除去ができない堆積に比べて膜固有抵抗が低下する。1つのTiClパルスあたり5単分子層を超えるTiN膜が堆積される場合、塩素は膜にトラップされる。また、TiClパルスの間隔が短すぎる場合、塩素には膜から逃れる十分な時間がない。このパルスCVDプロセスを使用すると、堆積膜の品質は、ALD膜のものに似ており、通常のCVD(基板は、実質的に同期した2つの反応物のフローまたはパルスに暴露されるだけである)によって堆積された膜と比較して著しく改善される。別の実施形態では、NHフローもまたパルス的に行うこともできるが、これらのNHパルスはTiClパルスより長い持続時間を有しており、TiClパルスはNHパルス中に加えられる。他の実施形態では、各サイクルについて、少なくとも1つのNHパルスがTiClパルスと時間的にほぼ完全にオーバーラップしており、少なくとも1つの追加のNHパルスが追加のTiClパルスなしで使用される。その結果、NHへの暴露時間の合計は、TiClへの暴露時間より長い。
次に図面を参照して説明する。図1〜5は、本発明の典型的な実施形態によるパルスパターンの例を示す。
図1では、第1の金属ハロゲン化物(M)およびオキシダント(例えばHO)のパルスを、時間A(期間A)の期間中、ALDモードのオペレーションで逐次的および交互のパルスで反応チャンバへ流す。期間Aのガスフローシーケンスは、1回または複数回(p回)繰り返すことができる。その後、第2の金属ハロゲン化物(M)の複数のパルスを、所定の期間(期間B)中、パルスCVDモードのオペレーションで、長い単一のオキシダントパルス(例えばHOパルス)中に加える。本明細書で使用される識別名MおよびMは、異なる期間中に使用される金属ハロゲン化物について議論する際に記述を容易にするために使用される。文字MおよびX、または添字1、2、mおよびnの使用は、成分元素の組成または比を意味するものではない。
引き続き図1を参照すると、期間B中に第2の金属ハロゲン化物の3つのパルスが示されているが、加えるパルスの数は、膜の所望の組成物に応じて、少なくとも1つでよく、かつ任意の数までとすることができる。第2の金属ハロゲン化物パルスおよび長いオキシダントパルスが終了した後、期間Aのシーケンスを再び繰り返すことができる。その後、所望の膜厚が得られるまで、1サイクルを構成するシーケンス全体を1回または複数回(q回)繰り返すことができる。本明細書で使用するシーケンスはq[(p*A)+B]として表わすことができる。AおよびBは期間AおよびB中に行われたシーケンスを示し、pは期間Aのシーケンスが行われた回数を示し、qはシーケンスAおよびBのすべてを含む全サイクルが行われた回数を示す。
図1の例では、HOは好ましいオキシダントとして示されるが、他のオキシダントまたはオキシダントの組合せも使用することができる。第2の金属ハロゲン化物がハロゲン化シリコンである場合、パルスCVDモードのオペレーションは特に有利である。本明細書で議論されるように、金属ハロゲン化物のパルス間のタイムスパンは、好都合に残存ハロゲン化物の堆積膜からの除去を可能にし、これにより膜物性が改善される。
図2では、第1の金属ハロゲン化物(M)およびオキシダント(例えばHO)のパルスを、時間Aの期間中、ALDモードのオペレーションで逐次的かつ交互に反応チャンバへ流す。期間Aで示されるパルスシーケンスはp回繰り返すことができる。次いで時間Bの期間が続く。ここでは、パルスCVDモードのオペレーションで、反応器へ第2の金属ハロゲン化物(M)および窒素前駆体(例えばNH)のパルスを同時に流す。このプロセスの期間BはALDモードのオペレーションを有する期間Aと交互に行うので、期間B中の窒素前駆体フローもまた周期的または「パルス的」であるが、金属ハロゲン化物パルスの持続時間より長いパルス持続時間を有する。図示の例においては、窒素前駆体パルスは第2の金属ハロゲン化物パルスの開始前に開始し、第2の金属ハロゲン化物パルスの終了後しばらくして停止する。所望の膜厚が達成されるまで、p*A+Bのシーケンスをq回繰り返すことができる。より厚い窒化膜が必要な場合は、期間Bのサイクルを繰り返した後、期間Aのサイクルに切り換えることができる。さらに、より厚い窒化膜を成長させるために、第2の金属ハロゲン化物および窒素前駆体パルスのパルス持続時間を延長するか、例えば図3に示されるシーケンスに従って、長い窒素前駆体パルス中に複数の第2の金属ハロゲン化物パルスを加えることも可能である。
図3では、第1の金属ハロゲン化物(M)およびオキシダント(例えばHO)のパルスを、時間Aの期間中にALDモードのオペレーションで、逐次的かつ交互に反応チャンバへ流す。期間Aのシーケンスはp回繰り返すことができる。次いで、第2の金属ハロゲン化物(M)の複数のパルスを、パルスCVD期間Bに、長い単一の窒素前駆体パルス(例えばNHパルス)中に加える。図3では、期間B中に第2の金属ハロゲン化物の3つのパルスが示されているが、加えるパルスの数は膜の所望の組成に応じて、少なくとも1回および任意の回数までとすることができる。第2の金属ハロゲン化物パルスおよび長いNHパルスの終了後、期間Aのシーケンスを再び繰り返すことができる。次いで、所望の膜厚が達成されるまで、シーケンスp*A+Bをq回繰り返すことができる。
図4には、期間Aを有する堆積プロセスシーケンスが示されている。期間A中に、第1の金属ハロゲン化物(M)およびオキシダント(例えばHO)が、逐次的かつ交互のALDモードのオペレーションでパルスされ、核生成層を堆積する。期間Aにおいて示されるシーケンスは、適切な核生成層が得られるまでp回繰り返すことができる。いくつかの実施形態では、核生成層を形成するために、pは約1から約100とすることができる。次いで、期間B中の反応器へオキシダント(例えばO、オゾン)(これは期間Aで使用されるオキシダントとは異なっていてもよい)を流す間に、第2の金属ハロゲン化物(M)のパルスを反応器へ流す。期間A中のALDモードの処理が、期間B中にパルスCVDによって堆積される次の層のために核生成層を提供する役割だけの場合は、AおよびB期間の繰り返しシーケンスは必要なく、所望の膜厚を1つの期間Bで得るための十分に長い持続時間で、時期Bを行うことができる。
図5には、期間B中にパルスCVDシーケンスを使用して金属窒化物を堆積する実施形態が示されている。第1および第2の金属ハロゲン化物(それぞれ、MおよびM)の交互のパルスを、窒素前駆体(例えばNH)の流れの間に加える。パルスCVD期間Bは期間Aと交互に行われる。期間Aでは、別の反応物、好ましくはオキシダント、好ましくはOのパルスを、他の反応物が流れていない間に反応チャンバへ流す。パルスCVD法中に加えられる第1および第2の金属ハロゲン化物のパルスのシーケンスは、所望の組成および/または厚さが得られるように構成することができる。例えば、いくつかの実施形態では、1つの金属ハロゲン化物のパルスだけを加えて、その金属がその層の中のただ一つの金属である層を形成する。
他のパルスパターンを適用することもできることが理解されよう。例えば、いくつかの実施形態では、3種以上の金属源材料を反応チャンバへ流すことができる。他の実施形態では、第1の金属源材料を第2の金属源材料と混ぜることができ、この混合物のパルスを反応チャンバへ流すことができる。例示した実施形態の期間Aおよび期間Bの一方または他方のパルスパターンをさらに1回または複数回繰り返した後、期間Aおよび期間Bの他方のパルスパターンを行うこともできる。
さらに、反応チャンバをパージする反応物のパルス間にパージガスのパルスを加えることができる。あるいは、全プロセスの間にパージガスの連続的なフローを加えることもできる。さらに、パージの代わりにあるいはパージに加えて排気を用いて、パルスまたは期間の間に反応チャンバからガスを除去することができる。
これらの金属は、周期表の任意の金属または半導体を含むことができる。これらの図において、一例として金属ハロゲン化物を挙げているが、いくつかの実施形態においては金属有機化合物を使用することもできる。第1の金属前駆体および第2の金属前駆体、または金属源材料は、異なる金属であってもよいが、いくつかの実施形態においては同一の金属であってもよい。さらに、いくつかの実施形態においては、図1〜5に例示されたパルスCVD期間中に、窒素前駆体の代わりにオキシダントを加えて、またはこれを逆にして、金属源材料と反応させることができる。
本発明の好ましい実施形態がナノラミネート膜の堆積に特に有利であることが理解されよう。変数p、期間A中のALDサイクルの回数、およびパルスCVD期間B中の第2の金属ハロゲン化物前駆体の数は、任意の組合せの厚さを有する要素膜が堆積されたナノラミネート膜が形成されるように選択することができる。
以下、本発明を実施例に基づいてより詳細に説明する。
[実施例1]
ケイ酸ハフニウム層を、温度約350℃で、反応物としてHfCl、SiClおよびHOのパルスを使用して堆積する。図1に示すシーケンスを適用する。但し、M(第1の金属ハロゲン化物)はHfClであり、M(第2の金属ハロゲン化物)はSiClである。SiClパルスの回数は、所望のシリコン含有量が得られるように選択する。
[実施例2]
ハフニウムシリコンオキシナイトライド層を、反応物としてHfCl、SiCl、NHおよびHOのパルスを使用して堆積する。図2のシーケンスを適用する。但し、M(第1の金属ハロゲン化物)はHfClであり、M(第2の金属ハロゲン化物)はSiClである。期間Bにおけるパルスの長さは所望のシリコンおよび窒素含有量が得られるように調整する。堆積温度は約350℃である。
あるいは、HfClとSiClを混合し、この混合物のパルスをオキシダントのパルスと共に期間A中にALDモードのオペレーションで反応チャンバへ流し、かつ、この混合物のパルスをNHと共に期間B中にパルスCVDモードのオペレーションで反応チャンバへ流す。
[実施例3]
酸化ハフニウム層を、Hfソース材料としてHfClを使用して堆積する。図3のシーケンスを続ける。但し、第1の核生成層を、ALDモードの処理においてHfClおよびHOを使用して堆積する。次いで、膜の残りを、反応器の中へOの連続的なフローと共にHfClの複数のパルスを流すことにより堆積する。本実施例では、第1の金属および第2の金属は同一であり、両方ともHfである。パルスCVDモードのオペレーションにおける酸化ハフニウム層の堆積は、ALDモードのオペレーションを使用して堆積される1サイクルまたは複数サイクルの酸化ハフニウムと周期的に交互に行うことができる。
[実施例4]
Ru金属膜を、前駆体としてルテニウムシクロペンタジエニル化合物と空気または酸素を使用して堆積する。好ましいルテニウム化合物としては、Ru(EtCp)、ルテニウムジエチルシクロペンタジエニル、およびRu(DMPD)(EtCp)が挙げられる。但し、DMPD=2,4−ジメチルペンタジエニル、およびEtCp=エチルシクロペンタジエニルである。第1の期間Aでは、ルテニウムシクロペンタジエニル化合物のパルスを、パルスCVDモードのオペレーションで空気または酸素のフローと同時に反応チャンバへ流して核生成層を形成する。有利には、Ru膜の核生成は、ALDモードのオペレーションの中でよりもパルスCVDモードのオペレーションでより速く進むことが分かった。その後、次の期間Bにおいて、ルテニウムシクロペンタジエニル化合物と空気のパルスを、ALDモードのオペレーションで逐次的かつ交互のパルスとして反応チャンバへ流し、膜の結晶質を改善する。その後、膜の堆積は、所望のように、最適のステップカバレージを達成するためにALDモードのオペレーションで継続することもでき、あるいは、より高い堆積速度を得るためにパルスCVDモードのオペレーションで継続することもできる。別の堆積スキームでは、酸化ルテニウムのパルスCVDを、規則的にALDモードのオペレーションの数サイクルの堆積と交互に行うことができる。パルスCVDは比較的高い堆積速度で大多数の膜を堆積する役目を果たし、ALDは膜の質を改善する役目をする。
パルスCVDモードのオペレーションの別の利点は、それが膜の酸素含有量に影響を及ぼすことが可能なことである。酸化ルテニウムは導電性の酸化物であり、用途によっては、その膜にある程度の酸素を組み込むことが有用であり得る。ALDプロセスでは、堆積膜に酸素を組み込むことは困難であることが分かっている。
あるいは、核生成層は、ソース材料としてAlClまたはトリメチルアルミニウム(TMA)とHOを使用して、ALDプロセスによって形成することができる。次いで、パルスCVDによって、最終的にはいくつかのALDサイクルを周期的に割り込ませることによって、ルテニウム膜を形成することができる。
[実施例5]
TaCN/TiNラミネートフィルムを、温度約400℃で堆積する。但し、TaCN膜はALDで堆積し、TiN膜はパルスCVDで堆積する。TiN膜は導体や拡散バリアとして使用されるが、容易に酸化する可能性がある。あるいは、TiN膜下の表面が酸化する可能性がある。何故ならば、TiNの柱状組織は、酸素が表面に達することを可能にする酸素拡散路として作用する可能性があるからである。TaCN膜もまた酸化する可能性がある。しかし、膜の多結晶の(微結晶)性により、酸素の拡散路を形成することがないので、好都合には、下にあるTiN膜を保護することができる。TaCN膜は、温度約400℃で、TaFおよびテトラメチルジシラザン(TMDS、HN(HSi(CH)の逐次的かつ交互のパルスで堆積する。シラザンは、直鎖または分枝鎖を有する飽和のケイ素−窒素水素化物である。これらはシロキサンに類似しており、シロキサンの−O−を−NH−に置換した構造である。TMDSの構造を図6に示す。TMDSに加えて、他のシラザン、例えば、ケイ素原子に1〜6個のメチル基または1〜6個のエチル基が結合したジシラザン分子、あるいは、ケイ素原子にメチル基とエチル基が組み合わせて結合したジシラザン分子も使用することができる。ジシラザンの使用はその単純性および高い蒸気圧のために特に有利であるが、他の実施形態において、任意のシラザン、例えば、トリシラザンを使用することもできる。TaCN膜を出発膜として使用して、TiNがその下にある表面と反応するのを防止し、かつ/またはTiN膜を介した酸素拡散から下にある基板を保護することができる。あるいは、TaCN膜をTiN膜の上に使用して、周囲の酸素による酸化からこれを保護することもできる。他の実施形態では、TiCN膜をTiN膜の堆積と交互に堆積して、ナノラミネート膜を形成することができる。
[実施例6]
金属およびシリコンを含む混合窒化膜を堆積する。ハロゲン化シリコンまたはアルキルシラザンとNHを使用したALDによる窒化シリコンの堆積は徐々に進行し、1サイクル当たりの堆積材料が制約されるので、パルスCVD法のオペレーションを窒化シリコンの堆積に使用し、ALD法のオペレーションを金属窒化物に使用するのが有利である。具体例としては、TMAの分解を防ぐために温度約350℃未満でTMA、SiClおよびNHを使用したAlSiNの堆積がある。あるいは、SiClおよびNHと組み合わせてAlClをアルミニウムソース材料として使用することもできる。この場合、堆積温度の選択は比較的自由であり、約350〜約600℃の温度を使用することができる。
[実施例7]
別の例では、ソース材料としてTiClとNHを使用して、パルスCVDプロセスによってTiN膜を堆積する。TiN膜は、窒化シリコンで膜をドープすることにより改善される。窒化シリコン膜は、シリコン源とNHのALDサイクルを使用して堆積される。シリコン源は、好ましくはハロゲン化シリコンであり、より好ましくはSiClである。特に、わずかのドーピングが望ましい場合は、ALDによって正確なドーピングが可能であり、膜の主成分を堆積するパルスCVDプロセスと膜のドーピング成分を堆積するALDプロセスの組合せが有利である。このプロセスは、約300℃〜約600℃の温度、好ましくは約450℃の温度で行うことができる。膜のドーピングにパルスCVDプロセスとALDプロセスを組み合わせて使用することは、TiN膜のドーピングに限定されず、任意の膜のドーピングに利用することができる。
したがって、当分野の技術者は、本発明の範囲から逸脱することなく上述のプロセスに様々な省略、追加および修正を行うことができることを理解するであろう。こうした修正および変更はすべて本発明の範囲内にあるように意図されており、これらは添付されたクレームによって定義される。
本発明のいくつかの好ましい実施形態による反応物パルス配列図である。 本発明の別の好ましい実施形態による別の反応物パルス配列図である。 本発明のさらに別の好ましい実施形態によるさらに別の反応物パルス配列図である。 本発明の別の好ましい実施形態による別の反応物パルス配列図である。 本発明の別の好ましい実施形態によるさらに別の反応物パルス配列図である。 テトラメチルジシラザン分子の構造を示す図である。

Claims (40)

  1. 反応チャンバにおいて基板上に多成分系薄膜を堆積する方法であって、
    複数回の堆積サイクルを行って前記多成分系薄膜を堆積することを含み、前記堆積サイクルの各々が、
    期間A中に、逐次的かつ交互のパルスで前記反応チャンバの中へ第1および第2反応物を流し、前記第1および第2反応物を前記基板上に自己制御的に堆積することによって、前記基板上に前記膜の第1成分を堆積すること、
    期間B中に、相互に反応性がある第3および第4反応物を用いて、前記第4反応物への前記基板の暴露時間の合計が前記第3反応物への前記基板の暴露時間の合計より長くなるように、前記反応チャンバの中へ前記第3反応物を流しつつ、同時に前記反応チャンバの中へ前記第4反応物を流すことによって、前記膜の第2成分を堆積するこ
    を含む方法。
  2. 前記膜の前記第2成分を堆積することが、前記期間B中に前記反応チャンバの中へ前記第3および第4反応物をパルスで流すことを含む、請求項1に記載の方法。
  3. 前記第4反応物のパルスの総数が前記第3反応物のパルスの総数より多い、請求項2に記載の方法。
  4. 前記第4反応物の各パルスの持続時間が前記第3反応物の各パルスの持続時間より長く、その結果、前記第3反応物の各パルスが前記第4反応物のパルスと時間的に完全にオーバーラップする、請求項2に記載の方法。
  5. 前記膜の前記第1成分を堆積することが、前記第1反応物および前記第2反応物の各々の複数のパルスを流すことを含む、請求項1に記載の方法。
  6. 前記膜の前記第1成分を堆積することと前記膜の前記第2成分を堆積することとを逐次的に繰り返すことをさらに含む、請求項1に記載の方法。
  7. 前記第2反応物がオキシダントである、請求項1に記載の方法。
  8. 前記オキシダントが、O、OおよびHOからなる群から選択される、請求項7に記載の方法。
  9. 前記第4反応物が窒素源またはオキシダントである、請求項8に記載の方法。
  10. 前記窒素源がNHである、請求項9に記載の方法。
  11. 前記オキシダントがOまたはOである、請求項9に記載の方法。
  12. 前記第1および第3反応物が金属を含む、請求項9に記載の方法。
  13. 前記第1および第3反応物が異なる金属を含む、請求項12に記載の方法。
  14. 前記第1および第3反応物が同一の金属を含む、請求項12に記載の方法。
  15. 前記第1および第3反応物が金属ハロゲン化物である、請求項12に記載の方法。
  16. 前記第1および第3反応物が同一である、請求項9に記載の方法。
  17. 前記膜の前記第1成分を堆積することが核生成層を形成することを含む、請求項1に記載の方法。
  18. 前記膜の前記第2成分を堆積することが、前記核生成層を形成する材料と同じ材料を堆積することを含む、請求項17に記載の方法。
  19. 前記膜の前記第1成分を堆積することが、前記膜の前記第2成分を堆積することの前に行われる、請求項1に記載の方法。
  20. 期間A中に、逐次的かつ交互のパルスで前記反応チャンバの中へ第1および第2反応物を流すことが原子層堆積を構成し、期間B中に、前記反応チャンバの中へ第3反応物を流しつつ、同時に前記反応チャンバの中へ第4反応物を流すことがパルス化学気相堆積を構成し、パルス化学気相堆積が原子層堆積の前に起こる、請求項1に記載の方法。
  21. 基板上に膜を堆積する方法であって、
    複数回の堆積サイクルを行って前記膜を堆積することを含み、前記堆積サイクルの各々が、
    期間A中に、少なくとも2つの相互に反応性がある反応物の時間的に分離されたパルスに前記基板を暴露して、1パルス当たり単分子層以下の、前記少なくとも2つの相互に反応性がある反応物の元素を含む化合物を堆積することと
    期間B中に、相互に反応性がある第3および第4反応物を用いて、前記第4反応物に前記基板を暴露しながら、前記第3反応物のパルスの各々の間の間隔が、前記第3反応物の直前のパルスの持続時間の少なくとも1.75倍になるように、前記第3反応物の1つまたは複数のパルスに前記基板を暴露するこ
    を含む方法。
  22. 前記間隔が、前記第3反応物の直前のパルスの持続時間の少なくとも2倍である、請求項21に記載の方法。
  23. 前記少なくとも2つの相互に反応性がある反応物の時間的に分離されたパルスに前記基板を暴露することが、前記基板を保持する反応チャンバの中へ前記少なくとも2つの相互に反応性がある反応物の各々を逐次的に流すことを含む、請求項21に記載の方法。
  24. 前記第3反応物の1パルス当たり単分子層以上の材料を堆積する、請求項21に記載の方法。
  25. 期間B中に、前記基板を前記第4反応物に連続的に暴露する、請求項21に記載の方法。
  26. 前記第4反応物に前記基板を暴露させながら、前記第3反応物の1つまたは複数のパルスに前記基板を暴露することが、
    前記基板を前記第3反応物および前記第4反応物に同時に暴露することと、
    続いて前記基板を保持する反応チャンバから反応物を除去することと、
    続いて前記第4反応物に前記基板を暴露することと
    を含む、請求項21に記載の方法。
  27. 同時に暴露することと、続いて除去することと、続いて暴露することとが1サイクルを構成しており、前記第4反応物に前記基板を暴露させながら、前記第3反応物の1つまたは複数のパルスに前記基板を暴露することが、2サイクル以上を行うことを含む、請求項26に記載の方法。
  28. 1サイクル当たり、前記第3反応物の1パルスに前記基板を暴露する、請求項27に記載の方法。
  29. 続いて暴露することが、同時に暴露すること中の前記第4反応物の流量に対して、前記第4反応物の流量を上げることを含む、請求項28に記載の方法。
  30. 続いて除去することが、前記反応チャンバから反応物をパージすることまたは排気することを含む、請求項26に記載の方法。
  31. 続いて除去することが、前記反応チャンバから反応物をパージすることまたは排気することを含む、請求項26に記載の方法。
  32. 続いて暴露することの後で、かつ同時に暴露することの前に、前記反応チャンバから反応物を除去することをさらに含む、請求項26に記載の方法。
  33. 基板上に金属化合物の膜を堆積する方法であって、
    期間A中に、金属源反応物と反応性がある第1反応物に前記基板を暴露しながら、前記金属源反応物の1つまたは複数のパルスに前記基板を暴露して前記金属源反応物1パルス当たり8単分子層以下の金属化合物を堆積し、それによって、金属化合物を含む膜を形成すること、および
    期間B中に、前記基板が金属前駆体に暴露されないように、前記金属化合物膜と反応性がある第2反応物のパルスに前記基板を暴露するこ
    を含む方法。
  34. 前記金属源反応物1パルス当たり5単分子層未満の前記金属化合物が堆積される、請求項33に記載の方法。
  35. 前記期間A中に、他の金属源反応物の1つまたは複数のパルスに前記基板を暴露することをさらに含む、請求項33に記載の方法。
  36. 前記金属源反応物が金属ハロゲン化物である、請求項33に記載の方法。
  37. 前記第1反応物が窒素前駆体である、請求項36に記載の方法。
  38. 前記窒素前駆体がアンモニアである、請求項37に記載の方法。
  39. 前記第2反応物が酸素前駆体である、請求項36に記載の方法。
  40. 前記酸素前駆体がオゾンである、請求項39に記載の方法。
JP2008162014A 2007-06-21 2008-06-20 パルスcvdとaldの併用による薄膜の堆積方法 Active JP5086912B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/766,625 US8017182B2 (en) 2007-06-21 2007-06-21 Method for depositing thin films by mixed pulsed CVD and ALD
US11/766,625 2007-06-21

Publications (2)

Publication Number Publication Date
JP2009004786A JP2009004786A (ja) 2009-01-08
JP5086912B2 true JP5086912B2 (ja) 2012-11-28

Family

ID=40136791

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008162014A Active JP5086912B2 (ja) 2007-06-21 2008-06-20 パルスcvdとaldの併用による薄膜の堆積方法

Country Status (3)

Country Link
US (1) US8017182B2 (ja)
JP (1) JP5086912B2 (ja)
KR (1) KR101416839B1 (ja)

Families Citing this family (406)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101488855B1 (ko) 2006-03-10 2015-02-04 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 티타네이트, 란타네이트 및 탄탈레이트 유전막의 원자층 증착 및 화학 증기 증착용 전구체 조성물
US7691757B2 (en) * 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
KR101657029B1 (ko) * 2008-07-24 2016-09-12 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 전이 금속 함유 필름의 침착을 위한 헤테로렙틱 시클로펜타디에닐 전이 금속 전구체
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8142862B2 (en) * 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) * 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) * 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
KR101676060B1 (ko) * 2009-03-17 2016-11-14 엔테그리스, 아이엔씨. 보조 금속 종과 함께 루테늄을 침착시키기 위한 방법 및 조성물
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5774822B2 (ja) * 2009-05-25 2015-09-09 株式会社日立国際電気 半導体デバイスの製造方法及び基板処理装置
JP5787488B2 (ja) * 2009-05-28 2015-09-30 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011066263A (ja) * 2009-09-18 2011-03-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8652573B2 (en) * 2010-07-15 2014-02-18 Asm International N.V. Method of CVD-depositing a film having a substantially uniform film thickness
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9790594B2 (en) 2010-12-28 2017-10-17 Asm Ip Holding B.V. Combination CVD/ALD method, source and pulse profile modification
US8524322B2 (en) * 2010-12-28 2013-09-03 Asm International N.V. Combination CVD/ALD method and source
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10707082B2 (en) * 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
TWI586828B (zh) * 2012-02-10 2017-06-11 財團法人國家同步輻射研究中心 原子層沈積之摻雜方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9443736B2 (en) 2012-05-25 2016-09-13 Entegris, Inc. Silylene compositions and methods of use thereof
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) * 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014124056A1 (en) 2013-02-08 2014-08-14 Advanced Technology Materials, Inc. Ald processes for low leakage current and low equivalent oxide thickness bitao films
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9553160B2 (en) * 2013-10-09 2017-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for monitoring impurity in high-K dielectric film
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10214817B2 (en) 2013-10-16 2019-02-26 The Board Of Trustees Of The University Of Illinois Multi-metal films, alternating film multilayers, formation methods and deposition system
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP5687328B2 (ja) * 2013-12-11 2015-03-18 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
WO2015140933A1 (ja) 2014-03-18 2015-09-24 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び記録媒体
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
JP6469495B2 (ja) 2015-03-30 2019-02-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9613870B2 (en) 2015-06-30 2017-04-04 International Business Machines Corporation Gate stack formed with interrupted deposition processes and laser annealing
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
LU92795B1 (en) 2015-08-10 2017-02-14 Luxembourg Inst Science & Tech List SIO2 thin film produced by atomic layer deposition at room temperature
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11365481B2 (en) * 2015-10-06 2022-06-21 City University Of Hong Kong Homogeneous and transparent protective coatings for precious metals and copper alloys
IL258508B1 (en) * 2015-10-06 2024-06-01 Versum Mat Us Llc Methods for depositing a conformal metal or layer of metal-like silicon nitride
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
KR101820237B1 (ko) 2016-04-29 2018-01-19 한양대학교 산학협력단 가압식 금속 단원자층 제조 방법, 금속 단원자층 구조체 및 가압식 금속 단원자층 제조 장치
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US11015243B2 (en) 2017-10-18 2021-05-25 Iucf-Hyu (Industry-University Cooperation Foundation Hanyang University) Method and apparatus for forming layer, metal oxide transistor and fabrication method thereof
KR102214218B1 (ko) * 2017-10-18 2021-02-10 한양대학교 산학협력단 막 제조방법 및 그 제조장치
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20200140391A (ko) * 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11761081B2 (en) * 2018-10-10 2023-09-19 Entegris, Inc. Methods for depositing tungsten or molybdenum films
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US20200181770A1 (en) * 2018-12-05 2020-06-11 Asm Ip Holding B.V. Method of forming a structure including silicon nitride on titanium nitride and structure formed using the method
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2022523689A (ja) 2019-01-28 2022-04-26 ラム リサーチ コーポレーション 金属膜の蒸着
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US20200263297A1 (en) * 2019-02-14 2020-08-20 Asm Ip Holding B.V. Deposition of oxides and nitrides
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR102619482B1 (ko) * 2019-10-25 2024-01-02 에이에스엠 아이피 홀딩 비.브이. 막 증착 공정에서의 정상 펄스 프로파일의 변형
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
JP7112793B2 (ja) * 2019-11-06 2022-08-04 株式会社クリエイティブコーティングス 成膜方法及び成膜装置
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) * 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
LU102344B1 (en) 2020-12-21 2022-06-21 Fyzikalni Ustav Av Cr V V I A semiconductor having increased dopant concentration, a method of manufacturing thereof and a chemical reactor
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113981418B (zh) * 2021-10-29 2024-02-02 湖南第一师范学院 一种纳米薄膜制备方法及其设备
US11961716B2 (en) 2021-12-09 2024-04-16 Industrial Technology Research Institute Atomic layer deposition method

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4927670A (en) * 1988-06-22 1990-05-22 Georgia Tech Research Corporation Chemical vapor deposition of mixed metal oxide coatings
JPH0293071A (ja) * 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JP2936623B2 (ja) * 1990-02-26 1999-08-23 日本電気株式会社 半導体装置の製造方法
US5279857A (en) 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
US5208069A (en) * 1991-10-28 1993-05-04 Istituto Guido Donegani S.P.A. Method for passivating the inner surface by deposition of a ceramic coating of an apparatus subject to coking, apparatus prepared thereby, and method of utilizing apparatus prepared thereby
JP2506539B2 (ja) * 1992-02-27 1996-06-12 株式会社ジーティシー 絶縁膜の形成方法
US5342652A (en) * 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JP3265042B2 (ja) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5246881A (en) 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
DE69517158T2 (de) * 1994-11-30 2001-01-25 Micron Technology Inc Verfahren zum auftragen von wolframnitrid unter verwendung eines silicium enthaltenden gases
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
US5595784A (en) * 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
KR0155918B1 (ko) * 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
EP0841690B1 (en) * 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
FI104383B (fi) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP2001068468A (ja) * 1999-08-30 2001-03-16 Tokyo Electron Ltd 成膜方法
FI117942B (fi) * 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
US6902763B1 (en) 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
EP1266054B1 (en) * 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR20010114050A (ko) 2000-06-20 2001-12-29 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
FI109770B (fi) * 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
WO2002090614A1 (en) * 2001-03-20 2002-11-14 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
KR100427030B1 (ko) * 2001-08-27 2004-04-14 주식회사 하이닉스반도체 다성분계 박막의 형성 방법 및 그를 이용한 커패시터의제조 방법
JP4938962B2 (ja) * 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. ゲッタリング反応物を用いるaldによる金属窒化物堆積
IL146079A (en) * 2001-10-19 2004-06-20 Michael Kedem Rounded precious stone
US6638879B2 (en) * 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
EP1485513A2 (en) * 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
WO2004006321A1 (ja) * 2002-07-08 2004-01-15 Kansai Technology Licensing Organization Co.,Ltd. シリコン窒化膜の形成方法および形成装置
JP2004047660A (ja) * 2002-07-11 2004-02-12 Hitachi Ltd 成膜装置及び成膜方法
EP1523763A4 (en) * 2002-07-18 2008-12-24 Aviza Tech Inc MOLECULAR LAYER DEPOSITION OF THIN FILMS WITH MIXED COMPONENTS
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6984592B2 (en) * 2002-08-28 2006-01-10 Micron Technology, Inc. Systems and methods for forming metal-doped alumina
US6869876B2 (en) * 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
CA2459431A1 (en) * 2003-03-03 2004-09-03 Greatbatch-Hittman, Inc. Low polarization coatings for implantable electrodes
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
US7115528B2 (en) * 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP4410497B2 (ja) * 2003-06-17 2010-02-03 東京エレクトロン株式会社 成膜方法
US6881636B2 (en) * 2003-07-03 2005-04-19 Micron Technology, Inc. Methods of forming deuterated silicon nitride-containing materials
US7378129B2 (en) 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
KR20060079201A (ko) * 2003-09-17 2006-07-05 아익스트론 아게 불연속 주입을 이용한 층의 증착 방법 및 증착 장치
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
TW200529325A (en) * 2003-09-30 2005-09-01 Aviza Tech Inc Growth of high-k dielectrics by atomic layer deposition
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US20070026621A1 (en) * 2004-06-25 2007-02-01 Hag-Ju Cho Non-volatile semiconductor devices and methods of manufacturing the same
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
KR100640654B1 (ko) * 2005-07-16 2006-11-01 삼성전자주식회사 ZrO2 박막 형성 방법 및 이를 포함하는 반도체 메모리소자의 커패시터 제조 방법
US7550385B2 (en) 2005-09-30 2009-06-23 Intel Corporation Amine-free deposition of metal-nitride films
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
US7880382B2 (en) * 2006-03-08 2011-02-01 Toppan Printing Co., Ltd. Organic electroluminescence panel and manufacturing method of the same
US20070212859A1 (en) * 2006-03-08 2007-09-13 Paul Carey Method of thermal processing structures formed on a substrate
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7691757B2 (en) * 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US8012532B2 (en) * 2007-12-18 2011-09-06 Micron Technology, Inc. Methods of making crystalline tantalum pentoxide
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition

Also Published As

Publication number Publication date
JP2009004786A (ja) 2009-01-08
KR20080112963A (ko) 2008-12-26
US8017182B2 (en) 2011-09-13
KR101416839B1 (ko) 2014-07-08
US20080317972A1 (en) 2008-12-25

Similar Documents

Publication Publication Date Title
JP5086912B2 (ja) パルスcvdとaldの併用による薄膜の堆積方法
KR101672417B1 (ko) 유전층 상의 전도성 물질의 플라즈마 향상 원자층 증착
TWI426547B (zh) 用於批次原子層沈積反應器之處理製程
EP1238421B1 (en) Apparatus and method for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100766843B1 (ko) 순환 증착을 통한 금속 규소 질화물 필름의 제조
US6540838B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US8361910B2 (en) Pretreatment processes within a batch ALD reactor
US6475910B1 (en) Radical-assisted sequential CVD
KR101427142B1 (ko) 금속 규산염 막의 원자층 증착
US8329599B2 (en) Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
JP2006522225A (ja) 窒化ハフニウム堆積の方法
JP2007506863A (ja) 二酸化ケイ素含有層を形成する原子層堆積方法
KR102555781B1 (ko) 주석-함유 전구체들 및 주석-함유 막들을 증착시키는 방법들
TWI837142B (zh) 形成含鉻膜的方法與以含氧化鉻膜或含鉻膜填充縫隙的方法
KR20220087543A (ko) 저 저항률 금속 함유 필름들을 성장시키기 위한 방법들
TW202334477A (zh) 將薄膜沉積於基板上之反應器

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090703

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090703

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090709

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110825

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111130

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120821

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120907

R150 Certificate of patent or registration of utility model

Ref document number: 5086912

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150914

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250