JP2006522225A - 窒化ハフニウム堆積の方法 - Google Patents

窒化ハフニウム堆積の方法 Download PDF

Info

Publication number
JP2006522225A
JP2006522225A JP2006507521A JP2006507521A JP2006522225A JP 2006522225 A JP2006522225 A JP 2006522225A JP 2006507521 A JP2006507521 A JP 2006507521A JP 2006507521 A JP2006507521 A JP 2006507521A JP 2006522225 A JP2006522225 A JP 2006522225A
Authority
JP
Japan
Prior art keywords
hafnium
precursor
group
reaction
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006507521A
Other languages
English (en)
Inventor
クレイグ メッツナー,
シュリヤス ヘール,
ヨン, クワン キム,
エム., ノエル ロックライン,
スティーヴン, エム. ジョージ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006522225A publication Critical patent/JP2006522225A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本発明は、一般的には、高k誘電体層を形成する方法であって、原子層堆積によってハフニウム化合物を基板に堆積するステップであって、ハフニウム前駆物質を基板の表面に分配するステップと、ハフニウム前駆物質を反応させるステップと、ハフニウム含有層を表面に形成するステップとを含む、前記ステップと、窒素前駆物質をハフニウム含有層に分配するステップと、少なくとも1つのハフニウム窒素結合を形成するステップと、ハフニウム化合物を表面に堆積するステップとを含む、前記方法である。

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的には、基板上に物質を堆積する方法、更に詳細には、原子層堆積プロセスを用いた金属酸化物、金属窒化物、金属酸窒化物、金属ケイ酸塩、金属酸窒化シリコンを堆積するための方法に関する。
[0002]半導体処理、フラットパネルディスプレイ処理又は他の電子デバイス処理の分野において、化学気相堆積は基板上に膜を形成するのに重要な役割を果たしてきた。電子デバイスの特徴部が縮小し続け且つデバイスの密度が増加し続けるにつれて、特徴部のサイズやアスペクト比は更に攻撃的になり、例えば、特徴部サイズが0.07ミクロン、アスペクト比が10以上が考えられている。従って、これらデバイスを形成する物質のコンホーマルな堆積がますます重要になっている。
[0003]従来の化学気相堆積は0.15ミクロンまでのデバイス形やアスペクト比が成功したが、更に攻撃的なデバイス形には新しく革新的な堆積技術が必要である。かなり注目を浴びている一つの技術が原子層堆積(ALD)である。スキームにおいて、反応物は処理チャンバに連続して導入され、そこでは各反応物が基板の表面上で化学吸着し、表面反応が生じる。パージステップは、典型的には各反応ガスの分配間で行われる。パージステップは、反応ガスの分配間でキャリアガス又はパルスパージとの連続パージであってもよい。
[0004]米国特許第6,287,965号は、構造がA-B-N(Aは金属であり、Bは結晶化を防止する元素であり、Nは窒素である。)である金属窒化物層を形成するALD方法を記載している。好適実施形態はTiAlNを作成する方法を教示している。これらの膜に酸素を組込むことは開示されてなく;実際には、本発明は酸素保護の金属窒化物層間に酸素拡散バリヤ層を連続的に積層することによって酸素取込みを除いて教示している。
[0005]“ラジカル援助連続CVD”と称する米国特許第6,200,893号には、水素と酸素又は水素と窒素のようなラジカル種が一サイクルを形成するために分子前駆物質と別のステップで用いられる、基板上にCVD堆積をする方法が記載されている。複合集積膜はその方法の反復サイクルによって作製される。好適実施形態においては、分子前駆物質から堆積された物質は金属であり、交互のステップにおいて、ラジカルは金属前駆物質反応から残されたリガンドを除去するために用いられる。ラジカルは、金属酸化物又は金属窒化物をそれぞれ得るために続いての層で金属表面を酸化又は窒化させる。参考文献の種々の実施形態においては、金属ハフニウムや酸化ハフニウムはハロゲン含有前駆物質から作成される。しかしながら、参考文献は、金属有機化合物から生成された複雑なハフニウム化合物(第三、第四又は第五化合物)に言及していない。更に、参照文献には膜に酸素及び/又は窒素を取込むためのラジカルの使用を必要としている。
[0006]それ故、有機金属化合物から窒化物、ケイ酸塩、酸窒化物、窒化シリコン、酸窒化シリコン、酸窒化アルミニウム、酸窒化シリコンアルミニウムのようなハフニウム化合物を堆積するための方法が求められている。
発明の概要
[0007]一実施形態においては、本発明は基板表面上にハフニウムを含む層を形成するための方法であって、a)基板表面をハフニウム前駆物質に晒して基板表面上にハフニウム含有層を形成するステップと、b)チャンバをパージガスでパージするステップと、c)第二前駆物質とハフニウム含有層を反応させるステップと、d)チャンバをパージガスでパージするステップと、e)第三前駆物質とハフニウム含有層とを反応させるステップと、f)チャンバをパージガスでパージするステップと、g)第四前駆物質とハフニウム含有層とを反応させるステップと、h)チャンバをパージガスでパージするステップとを連続して含む、前記方法である。
[0008]他の実施形態においては、本発明は、ハフニウムを含む層を成長させるための方法であって、基板を連続して少なくとも4種の前駆物質にALDサイクル中に晒してハフニウムと、シリコン、アルミニウム、酸素及び窒素からなる群より選ばれた少なくとも3種の元素を含む化合物膜を堆積させる、前記方法である。
[0009]他の実施形態においては、本発明は、原子層堆積プロセスの間、チャンバ内で基板上にハフニウム化合物を堆積させるための方法であって、ハフニウム前駆物質を含む第一半反応を行うステップと、酸素前駆物質を含む第二半反応を行うステップと、窒素前駆物質を含む第三半反応を行うステップと、シリコン前駆物質を含む第四半反応を行うステップとを含む、前記方法である。
[0010]他の実施形態においては、本発明は、HfSixyz(ここで、xは少なくとも0.2で約4未満であり、yは少なくとも約0.5で約4未満であり、zは少なくとも約0.05で約2未満である。)を含む半導体物質の組成物である。
[0011]本発明の上記特徴が詳細に理解され得るように、上で簡単に纏められた本発明の更に具体的な説明は実施形態によってなされるものであり、その一部は添付の図面で示される。しかしながら、添付の図面は本発明の典型的な実施形態のみ示されているので、本発明の範囲を制限するものとみなされず、本発明は他の等しく有効な実施形態を許容することができることに留意すべきである。
好適実施形態の詳細な説明
[0016]本発明は、高k誘電材料を含む様々な用途に用いられるハフニウム化合物を製造するための方法を提供する。方法は、ハフニウム化合物の組成物の元素制御を有するように原子層堆積(ALD)を用いる。元素制御は、一般的には、半反応によって分けられる。
[0017]半反応は以下の反応によって抽象的に示される。
Figure 2006522225
上記のABは生成化合物で、CDは第二化合物又は第二生成物である
[0018]例えば、半反応は以下のステップの各々により示される。
Figure 2006522225
ここで、ステップ1の半反応は、官能基NH2で開始され、*は基板、膜又は表面基の一部である原子又は分子である。ハフニウム前駆物質はNH2基と反応し、Hf-N結合を形成する。リガンドは、ハフニウム前駆物質からプロトン化されて第二生成物を形成する。ステップ2における半反応中に、アンモニアは表面に結合したハフニウム錯体と反応する。残存するリガンドはプロトン化され除去されるが、他のHf-N結合と他の官能基(NH)は生成化合物として形成される。ステップ1とステップ2の各半反応においては、ジエチルアミン(HNEt2)は第二化合物として作成され得る。他の第二化合物は、アミンやヒドラシンであり、ラジカル、イオン、リガンドに対する変形、例えば、Et2N、(Et2N)2、EtNH、(EtNH)2を含んでいる。一般的には、これらの第二化合物は、例えば、真空及び/又はパージによって容易に除去することができる。反応スキームは化学量論的である必要はなく、広い範囲の原子比を有する。開示全体に、反応例は特定の化学量論、生成化合物や第二化合物の結合次数や結合接続性を欠いている。
[0019]他の半反応例は、以下のステップの各々によって示される。
Figure 2006522225
ここで、ステップ3の半反応は、官能基OHによって開始され、Hf-O結合を形成する。ステップ4は、他のHf-O結合と、末端基と官能基機能OHを形成するように進行する。
[0020]それ故、一般的には、第一半反応は第一官能基の反応で開始し、少なくとも1つの生成化合物結合を確立し、第二官能基を確立する。第二半反応は、第二官能基の反応で開始し、少なくとも1つの生成化合物結合を確立し、第三官能基を確立する。多くの例において、第三官能基は、第一官能基と同一か又は類似している。しかしながら、第三官能基が異なるときでさえ、第二半反応は既に完了している。第三、第四、それ以上の生成化合物による例には、2を超える前駆物質との半反応が必要である。それ故、半反応は二成分生成化合物だけに限定されず、あらゆる数の半反応を含有することができる。たいていの半反応は、ガス及び/又は真空パージによって連続して分離される。
[0021]本明細書に記載されるプロセスの実施形態は、多くの基板や表面上にハフニウム含有物質を堆積する。本発明の実施形態が用いることができる基板は、半導体ウエハ、例えば、結晶シリコン(例えば、Si<100>又はSi<111>)、酸化シリコン、シリコンゲルマニウム、ドープされた又はドープされていないポリシリコン、ドープされた又はドープされていないシリコンウエハ、窒化シリコン、パターン形成されたウエハ又はパターン形成されていないウエハを含むが、これらに限定されない。基板は、誘電特性、導電特性、バリヤ特性を有するベアシリコンウエハ、膜、層であり、酸化アルミニウム、ポリシリコンを含んでいる。基板の前処理は、研磨、エッチング、還元、酸化、ヒドロキシル化、アニーリング、ベーキングを含んでいる。
[0022]基板は、種々の官能基、例えば、ヒドロキシル(OH)、アルコキシ(OR、ここで、R=Me、Et、Pr又はBu)、ハロキシル(OX、ここで、X=F、Cl、Br又はI)、ハライド(F、Cl、Br又はI)、酸素ラジカル、アミノ(NH又はNH2)、アミド(NR又はNR2、ここで、R=Me、Et、Pr又はBu)で終わるように前処理され得る。前処理は、試薬、NH3、B2、SiH4、SiH、H2O、HF、HCl、O2、O3、H22、H2、原子状H、原子状N、原子状O、アルコール又はアミンを投与することによって達成され得る。
[0023]一旦基板の表面が前処理されると、ALDサイクルが開始する。ハフニウム化合物にの多くの場合、ハフニウム前駆物質の吸着は、ある種のプロセス条件下で自己制限され、一般的にはこの挙動を示すために低温(<500℃)でなければならない。ハフニウム前駆物質に関して自己制限する半反応のある例は以下を含んでいる。
Figure 2006522225
ここで、ハフニウムは*O-Hf(NEt2)x又は*N-Hf(NEt2)xのどちらかを生成するために加えられる。窒素又は酸素のような原子は、基板又は表面にハフニウム原子を固定し得る。*Hf(NEt2)xは、ハフニウム前駆物質は更に反応しないことから、自己制限し、それ故、これは第一半反応である。他の半反応と進行させるために、酸素源(例えば、水)又は窒素源(例えば、アンモニア)のいずれかが加えられる。
[0024]ハフニウム前駆物質との第一半反応は、第二、第三、第四、それ以上の複雑な化合物を作成するために一連の多くの半反応を開始する。第一半反応はハフニウム前駆物質を含む必要はないが、具体的な元素が膜に取込まれるあらゆる前駆物質を含み得る。以下の例は、更に明瞭に本発明の態様を説明するために第一半反応としてハフニウム前駆物質を表現する。
[0025]本発明の一実施形態は、NH3*Hf(NEt2)xとの半反応を進行させて*Hf-NHを生成するプロセスに関する。窒化ハフニウムは、ハフニウム前駆物質の半反応と窒素源の半反応を順次進行させることにより合成される。図1は、(Et2N)4Hfを約0.01秒〜約10秒間、好ましくは約0.25秒間投与し、不活性ガスパージを約0.01秒〜約20秒間、好ましくは約0.25秒間投与することにより開始される半反応を示している。その後、第二半反応は、NH3を約0.01秒〜約10秒間、好ましくは約0.25秒間、不活性ガスを約0.01秒〜約20秒間、好ましくは約0.25秒間投与することにより開始される。2つの半反応は、1サイクルにつき約50ng/cm2の割合で窒化ハフニウム膜を成長させるために数回かサイクルされる。サイクル時間、温度、圧力及び/又は濃度を変化させることによって、生成化合物の化学量論が制御される。化学量論のわずかな変化は電気的性質に影響を与え得る。例えば、Hf34は絶縁材料であり、HfNは導電材料である。一実施形態においては、HfNは硝酸塩を含まないハフニウム前駆物質から作成される。硝酸塩は酸素/窒素比率3を含有するので、窒化ハフニウム膜は酸素不純物を有し得る。
[0026]一実施形態においては、原子層堆積により半導体物質を形成するための方法は、ハフニウム前駆物質及び窒素前駆物質を連続且つ周期的にパルスするステップを含んでいる。窒化ハフニウムは基板表面に堆積され、ここで、窒化ハフニウムは式HfNxを有し、xは少なくとも約1.1で約1.3未満である。一態様においては、ハフニウム前駆物質はTDEAHであり、窒素前駆物質はNH3である。他の態様においては、ハフニウム前駆物質はHfCl4であり、窒素前駆物質はラジカル窒素、原子状窒素である。
[0027]本発明の他の実施形態は、H2Oと*Hf(NEt2)xとの半反応を進行させ、*Hf-OHを生成するプロセスに関する。酸化ハフニウムは、ハフニウム前駆物質の半反応と酸素源の半反応を連続して進行させることによって合成される。図2は、(Et2N)4Hfを約0.01秒〜約10秒間投与することにより開始し、不活性ガスパージを約0.01秒〜約20秒間投与する半反応を示す図である。その後、第二半反応は、H2Oを約0.01秒〜約10秒間、不活性ガスパージを約0.01秒〜約20秒間投与することにより開始される。2つの半反応は、約1.2オングストローム毎サイクルの割合で酸化ハフニウム膜を成長させるために数回サイクルを行う。
[0028]上記のように、窒化ハフニウム又は酸化ハフニウム膜を成長させるためのプロセスは、他の物質、即ち、第三化合物を達成するために変性させることができる。窒化ハフニウムは多孔質であり、水と反応して酸窒化ハフニウム、Hf-O-Nを形成する。それ故、窒化ハフニウムサイクルに酸素源(例えば、水)の半反応を加えて酸窒化ハフニウムを合成する。Hf:O:Nの比率は、生成化合物の所望の特性に制御し変化させる。一実施形態においては、酸素前駆物質半反応が半反応サイクルに含まれる。このようなサイクルはハフニウム前駆物質半反応と、窒素前駆物質半反応と、他のハフニウム前駆物質半反応と、酸素前駆物質半反応とを含んでいる。酸素前駆物質半反応は、ハフニウムと窒素前駆物質半反応に相対してあらゆる比率でサイクルに加えることができる。一例として、酸素前駆物質半反応は、ハフニウムと窒素前駆物質半反応の完全な10サイクル毎に加えることができる。更に、比率は膜の深さによって酸素の化学量論を制御するために変化させることができる。従って、段階的な膜が形成される。一実施形態においては、プロセス条件は次の通りであり、圧力が約1Torrであり、温度が約225℃であり、アルゴンキャリアフローが約200sccmであり、H2OとNH3が約1秒〜約4秒の範囲でアルゴンキャリアフローに投与され、TDEAHが約20秒間投与される
[0029]段階的な膜は、様々な物質間の転移に使用し得る。一実施形態は、窒化ハフニウムと酸化ハフニウム間の転移に方法を用いる。窒化ハフニウム膜内の元素比N:Hf:Oは10:10:0から出発し、10:10:1に進行し、5:10:5に進行し、1:10:10、最終的に0:10:10に進行し、堆積後の晒された表面の膜は酸化ハフニウムである。段階的な膜は、有利な特性を有する。例えば、膜の深さ全体に電気的性質の制御が可能であり、膜の接着レベルが高い。
[0030]追加の実施形態は、酸窒化ハフニウムを合成するための方法を含んでいる。窒化ハフニウムは多孔質であるために、複数の層が酸素過剰になりやすい。半反応によって各表面層に酸素を取込む代わりに、窒化ハフニウムの複数の層に浸透させ且つ段階的な酸窒化ハフニウム膜を形成するために過剰な酸素前駆物質(例えば、水)が用いられる。例えば、
Figure 2006522225
それ故、窒化ハフニウム膜は、ALD、CVD、PVD又は他の手法によって形成することができ、その後、酸素前駆物質で酸素化することができる。
[0031]本発明の他の実施形態は、シリコンを取込んでいる第三窒化ハフニウム化合物を合成するための方法を含んでいる。好ましいシリコン前駆化合物は、(Me2N)4Siや(Me2N)3SiHを含んでいる。一実施形態においては、シリコン前駆物質半反応は、窒化ハフニウム形成に関する半反応サイクル内に含まれている。サイクルは、ハフニウム前駆物質半反応と、窒素前駆物質半反応と、シリコン前駆物質半反応と、他の窒素前駆物質半反応とを含んでいる。シリコン前駆物質半反応は、ハフニウムと窒素の前駆物質半反応に相対してあらゆる比率でサイクルに加えられる。一例として、シリコン前駆物質半反応は、ハフニウムと窒素の前駆物質半反応の完全な2サイクル毎に加えられる。更に、比率は膜の深さによって取込まれるシリコンの比率を制御するために変化させることができる。酸窒化ハフニウムと同様に、方法はHf:Si:Nの化学量論を制御を可能にする。
[0032]本発明の他の実施形態は、窒素を取込んでいる第三酸化ハフニウム化合物を合成するための方法である。上記と同様に、方法は酸窒化ハフニウムを合成するために酸素と窒素の使用を逆にする。一実施形態においては、窒素前駆物質半反応は、酸化ハフニウムの半反応サイクルに含まれる。サイクルは、ハフニウム前駆物質半反応と、酸素前駆物質半反応と、他のハフニウム前駆物質半反応と、窒素前駆物質半反応とを含んでいる。窒素前駆物質半反応は、ハフニウムと酸素の前駆物質半反応に相対してあらゆる比率でサイクルに加えられる。一例として、窒素前駆物質半反応は、ハフニウムと酸素の前駆物質半反応の完全な2サイクル毎に加えられる。更に、比率は、成長している膜の深さによって組込まれた窒素の比率を制御するために変化させることができる。
[0033]本発明の他の実施形態は、図3A-図3Dに示されるように、シリコンを組込んでいる第三酸化ハフニウム化合物、即ち、ケイ酸ハフニウム(Hf-Si-O)を合成するための方法を含んでいる。一実施形態においては、シリコン源半反応は、酸化ハフニウムの半反応サイクルに含まれる。サイクルは、シリコン前駆物質半反応と、酸素前駆物質半反応と、ハフニウム前駆物質半反応と、他の酸素前駆物質半反応とを含んでいる。パージは各反応間で行われる。シリコン前駆物質半反応は、ハフニウムと酸素の前駆物質半反応に相対してあらゆる比率でサイクルに加えることができる。一例として、シリコン前駆物質半反応は、ハフニウムと酸素の前駆物質半反応の完全な2サイクル毎に加えられる。更に、比率は、膜の深さによって取込まれたシリコンの比率を制御するために変化させることができる。
[0034]本発明の実施形態は、図4A-図4Dに示されるように、第四化合物、特に酸窒化シリコンハフニウム(HfSiON)を合成するための複数の方法を含んでいる。2つの第三化合物(HfSiOとHfSiN)を合成するための方法は、サイクル内でそれぞれ窒化又は酸化されるように変性されて第四錯体HfSiONを形成する。窒素、酸素又はシリコン前駆物質の半反応は具体的なサイクルで加えられ、ハフニウムに相対するN:O:Si比率に完全に制御される。
[0035]一実施形態においては、窒素源半反応はケイ酸ハフニウムの半反応サイクルに含まれる。このようなサイクルは、シリコン前駆物質半反応と、酸素前駆物質半反応と、ハフニウム前駆物質半反応と、窒素前駆物質半反応とを含んでいる。窒素前駆物質半反応は、ハフニウム、シリコン、酸素の前駆物質半反応に相対してあらゆる比率でサイクルに加えることができる。一例として、窒素前駆物質半反応は、ハフニウム、シリコン、酸素の前駆物質半反応の完全な約2サイクル毎に加えることができる。更に、サイクル比率は、膜の深さ内に取込まれた窒素比率を制御するために変化させることができる。ある実施形態は、膜の上面付近で窒素濃度の高い酸窒化ハフニウムシリコンの段階的な膜を成長させる。
[0036]一態様においては、表面は、*SiOH基で終わる。半反応サイクルは、ハフニウム前駆物質、窒素前駆物質、シリコン前駆物質、酸素前駆物質と行われ、各々パージで分離される。各々の前駆物質は、TDEAH、アンモニア、トリス-DMAS、水であり得る。他の態様においては、各前駆物質はHfCl4、ラジカル窒素、Si2Cl8、O3である。組成物は、HfSixyzを含む半導体物質を形成するように制御され、ここで、xは少なくとも約0.2で約4未満であり、yは少なくとも約0.5で約4未満であり、zは少なくとも約0.05で約2未満である。
[0037]本発明の実施形態は、第五化合物、特に酸窒化ハフニウムアルミニウムシリコン(HfAlSiON)を合成するための複数の方法を含んでいる。ハフニウム、アルミニウム、窒素、酸素、シリコンの前駆物質の半反応は具体的なサイクルで加えられ、ハフニウムに相対してAl:N:O:Si比率に完全に制御される。プロセスの一態様においては、半反応パルスの一サイクルは、それぞれの次数で、水、TDEAH、アンモニア、トリス-DMAS、水、TMAを含む。プロセスの他の態様においては、半反応パルスの一サイクルは、それぞれの次数で、水、HfCl4、アンモニア、トリス-DMAS、水、TMAを含む。
[0038]それ故、あらゆる化学量論の次の化合物:HfO、HfN、HfON、HfSiO、HfSiN、HfSiON、HfAlO、HfAlN、HfAlON、HfSiAlO、HfSiAlN、HfSiAlONがプロセスの方法により作成される。それ故、ALDは、生成化合物の堆積の間、化学量論的制御を与える。化学量論は、堆積プロセス後、例えば、Hf34を熱アニールしてHfNを形成する場合に、様々の手順によって変えることができる。化学量論は、また、堆積の間、前駆物質比率を変えることによって制御される。
[0039]多くの工業的な用途が、本発明の種々の実施形態によって合成された生成化合物が存在する。マイクロエレクトロニクス産業の範囲内の生成化合物は、高kトランジスタゲート誘電材料、トランジスタゲートインタフェース工学、高kキャパシタ誘電材料(DRAM)、シード層、拡散バリヤ層、接着層、絶縁層、導電層、パターン形成表面(例えば、選択堆積)の官能基化表面基として用いられる。マイクロエレクトロメカニカルシステム(MEMS)の分野においては、クレイムされた本発明によって形成された物質は絶縁性、導電性又は構造的な膜として用いられる。物質は、また、静摩擦を減少させるために官能基化表面基として役立ち得る。表面基の追加の官能性は、気体又は液体クロマトグラフィ、化学センサや化学吸着、化学装着のための活性部位、パターン形成面(例えば、コンビナトリアルケミストリ)に用いられる。窒化シリコンは、ツール上や光学デバイス内の硬化コーティングとしても用いられる。
[0040]多くの前駆物質が、本発明の範囲内にある。重要な一前駆物質特性は、好ましい蒸気圧を有することである。前駆物質は、周囲温度と周囲圧でプラズマ、ガス、液体又は固体となることができる。しかしながら、ALDチャンバ内の前駆物質は揮発する。有機金属化合物又は錯体は、金属と少なくとも1種の有機基、例えば、アルキル、アルコキシル、アルキルアミド、アニリドを含有するあらゆる化学物質を含んでいる。前駆物質は、有機金属化合物やハライド化合物から構成される。
[0041]例示的なハフニウム前駆物質は、アルキルアミド、シクロペンタジエニル、ハライド、アルキル、アルコキシド及びその組合わせのようなリガンドを含有するハフニウム化合物を含んでいる。ハフニウム前駆物質として用いられるアルキルアミドハフニウム化合物は、(RR’N)4Hfを含み、ここで、R又はR’は独立して水素、メチル、エチル、プロピル又はブチルである。個々のハフニウム前駆物質は、(Et2N)4Hf、(Me2N)4Hf、(EtMeN)4Hf)、(tBuC54)2HfCl2、(C55)2HfCl2、(EtC54)2HfCl2、(Me55)2HfCl2、(Me55)HfCl3、(iPrC54)2HfCl2、(iPrC54)HfCl3、(tBuC54)2HfMe2、(acac)4Hf、(hfac)4Hf、(tfac)4Hf、(thd)4Hf、Br4Hf、Cl4Hf、I4Hf、(NO3)4Hf、(tBuO)4Hf、(iPrO)4Hf、(EtO)4Hf、(MeO)4Hfを含んでいる。
[0042]例示的なシリコン前駆物質は、アルキルアミドシラン(例えば、(Me2N)4Si、(Me2N)3SiH、(Me2N)2SiH2、(Me2N)SiH3、(Et2N)4Si、(Et2N)3SiH)、Si(NCO)4、MeSi(NCO)3、SiH4、Si26、SiCl4、Si2Cl6、MeSiCl3、HSiCl3、Me2SiCl2、H2SiCl2、シラノール(例えば、MeSi(OH)3、Me2Si(OH)2)、(EtO)4Si、種々のアルコキシシラン(例えば、(RO)4-nSiLn、ここで、R=メチル、エチル、プロピル、ブチル、、L=H、OH、F、Cl、Br又はI及びその混合物である)を含んでいる。また、高級シランは、本発明のプロセスによってシリコン前駆物質として用いられる。高級シランは、各々2002年10月18日に出願され、アプライドマテリアルズ社に譲渡され、各々“シリコン化合物による低温堆積”と称する米国仮特許出願第60/419,426号、同第60/419,376号、同第60/419,504号に開示され、シリコン前駆物質を記載するために本明細書に全体で援用されている。
[0043]例示的な窒素前駆物質は、NH3、N2、ヒドラジン(例えば、N24又はMeN23)、アミン(例えば、Me3N、Me2NH又はMeNH2)、アニリン(例えば、C85NH2)、有機アジド(例えば、MeN3又はMe3SiN3)、無機アシド(例えば、NaN3又はCp2CoN3)及びラジカル窒素化合物(例えば、N3、N2、N、NH又はNH2)を含んでいる。ラジカル窒素化合物は、熱、ホットワイヤ及び/又はプラズマによって生成され得る。
[0044]例示的な酸素前駆物質は、H2O、H22、O3、O2、NO、N2O、NO2、N25、アルコール(例えば、ROH、ここで、R=Me、Et、Pr、Bu)、ペルオキシド(有機、無機)、カルボン酸、ラジカル酸素化合物(例えば、O、O2、O3及びOHラジカル)を含んでいる。ラジカル酸素化合物は、熱、ホットワイヤ及び/又はプラズマにより生成され得る。
[0045]例示的なアルミニウム前駆物質は、アルミニウムアルキル、例えば、Me3Al、Et3Al、Pr3Al、Bu3Al、Me2AlH,Et2AlH、Me2AlCl、Et2AlCl、アルミニウムアルコキシル、例えば、(MeO)3Al、(EtO)3Al、(PrO)3Al及び(BuO)3Al、アルミニウム二量体、アルミニウムハロゲン化物及びアルミニウム水素化物を含んでいる。
[0046]本発明のプロセスは、ALDの技術において知られた装置で行うことができる。装置は、膜を成長させる加熱基板に原料を接触させる。膜を堆積するために使用し得るハードウェアは、アプライドマテリアルズ社、カリフォルニア州サンタクララに譲渡された“高誘電体率膜の堆積用装置”と称する2002年9月20日出願の米国特許出願第10/251.715号に開発されたALD装置であり、装置を説明するために本明細書に全体に援用されている。キャリアガス又はパージガスは、N2、Ar、He、H2、形成ガス及びその混合物を含んでいる。
[0047]一実施形態において、膜のハロゲン汚染を低減するためにキャリアガス、パージ及び/又は反応ガスとして水素ガスが加えられる。ハロゲン原子(例えば、HfCl4、SiCl4及びSi2Cl8)を含む前駆物質は、膜を容易に汚染する。水素は還元剤であり、揮発性で除去可能な副生成物として塩化水素を生成する。それ故、前駆化合物(即ち、ハフニウム、シリコン、アルミニウム、酸素又は窒素前駆物質)と組合わせたとき、水素はキャリアガス又は反応ガスとして用いられ、他のキャリアガス(例えば、Ar又はN2)を含むことができる。一態様においては、水/水素混合物が、約250℃〜約650℃の範囲の温度で、膜のハロゲン濃度を低下させ且つ酸素濃度を増大させるために用いられる。
[0048]本発明は、以下の化合物を調製するための方法を提供する。下付き文字(w、x、y、z)は、化学量論が以下の生成化合物を形成するためにALD投与順序によって故意に変化される(即ち、組成的に制御される)ことを意味する。
アルミン酸ハフニウム:HfAlxy
酸化ハフニウム:HfO2、HfOx
窒化ハフニウム:Hf34、HfN、HfNx
酸窒化ハフニウム:HfOxy
酸窒化ハフニウムアルミニウム:HfAlxyz
ケイ酸ハフニウム:HfSiO4、Hf4SiO10、Hf3SiO6、Hf2SiO6、HfSiO2、HfxSiy2(x+y)及びHfxSiy
ケイ酸アルミニウム:Al6Si213、AlxSiy
ケイ酸ハフニウムアルミニウム:Hf2Al6Si421、HfxAlySiz
窒化ハフニウムシリコン:HfxSiy
酸窒化ハフニウムシリコン:Hf2Si226、HfSixyz
酸窒化アルミニウムシリコン:AlSixyz
酸窒化ハフニウムアルミニウムシリコン:HfAlwSixyz
生成化合物のリストは部分的なものだけであり、他の物質は本発明の方法を用いて調製される。炭素、チタン、タングステン、ルテニウム、タンタル、ジルコニウム、モリブデン、インジウム、ニッケル、銅、スズ、ホウ素又はリンのような他の元素が、生成化合物として膜に取り込まれてもよい。それ故、生成化合物は酸窒化ハフニウムシリコンと炭素を含むことができる。半反応の例は以下に挙げられる。*=表面化学種に留意のこと。
前駆物質と表面ヒドロキシル基(-OH)との反応性
Figure 2006522225
表面ヒドロキシル(-OH)基を再生する表面生成物とH 2 (g) との反応性
Figure 2006522225
表面アミン(-NH 2 、-NH)基を生成する表面生成物とNH 3(g) との反応性
Figure 2006522225
前駆物質と表面アミン基(-NH又は-NH 2 )との反応性
Figure 2006522225
表面アミン基を再生する表面生成物とNH 3 との反応性
Figure 2006522225
表面ヒドロキシル基を生成する表面生成物とH 2 (g) との反応性 Hf-N-
Figure 2006522225
実施例
TDEAH=テトラキスジエチルアミドハフニウム=(Et2N)4Hf
TDMAS=テトラキスジメチルアミノシリコン=(Me2N)4Si
トリスDMAS=トリスジメチルアミノシリコン=(Me2N)3SiH
TMA=トリメチルアルミニウム=Me3Al
[0049]ALDプロセスは約20℃〜約650℃、好ましくは約150℃〜約300℃、更に好ましくは約225℃の温度範囲で維持される。成長した物質は、飽和しているALD挙動が維持されることを想定した広い温度範囲全体で類似することができる。ALDプロセスは、約0.1Torr〜約100Torrの範囲にある圧力、好ましくは約1Torr〜約10Torrの範囲にある圧力で行われる。成長した物質は、飽和しているALD挙動が維持されることを想定した高真空から高圧力まで類似することができる。フローは反応物の分離を促進するために粘稠に維持される。キャリアガス(例えば、N2)は、約50sccm〜約1,000sccmの範囲に、好ましくは約1m/sの速度で約300sccmで維持される。速い速度はパーティクル搬送問題を生じることがあり、遅い速度は効率の悪いパージのためにパーティクル形成を可能にすることがあり、薄膜の電気的挙動に影響する。膜は約2オングストローム〜約1,000オングストローム、好ましくは約5オングストローム〜約100オングストローム、更に好ましくは約10オングストローム〜約50オングストロームの範囲内の厚さで堆積される。
[0050]一実施形態においては、酸化ハフニウム膜は水素ガスの存在下にALDにより成長される。水素は、ハフニウム含有膜内のハロゲン汚染物質(例えば、F又はCl)のレベルを低下させるために用いられる。四塩化ハフニウムを含有し、少なくとも1種のキャリアガス(例えば、Ar、N2、H2)を有するフローAは、水、水素、任意のキャリアガスを含有するフローBで連続してパルスされる。フローAとフローBは約1秒間各々パルスされ、アルゴンのパージフローはフローAとフローBの各パルス間で約1秒間パルスされる。温度は約250℃〜約650℃の範囲に維持される。
[0051]他の例においては、ケイ酸ハフニウム膜は水素ガスの存在下にALDにより成長される。四塩化ハフニウムを含有し、少なくとも1種のキャリアガス(例えば、Ar、N2、H2)を含有するフローAは、水、水素、任意のキャリアガスを含有するフローB、トリス-DMASと少なくとも1種のキャリアガスを含有するフローCで連続してパルスされる。フローA、B、Cは約1秒間各々パルスされ、アルゴンのパージフローはフローA、B、Cの各パルス間で約1秒間パルスされる。温度は約450℃〜約650℃の範囲で維持される。
[0052]他の例においては、酸窒化ハフニウムシリコン膜は水素ガスの存在下にALDにより成長される。四塩化ハフニウムを含有し、少なくとも1種のキャリアガス(例えば、Ar、N2、H2)を有するフローAは、水、水素、任意のキャリアガスを含有するフローB、トリス-DMASと少なくとも1種のキャリアガスを含有するフローC、窒素プラズマと任意のキャリアガスを含有するフローDで連続してパルスされる。フローA、B、C、Dは約1秒間各々パルスされ、アルゴンのパージフローはフローA、B、C、Dの各パルス間で約1秒間パルスされる。温度は約450℃〜約650℃の範囲に維持される。
[0053]物質は、選択された半反応を用いて所望の膜組成又は特性を達成するための交互方式で別個に化学物質を投与することによって堆積される。しかしながら、上記半反応は、得られた膜の正確な結合の結合せい又は化学量論に影響しない。化学量論は熱力学により主として制御される。しかしながら、速度論的に制御された膜を得ることができる。従って、投与順序は、膜の全体組成と品質に作用するように変性することができる。ALD半反応で成長させることができる薄膜物質の種類は一般的に以下の通りである。
1.二つの物質:反応物{A+B}の反復サイクル:例えば、Hf34
2.直接合金:反応物{A+B+C+D}の反復サイクル:例えば、HfSiO4
3.組成的に制御された合金:反応物の反復サイクル{y(A+B)+z(C+D)}(ここで、それぞれy又はz=1、z又はy>1):例えば、HfxSi(2-x)4
4.組成的に制御された段階的物質:3に同じであるが、y又はzが堆積の間変化する。
5.層状又はラミネート状物質:不連続の物理的層における2つの異なる物質の堆積。反応物の反復サイクル{y(A+B+C+D)+z(E+F)}(ここで、yとzは典型的には≧4である):例えば、ハフニウムとアルミナのナノラミネート。
アルミン酸ハフニウム(Hf x Al y O)のALD
直接:1サイクル=(TDEAH+H2O+TMA+H2O)
半反応=4.03+5.03+4.08+5.08
組成的制御:1サイクル=n(TDEAH+H2O)+m(TMA+H2O)、ここで、典型的にはnは1であり、mは変化するか又はmは1であり、nは変化する。
半反応(秒)(例えば、n=3、m=1)=4.03+5.03+4.07+5.07+4.07+5.07+4.08+5.08
層状:1層=p(TDEAH+H2O)+q(TMA+H2O)、ここで、pとqは典型的には≧4である。
半反応(秒)(例えば、n=4、m=4)=4.03+5.03+(4.07+5.07+4.07+5.07+4.07+5.07)+4.08+5.08+(4.04+5.04+4.04+5.05+4.04+5.04)
窒化ハフニウム(Hf 3 4 又はHfN)のALD
直接:1サイクル=(TDEAH+NH3
半反応(秒)=7.02+8.02
この場合、これらの温度での堆積はHf34を生成することができる。更に高い温度にアニールするとHfNを生成することができる。
酸窒化ハフニウム(HfO x y )のALD
直接1サイクル=(TDEAH+H2O+TDEAH+NH3
半反応(秒)=7.02+9.02+4.07+6.07
組成的制御:1サイクル=n(TDEAH+H2O)+m(TDEAH+NH3)、ここで、典型的にはnは1であり、mは変化する、又はmは1でありnは変化する。
層状:1層=p(TDEAH+H2O)+q(TDEAH+NH3)、ここで、pとqは典型的には≧4である。
酸窒化ハフニウムアルミニウム(Hf w Al x y z )のALD
直接:1サイクル=(TDEAH+NH3+TMA+H2O)酸窒化ハフニウム/酸窒化アルミナ合金
可能な変化:1サイクル=(TDEAH+NH3+TDEAH+H2O+TMA+H2O)
注:異なる投与順序は、特に<300℃の低い温度で成長した場合で高い温度のアニールのない場合に結合接続性に影響する。上面例では、-O-Hf-N-Al-O-接続性を予測することができる。このことは、酸窒化ハフニウム/酸窒化アルミニウム合金としてみなすことができる。底面例では、-O-Hf-N-Hf-O-Al-O-接続性を予想することができる。このことは、酸窒化ハフニウム/アルミナ合金としてみなすことができる。
ケイ酸ハフニウム(HfSiO 4 及びHf x Si y O)のALD
直接:1サイクル=(TDEAH+H2O+TrisDMAS+H2O)=HfSiO4
シリカを多く含むケイ酸ハフニウム:1サイクル=(TDEAH+H2O)+3(TrisDMAS+H2O)=Hf2Si514
純粋なHfO2からシリカを多く含む(>70%)のケイ酸ハフニウムまでの組成制御(Hf:Si)が可能である。
ケイ酸アルミニウム(Al 6 Si 2 13 及びAl x Si y O)のALD
直接:1サイクル=(TMA+H2O+TrisDMAS+H2O)=Al6Si213
シリカを多く含むケイ酸アルミニウム:1サイクル=(TMA+H2O)+3(TrisDMAS+H2O)=Al2Si27
純粋なAl23からシリカ過剰(>50%)のケイ酸アルミニウムまでの組成制御(Al:Si)が可能である。
ケイ酸ハフニウムアルミニウム(Hf 2 Al 6 Si 4 21 及びHf x Al y Si z O)
例えば、1サイクル=(TDEAH+H2O+TrisDMAS+H2O+TMA+H2O+TrisDMAS+H2O)=Hf2Al6Si421
窒化ハフニウムシリコン(Hf x Si y N)のALD
直接:1サイクル=(TDEAH+NH3+TrisDMAS+NH3
酸窒化ハフニウムシリコン(HfSi x y z )のALD
例えば、(TDEAH+H2O+TrisDMAS+NH3
例えば、(TDEAH+NH3+TDEAH+H2O+TrisDMAS+H2O+TrisDMAS+NH3
酸窒化アルミニウムシリコン(AlSi x y z )のALD
例えば、(TMA+H2O+TrisDMAS+NH3
酸窒化ハフニウムアルミニウムシリコン(HfAl w Si x y z )のALD
例えば、(TDEAH+NH3+TMA+H2O+TrisDMAS+H2O)
例えば、(TDEAH+NH3+TDEAH+H2O+TrisDMAS+NH3+TMA+H2O)
シリカ(SiO 2 )の連続ALD
例えば、直接:1サイクル=Si(NCO)4+H2
このプロセスは、純粋なSiO2のラミネート層可能にすることができ又は混合物中のSi濃度の更に容易な制御が可能である。Si(NCO)4は、シリカを容易に取込ませるHf-OH*基と非常に反応性である(TDEAHはSiOH*と反応性であるので)。
例えば、表面ヒドロキサル基を再生して成長を再び開始させるためにいくつかの(TrisDMAS+H2O)サイクルとときどきの(TDEAH+H2O)又は(TMA+H2O)サイクル又は(フラッシュアニール>700℃+H2O)を考慮する。
Si 3 4 (例えば、不連続なシード層又はキャッピング層)
例えば、直接:1サイクル=(TrisDMAS+NH3
Si x y N(例えば、不連続なシード層又はキャッピング層)
例えば、直接:1サイクル=(TrisDMAS+NH3+TrisDMAS+H2O)
AIN
例えば、1サイクル=(TMA+NH3
Al x Si y N:
Al x y N:
Hf x Al y N:
[0054]上記は本発明の実施形態に関するが、本発明の更に多くの実施形態は本発明の基本的な範囲を逸脱することなく構成することができ、本発明の範囲は以下の特許請求の範囲によって決定される。
図1は、窒化ハフニウム膜を成長させるために用いられる半反応の一例を示すスキームである。 図2は、酸化ハフニウム膜を成長させるために用いられる半反応の一例を示すスキームである。 図3Aは、ケイ酸ハフニウム膜を成長させるために用いられる半反応の一例を示すスキームである。 図3Aは、ケイ酸ハフニウム膜を成長させるために用いられる半反応の一例を示すスキームである。 図3Aは、ケイ酸ハフニウム膜を成長させるために用いられる半反応の一例を示すスキームである。 図3Aは、ケイ酸ハフニウム膜を成長させるために用いられる半反応の一例を示すスキームである。 図4Aは、酸窒化ハフニウムシリコン膜を成長させるために用いられる半反応の一例を示すスキームである。 図4Aは、酸窒化ハフニウムシリコン膜を成長させるために用いられる半反応の一例を示すスキームである。 図4Aは、酸窒化ハフニウムシリコン膜を成長させるために用いられる半反応の一例を示すスキームである。 図4Aは、酸窒化ハフニウムシリコン膜を成長させるために用いられる半反応の一例を示すスキームである。
符号の説明
なし

Claims (25)

  1. 基板表面上にハフニウムを含む層を形成する方法であって、
    a)該基板表面をハフニウム前駆物質に晒して該基板表面上にハフニウム含有層を形成するステップと、
    b)チャンバをパージガスでパージするステップと、
    c)第二前駆物質と該ハフニウム含有層とを反応させるステップと、
    d)該チャンバを該パージガスでパージするステップと、
    e)第三前駆物質と該ハフニウム含有層とを反応させるステップと、
    f)該チャンバを該パージガスでパージするステップと、
    g)第四前駆物質と該ハフニウム含有層とを反応させるステップと、
    h)該チャンバを該パージガスでパージするステップと、
    を連続して含む、前記方法。
  2. 該ハフニウムを含む層が酸窒化ハフニウムシリコンである、請求項1記載の方法。
  3. 約2オングストローム〜約1,000オングストロームの厚さの該ハフニウムを含む層を堆積させる反復ステップa-hを更に含む、請求項1記載の方法。
  4. 該厚さが約10オングストローム〜約50オングストロームである、請求項3記載の方法。
  5. 該ハフニウム前駆物質が(Et2N)4Hf、(Me2N)4Hf、(EtMeN)4Hf及びCl4Hfからなる群より選ばれる、請求項1記載の方法。
  6. 該第二前駆物質がアンモニア、ヒドラジン、アジド及びラジカル窒素化合物からなる群より選ばれる、請求項5記載の方法。
  7. 該第三前駆物質がSiH4、Si2、Si38、Si2Cl、(Et2N)4Si、(Me2N)4Si、(Et2N)3SiH及び(Me2N)3SiHからなる群より選ばれる、請求項6記載の方法。
  8. 該第四前駆物質がH2O、H22、有機ペルオキシド、O、O2、O3及びラジカル酸素化合物からなる群より選ばれる、請求項7記載の方法。
  9. i)第五前駆物質と該ハフニウム含有層とを反応させるステップと、
    ii)該チャンバを該パージガスでパージするステップと、
    を更に含む、請求項1記載の方法。
  10. 該第五前駆物質がMe3Al、Me2AlH、AlCl3、Me2AlCl及び(PrO)3Alからなる群より選ばれる、請求項9記載の方法。
  11. ハフニウムを含む層を成長させる方法であって、
    ALDサイクル中に基板を少なくとも4つの前駆物質に連続して晒してハフニウムと、シリコン、アルミニウム、酸素及び窒素からなる群より選ばれた少なくとも3種の元素を含む化合物膜を堆積させるステップ、
    を含む、前記方法。
  12. 該少なくとも4つの前駆物質が、(Et2N)4Hf、(Me2N)4Hf、(EtMeN)4Hf及びCl4Hfからなる群より選ばれたハフニウム前駆物質を含んでいる、請求項11記載の方法。
  13. 該少なくとも4つの前駆物質が、SiH4、Si2、Si38、Si2Cl、(Et2N)4Si、(Me2N)4Si、(Et2N)3SiH及び(Me2N)3SiHからなる群より選ばれたシリコン前駆物質を含んでいる、請求項11記載の方法。
  14. 該少なくとも4つの前駆物質が、アンモニア、ヒドラジン、アジド及びラジカル窒素化合物からなる群より選ばれた窒素前駆物質を含んでいる、請求項11記載の方法。
  15. 該少なくとも4つの前駆物質が、H2O、H22、有機ペルオキシド、O、O2、O3及びラジカル酸素化合物からなる群より選ばれた酸素前駆物質を含んでいる、請求項11記載の方法。
  16. 該少なくとも4つの前駆物質が、Me3Al、Me2AlH、AlCl3、Me2AlCl及び(PrO)3Alからなる群より選ばれたアルミニウム前駆物質を含んでいる、請求項11記載の方法。
  17. 該ハフニウムを含む層が約2オングストローム〜約1,000オングストロームの厚さに堆積する、請求項11記載の方法。
  18. 該厚さが約10オングストローム〜約50オングストロームである、請求項17記載の方法。
  19. 原子層堆積プロセス中にチャンバ内で基板上にハフニウム化合物を堆積する方法であって、
    ハフニウム前駆物質を含む第一半反応を行うステップと、
    酸素前駆物質を含む第二半反応を行うステップと、
    窒素前駆物質を含む第三半反応を行うステップと、
    シリコン前駆物質を含む第四半反応を行うステップと、
    を含む、前記方法。
  20. 該ハフニウム前駆物質が、(Et2N)4Hf、(Me2N)4Hf、(EtMeN)4Hf及びCl4Hfからなる群より選ばれる、請求項19記載の方法。
  21. 該シリコン前駆物質が、SiH4、Si2、Si38、Si2Cl、(Et2N)4Si、(Me2N)4Si、(Et2N)3SiH及び(Me2N)3SiHからなる群より選ばれる、請求項20記載の方法。
  22. 該窒素前駆物質が、アンモニア、ヒドラジン、アジド及びラジカル窒素化合物からなる群より選ばれる、請求項21記載の方法。
  23. 該酸素前駆物質が、H2O、H22、有機ペルオキシド、O、O2、O3及びラジカル酸素化合物からなる群より選ばれる、請求項22記載の方法。
  24. Me3Al、Me2AlH、AlCl3、Me2AlCl及び(PrO)3Alからなる群より選ばれたアルミニウム前駆物質を含む第五半反応を行うステップを更に含む、請求項19記載の方法。
  25. HfSixyzを含む、半導体材料の組成物。
    (ここで、xは少なくとも約0.2で約4未満であり、
    yは少なくとも約0.5で約4未満であり、
    zは少なくとも約0.05で約2未満である。)
JP2006507521A 2003-04-04 2004-03-24 窒化ハフニウム堆積の方法 Pending JP2006522225A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/407,930 US20040198069A1 (en) 2003-04-04 2003-04-04 Method for hafnium nitride deposition
PCT/US2004/008961 WO2004094691A1 (en) 2003-04-04 2004-03-24 Method for hafnium nitride deposition

Publications (1)

Publication Number Publication Date
JP2006522225A true JP2006522225A (ja) 2006-09-28

Family

ID=33097657

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006507521A Pending JP2006522225A (ja) 2003-04-04 2004-03-24 窒化ハフニウム堆積の方法

Country Status (6)

Country Link
US (2) US20040198069A1 (ja)
EP (1) EP1613790A1 (ja)
JP (1) JP2006522225A (ja)
KR (1) KR20050114271A (ja)
CN (1) CN1768159A (ja)
WO (1) WO2004094691A1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007526399A (ja) * 2004-03-05 2007-09-13 レール・リキード−ソシエテ・アノニム・ア・ディレクトワール・エ・コンセイユ・ドゥ・スールベイランス・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 絶縁膜または金属膜を形成する方法
JP2010268007A (ja) * 2007-12-26 2010-11-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法および基板処理装置
JP2013524522A (ja) * 2010-04-01 2013-06-17 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード アミノ金属前駆体とハロゲン化金属前駆体との化合を使用する金属窒化物含有膜堆積
US8609551B2 (en) 2007-12-26 2013-12-17 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device and substrate processing apparatus
JP2015134966A (ja) * 2008-09-08 2015-07-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated インサイチュチャンバ処理および堆積プロセス
JP2016516892A (ja) * 2013-03-15 2016-06-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード モリブデン含有皮膜の堆積のためのビス(アルキルイミド)−ビス(アルキルアミド)モリブデン分子
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process

Families Citing this family (468)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US7205218B2 (en) 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
KR100555543B1 (ko) * 2003-06-24 2006-03-03 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 그고유전막을 갖는 커패시터의 제조 방법
JP4212435B2 (ja) * 2003-08-29 2009-01-21 株式会社東芝 半導体装置およびその製造方法
TW200529325A (en) * 2003-09-30 2005-09-01 Aviza Tech Inc Growth of high-k dielectrics by atomic layer deposition
US20050153571A1 (en) * 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US7154779B2 (en) * 2004-01-21 2006-12-26 Sandisk Corporation Non-volatile memory cell using high-k material inter-gate programming
US7514360B2 (en) * 2004-03-17 2009-04-07 Hong Yu Yu Thermal robust semiconductor device using HfN as metal gate electrode and the manufacturing process thereof
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7211509B1 (en) * 2004-06-14 2007-05-01 Novellus Systems, Inc, Method for enhancing the nucleation and morphology of ruthenium films on dielectric substrates using amine containing compounds
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7323423B2 (en) * 2004-06-30 2008-01-29 Intel Corporation Forming high-k dielectric layers on smooth substrates
US7679124B2 (en) * 2004-07-28 2010-03-16 Samsung Electronics Co., Ltd. Analog capacitor and method of manufacturing the same
US20060045968A1 (en) * 2004-08-25 2006-03-02 Metz Matthew V Atomic layer deposition of high quality high-k transition metal and rare earth oxides
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
DE102004049667B3 (de) * 2004-10-12 2006-05-18 Infineon Technologies Ag Herstellungsverfahren für einen Grabenkondensator mit einem Isolationskragen, der über einen vergrabenen Kontakt einseitig mit einem Substrat elektrisch verbunden ist, insbesondere für eine Halbleiterspeicherzelle und entsprechender Grabenkondensator
KR100609066B1 (ko) * 2004-10-15 2006-08-09 삼성전자주식회사 미세 전자 소자의 다층 유전체막 및 그 제조 방법
JP2006135084A (ja) * 2004-11-05 2006-05-25 Fujitsu Ltd 半導体装置およびその製造方法
CN100424221C (zh) * 2004-11-18 2008-10-08 中国科学院半导体研究所 利用离子束外延生长设备制备氮化铪薄膜材料的方法
US20060121742A1 (en) * 2004-12-07 2006-06-08 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US8049264B2 (en) * 2005-01-28 2011-11-01 Qimonda Ag Method for producing a dielectric material on a semiconductor device and semiconductor device
US7399666B2 (en) * 2005-02-15 2008-07-15 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US7498247B2 (en) * 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
KR100584783B1 (ko) * 2005-02-24 2006-05-30 삼성전자주식회사 복합막 형성 방법과 이를 이용한 게이트 구조물 및 커패시터 제조 방법
KR100640638B1 (ko) * 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
TW200731404A (en) * 2005-04-07 2007-08-16 Aviza Tech Inc Multilayer, multicomponent high-k films and methods for depositing the same
KR100691004B1 (ko) * 2005-04-15 2007-03-09 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성방법
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7485526B2 (en) * 2005-06-17 2009-02-03 Micron Technology, Inc. Floating-gate structure with dielectric component
JP4554446B2 (ja) * 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7432139B2 (en) * 2005-06-29 2008-10-07 Amberwave Systems Corp. Methods for forming dielectrics and metal electrodes
US20070001231A1 (en) * 2005-06-29 2007-01-04 Amberwave Systems Corporation Material systems for dielectrics and metal electrodes
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7317229B2 (en) * 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
KR100709033B1 (ko) * 2005-08-06 2007-04-18 주식회사 아이피에스 HfSiN 박막증착방법
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US20070059945A1 (en) * 2005-09-12 2007-03-15 Nima Mohklesi Atomic layer deposition with nitridation and oxidation
JP4823635B2 (ja) * 2005-10-12 2011-11-24 東京エレクトロン株式会社 成膜方法およびコンピュータ可読記録媒体
DE102005062917A1 (de) * 2005-12-29 2007-07-12 Infineon Technologies Ag Atomlagenabscheideverfahren
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
JP2008034563A (ja) * 2006-07-27 2008-02-14 National Institute Of Advanced Industrial & Technology Mis型半導体装置
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
GB0702759D0 (en) * 2007-02-13 2007-03-21 Unversity Of Aveiro Non aqueous thin film formation
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US7494937B2 (en) * 2007-03-30 2009-02-24 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
KR100877100B1 (ko) * 2007-04-16 2009-01-09 주식회사 하이닉스반도체 비휘발성 메모리 소자 제조 방법
US8718746B2 (en) 2007-06-21 2014-05-06 Mardil, Inc. Pericardial space imaging for cardiac support device implantation
JP2009021584A (ja) 2007-06-27 2009-01-29 Applied Materials Inc 高k材料ゲート構造の高温エッチング方法
EP2011898B1 (en) * 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7790628B2 (en) * 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
EP2191034B1 (en) * 2007-09-14 2013-03-13 Sigma-Aldrich Co. LLC Methods of preparing thin films by atomic layer deposition using monocyclopentadienyl triamino zirconium precursors
JP2009071232A (ja) * 2007-09-18 2009-04-02 Elpida Memory Inc 半導体装置及びその製造方法
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
US20090134369A1 (en) * 2007-11-26 2009-05-28 Applied Nanoworks, Inc. Metal alkoxides, apparatus for manufacturing metal alkoxides, related methods and uses thereof
US7964515B2 (en) * 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US8016945B2 (en) * 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
US8183553B2 (en) * 2009-04-10 2012-05-22 Intermolecular, Inc. Resistive switching memory element including doped silicon electrode
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US20100279124A1 (en) 2008-10-31 2010-11-04 Leybold Optics Gmbh Hafnium or zirconium oxide Coating
KR101052587B1 (ko) * 2008-10-31 2011-07-29 캐논 아네르바 가부시키가이샤 유전체막 및 유전체막을 사용하는 반도체 디바이스
KR101126650B1 (ko) * 2008-10-31 2012-03-26 캐논 아네르바 가부시키가이샤 유전체막의 제조 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5384291B2 (ja) 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8507389B2 (en) * 2009-07-17 2013-08-13 Applied Materials, Inc. Methods for forming dielectric layers
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8722548B2 (en) 2010-09-24 2014-05-13 International Business Machines Corporation Structures and techniques for atomic layer deposition
JP5730670B2 (ja) * 2011-05-27 2015-06-10 株式会社Adeka 酸化モリブデンを含有する薄膜の製造方法、及び酸化モリブデンを含有する薄膜の形成用原料
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5796360B2 (ja) * 2011-06-15 2015-10-21 三菱マテリアル株式会社 サーミスタ材料、温度センサおよびその製造方法
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
CN103594343A (zh) * 2012-08-13 2014-02-19 中芯国际集成电路制造(上海)有限公司 高k膜的制作方法及晶体管的形成方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
CN103681269B (zh) * 2012-09-03 2016-06-29 中芯国际集成电路制造(上海)有限公司 选择性形成高k介质层的方法
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9276203B2 (en) * 2012-12-20 2016-03-01 Intermolecular, Inc. Resistive switching layers including Hf-Al-O
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014164742A1 (en) * 2013-03-11 2014-10-09 Intermolecular, Inc Atomic layer deposition of hfaic as a metal gate workfunction material in mos devices
US9607904B2 (en) 2013-03-11 2017-03-28 Intermolecular, Inc. Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102185458B1 (ko) * 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10049869B2 (en) * 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
CN108074801B (zh) * 2016-11-08 2020-09-08 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
KR102553117B1 (ko) * 2017-05-15 2023-07-06 도쿄엘렉트론가부시키가이샤 첨단 패턴화 적용을 위한 원위치의 선택적 증착 및 에칭
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10731250B2 (en) 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2019067315A1 (en) * 2017-09-26 2019-04-04 Applied Materials, Inc. METHOD, MATERIALS AND PROCESSES FOR REMOVING NATIVE OXIDE AND REFORMING DIELECTRIC OXIDES, ENABLING BETTER BIOSPER PERFORMANCE
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10170322B1 (en) * 2017-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition based process for contact barrier layer
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
DE102018124675A1 (de) 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Glühen von Film bei unterschiedlichen Temperaturen und dadurch ausgebildete Strukturen
US10748760B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10204788B1 (en) 2018-01-01 2019-02-12 United Microelectronics Corp. Method of forming high dielectric constant dielectric layer by atomic layer deposition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10633740B2 (en) 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for depositing coatings on aerospace components
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN112840448A (zh) * 2018-09-24 2021-05-25 麻省理工学院 通过工程化原子层沉积对碳纳米管的可调掺杂
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
WO2021067813A1 (en) * 2019-10-04 2021-04-08 Applied Materials, Inc. Novel methods for gate interface engineering
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
JP7397186B2 (ja) 2019-11-01 2023-12-12 アプライド マテリアルズ インコーポレイテッド FinFET形成のためのキャップ酸化
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113178477B (zh) * 2021-03-10 2022-07-22 中国科学院微电子研究所 一种HfO2基铁电薄膜及其沉积方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002319583A (ja) * 2001-02-02 2002-10-31 Samsung Electronics Co Ltd 半導体素子の誘電体膜及びその製造方法
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
JP2002367982A (ja) * 2001-05-07 2002-12-20 Samsung Electronics Co Ltd 多成分系薄膜及びその形成方法

Family Cites Families (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
JPS62104038A (ja) 1985-07-15 1987-05-14 Dainippon Screen Mfg Co Ltd 水蒸気含有酸素ガス供給装置
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
DE3743938C2 (de) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
FR2628985B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5178681A (en) 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
JP2764472B2 (ja) * 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
US5173327A (en) 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (ja) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH0729897A (ja) * 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
JP3181171B2 (ja) 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6043177A (en) * 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10308283A (ja) * 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
US6354395B1 (en) * 1997-08-04 2002-03-12 Delphi Technologies, Inc. Delashed worm gear assembly and electric power assist apparatus
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
KR100252049B1 (ko) 1997-11-18 2000-04-15 윤종용 원자층 증착법에 의한 알루미늄층의 제조방법
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
KR100282853B1 (ko) 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
KR100267885B1 (ko) 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
NL1009327C2 (nl) 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
KR100319888B1 (ko) * 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
DE19843151C2 (de) * 1998-09-21 2001-03-08 Alfing Montagetechnik Gmbh Bearbeitungsvorrichtung mit mindestens einem Bearbeitungswerkzeug
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
JP2000188400A (ja) 1998-11-09 2000-07-04 Texas Instr Inc <Ti> 半導体デバイスを形成する方法
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
CA2271450A1 (en) 1999-05-12 2000-11-12 Stuart Energy Systems Inc. Hydrogen fuel replenishment process and apparatus
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6238734B1 (en) * 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6299294B1 (en) 1999-07-29 2001-10-09 Hewlett-Packard Company High efficiency printhead containing a novel oxynitride-based resistor system
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
KR100682190B1 (ko) * 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 실리콘 산질화물을 포함하는 절연막의 형성 방법 및 장치
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6753556B2 (en) * 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
US6399208B1 (en) * 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US7094284B2 (en) * 1999-10-07 2006-08-22 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
EP1292970B1 (en) * 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100545706B1 (ko) * 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
DE10034003A1 (de) * 2000-07-07 2002-01-24 Infineon Technologies Ag Grabenkondensator mit Isolationskragen und entsprechendes Herstellungsverfahren
EP1301941A2 (en) * 2000-07-20 2003-04-16 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
KR100814980B1 (ko) * 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
KR100378186B1 (ko) * 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6395650B1 (en) * 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
KR100869326B1 (ko) * 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
US6486080B2 (en) * 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR20020049875A (ko) * 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
US6544906B2 (en) * 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
KR101013231B1 (ko) * 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US20030096473A1 (en) * 2001-11-16 2003-05-22 Taiwan Semiconductor Manufacturing Company Method for making metal capacitors with low leakage currents for mixed-signal devices
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
JP2003179049A (ja) * 2001-12-11 2003-06-27 Matsushita Electric Ind Co Ltd 絶縁膜形成方法、半導体装置及びその製造方法
US20030111678A1 (en) * 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6452229B1 (en) 2002-02-21 2002-09-17 Advanced Micro Devices, Inc. Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication
US6750066B1 (en) * 2002-04-08 2004-06-15 Advanced Micro Devices, Inc. Precision high-K intergate dielectric layer
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
JP4614639B2 (ja) * 2002-06-10 2011-01-19 アイメック Hf含有組成物の誘電率(k値)増進
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040029321A1 (en) * 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6875678B2 (en) * 2002-09-10 2005-04-05 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
JP2004111447A (ja) * 2002-09-13 2004-04-08 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法
US6759286B2 (en) * 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
KR100460841B1 (ko) * 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
JP2005079223A (ja) * 2003-08-29 2005-03-24 Toshiba Corp 半導体装置及び半導体装置の製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002319583A (ja) * 2001-02-02 2002-10-31 Samsung Electronics Co Ltd 半導体素子の誘電体膜及びその製造方法
JP2002367982A (ja) * 2001-05-07 2002-12-20 Samsung Electronics Co Ltd 多成分系薄膜及びその形成方法
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007526399A (ja) * 2004-03-05 2007-09-13 レール・リキード−ソシエテ・アノニム・ア・ディレクトワール・エ・コンセイユ・ドゥ・スールベイランス・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 絶縁膜または金属膜を形成する方法
JP2010268007A (ja) * 2007-12-26 2010-11-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法および基板処理装置
JP2013251578A (ja) * 2007-12-26 2013-12-12 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
US8609551B2 (en) 2007-12-26 2013-12-17 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device and substrate processing apparatus
US8895455B2 (en) 2007-12-26 2014-11-25 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device and substrate processing apparatus
JP2015134966A (ja) * 2008-09-08 2015-07-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated インサイチュチャンバ処理および堆積プロセス
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
JP2013524522A (ja) * 2010-04-01 2013-06-17 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード アミノ金属前駆体とハロゲン化金属前駆体との化合を使用する金属窒化物含有膜堆積
JP2016516892A (ja) * 2013-03-15 2016-06-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード モリブデン含有皮膜の堆積のためのビス(アルキルイミド)−ビス(アルキルアミド)モリブデン分子
JP2018150627A (ja) * 2013-03-15 2018-09-27 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード モリブデン含有皮膜の堆積のためのビス(アルキルイミド)−ビス(アルキルアミド)モリブデン分子

Also Published As

Publication number Publication date
US20060208215A1 (en) 2006-09-21
US7547952B2 (en) 2009-06-16
US20040198069A1 (en) 2004-10-07
KR20050114271A (ko) 2005-12-05
CN1768159A (zh) 2006-05-03
EP1613790A1 (en) 2006-01-11
WO2004094691A1 (en) 2004-11-04

Similar Documents

Publication Publication Date Title
US7547952B2 (en) Method for hafnium nitride deposition
KR102192161B1 (ko) GeO2의 원자층 증착
JP5086912B2 (ja) パルスcvdとaldの併用による薄膜の堆積方法
US7402534B2 (en) Pretreatment processes within a batch ALD reactor
JP5813281B2 (ja) バッチaldリアクタのための処理プロセス
TWI595109B (zh) 在基板上處理氮化物薄膜的方法
KR101427142B1 (ko) 금속 규산염 막의 원자층 증착
JP4555272B2 (ja) 金属−窒化ケイ素、酸化ケイ素、又は酸窒化ケイ素のALD/CVD用のTi、Ta、Hf、Zr及び関連する金属のケイ素アミド
KR20150121217A (ko) SiCN 또는 SiCON을 포함하는 필름의 저온 원자층 증착
TWI622098B (zh) 循環沈積製程
TWI764437B (zh) 含金屬膜之區域選擇性沈積
US11286564B2 (en) Tin-containing precursors and methods of depositing tin-containing films
US11370669B2 (en) Amorphous silicon doped yttrium oxide films and methods of formation
TWI837142B (zh) 形成含鉻膜的方法與以含氧化鉻膜或含鉻膜填充縫隙的方法
KR20220087543A (ko) 저 저항률 금속 함유 필름들을 성장시키기 위한 방법들
TW201520369A (zh) 使用鹵化矽前驅物進行包含矽、碳及氮之膜的原子層沉積

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100316

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100817