JP2018150627A - モリブデン含有皮膜の堆積のためのビス(アルキルイミド)−ビス(アルキルアミド)モリブデン分子 - Google Patents

モリブデン含有皮膜の堆積のためのビス(アルキルイミド)−ビス(アルキルアミド)モリブデン分子 Download PDF

Info

Publication number
JP2018150627A
JP2018150627A JP2018096998A JP2018096998A JP2018150627A JP 2018150627 A JP2018150627 A JP 2018150627A JP 2018096998 A JP2018096998 A JP 2018096998A JP 2018096998 A JP2018096998 A JP 2018096998A JP 2018150627 A JP2018150627 A JP 2018150627A
Authority
JP
Japan
Prior art keywords
molybdenum
containing precursor
precursor
ntbu
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2018096998A
Other languages
English (en)
Inventor
ガティノ ジュリアン
Gatineau Julien
ガティノ ジュリアン
チャンヒ コ
Changhee Ko
チャンヒ コ
二郎 横田
Jiro Yokota
二郎 横田
ランサロット−マトラス クレマン
Lansalot Matras Clement
ランサロット−マトラス クレマン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Liquide SA
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
Air Liquide SA
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Liquide SA, LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical Air Liquide SA
Publication of JP2018150627A publication Critical patent/JP2018150627A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】低い抵抗率を有するMoN皮膜の製造方法の提供。【解決手段】類似のジアルキルアミド基(すなわちNR2)によって堆積される皮膜と比較して、より迅速なALD成長速度、より高いALD温度域、及び得られた皮膜中のより低い不純物濃度がもたらされる、アミド基に水素が含まれる(すなわちNHR’)化合物のビス(アルキルイミド)−ビス(アルキルアミド)モリブデン、とプラズマ処理したNH3とを用い、チャンバ温度を330℃〜450℃の間の温度に維持する原子層堆積法。【選択図】図10

Description

[関連出願の相互参照]
本出願は、2013年3月15日に出願された国際出願PCT/IB2013/001038号に基づく優先権を主張する。その内容全体が引用することにより本明細書の一部をなす。
ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物、該化合物の合成、及び該化合物の、Mo含有皮膜の堆積のための使用が開示されている。
世界中の多くの半導体チームにとっての目標の一つは、低い抵抗率を有するMoN皮膜を堆積可能にすることである。Hiltunenらは、非特許文献1において、前駆体としてMoCl及びNHを用いて500℃で窒化モリブデン皮膜の堆積を行った。同様のMoCl−NHプロセスは、後に非特許文献2において400℃及び500℃で研究された。Juppoらによって500℃で得られた結果は、Hiltunenらによる先の研究で得られた結果と全く同様であった。堆積された皮膜は、非常に低い抵抗率(100μΩ cm)及び非常に低い塩素含量(1原子%)を有するものであった。更に、400℃で堆積された皮膜は品質に乏しく、堆積速度はわずか0.02Å/サイクルであり、塩素含量は10原子%であり、かつシート抵抗は測定することができなかった。これらのハロゲン化物−アンモニア系を用いると、反応性ハロゲン化水素が副生成物として放出される。
一般式Mo(NR)(NR’を有するハロゲン化物不含のイミド−アミド有機金属前駆体が窒化モリブデン又は浸炭窒化モリブデンの堆積のために紹介されている(非特許文献3;Sunらによる特許文献1;非特許文献4;非特許文献5;非特許文献6)。
Miikkulainenらは、Mo(NR)(NR’の前駆体を用いた原子層堆積(ALD)を開示している(同上書非特許文献5及び非特許文献6)。ALD飽和モードは、MoClの場合よりも低い温度で観察され、かつ腐食性副生成物の放出は回避された(同上書)。Miikkulainenらは、イソプロピル誘導体(すなわち、Mo(NtBu)(NiPr)が熱的に不安定であることを報告した(同上書)。Miikkulainenらは、エチル誘導体をALD前駆体として285℃〜300℃のALD域で適用可能であることを報告した。
Chiuらは、Mo(NtBu)(NHtBu)を用いたMoNのCVD堆積を開示している(同上書非特許文献3)。
米国特許第6,114,242号
Thin Solid Films (166 (1988) 149-154) J. Electrochem. Soc. (Juppo et al., 147 (2000) 3377-3381) ChiuらのJ. Mat. Res. 9 (7), 1994, 1622-1624 CraneらのJ. Phys. Chem. B 2001, 105, 3549-3556 MiikkulainenらのChem Mater. (2007), 19, 263-269 MiikkulainenらのChem. Vap. Deposition (2008) 14, 71-77
もう一つの目標は、より高いκ値及び低い漏れ電流を有するMoO皮膜を堆積可能にすることである。
商業上適したMoN又はMoO皮膜の蒸着に適したモリブデン前駆体が依然必要とされている。
表記法及び命名法
いくつかの略語、記号及び用語を以下の明細書及び特許請求の範囲全体を通して使用する。
本明細書で使用される不定冠詞「a」又は「an」は、1又は複数を意味する。
本明細書で使用される「独立して」という用語は、R基の説明との関連で使用される場合、対象のR基が同じ又は異なる下付き文字又は上付き文字を有する他のR基に対して独立して選択されるだけでなく、同じR基の任意の付加的な種に対しても独立して選択されることを意味するものと理解されたい。例えば式Mo(NR)(NHR’)において、2つのイミドR基は互いに同一であり得るが、そうである必要はない。
本明細書で使用される「アルキル基」という用語は、炭素原子及び水素原子のみを含有する飽和官能基を指す。さらに、「アルキル基」という用語は直鎖、分岐又は環状アルキル基を指す。直鎖アルキル基の例としては、メチル基、エチル基、プロピル基、ブチル基等が挙げられるが、これらに限定されない。分岐アルキル基の例としては、t−ブチルが挙げられるが、これに限定されない。環状アルキル基の例としては、シクロプロピル基、シクロペンチル基、シクロヘキシル基等が挙げられるが、これらに限定されない。
本明細書で使用される「炭化水素」という用語は、水素原子及び炭素原子のみを含有する官能基を意味する。この官能基は飽和(単結合のみを含有する)又は不飽和(二重結合又は三重結合を含有する)であり得る。
本明細書で使用される場合に、略語「Me」はメチル基を指し、略語「Et」はエチル基を指し、略語「Pr」はn−プロピル基を指し、略語「iPr」はイソプロピル基を指し、略語「Bu」はn−ブチル基を指し、略語「tBu」はtert−ブチル基を指し、略語「sBu」はsec−ブチル基を指し、略語「iBu」はイソブチル基を指し、かつ略語「tAmyl」はtert−アミル基(ペンチル基又はC11としても知られる)を指す。
元素周期表による元素の一般的な略語が本明細書中で使用される。元素がこれらの略語によって言及される場合もあることを理解されたい(例えば、Moはモリブデンを指し、Nは窒素を指し、Hは炭素を指す等)。
上記Mo含有皮膜、例えばMoN、MoCN、MoSi、MoSiN及びMoOは、明細書及び特許請求の範囲を通じてそれらの適切な化学量論に関係なく列挙されることに留意されたい。上記プロセスにより得られるモリブデン含有層は、純粋なモリブデン(Mo)、窒化モリブデン(Mo)、炭化モリブデン(Mo)、浸炭窒化モリブデン(Mo)、ケイ化モリブデン(MoSi)又は酸化モリブデン(Mo)の皮膜を含み、上記式中、k、l、m及びnは1から6までを含めた範囲である。好ましくは、窒化モリブデン及び炭化モリブデンはMo又はMoであり、上
記式中、k及びlはそれぞれ0.5〜1.5の範囲である。より好ましくは、窒化モリブデンはMoであり、かつ炭化モリブデンはMoである。好ましくは、酸化モリブデン及びケイ化モリブデンはMo及びMoSiであり、上記式中、nは0.5〜1.5の範囲であり、かつmは1.5〜3.5の範囲である。より好ましくは、酸化モリブデンはMoO又はMoOであり、かつケイ化モリブデンはMoSiである。
基板上にモリブデン含有皮膜を形成する蒸着法が開示される。モリブデン含有前駆体は、基板を収容した蒸着チャンバ中に導入される。上記モリブデン含有前駆体の一部又は全ては上記基板上に堆積されて、モリブデン含有皮膜が形成される。上記モリブデン含有前駆体は、式Mo(NR)(NHR’)(式中、R及びR’は、独立してC〜Cアルキル基、C〜Cペルフルオロアルキル基及びアルキルシリル基からなる群から選択される)を有する。開示の方法は下記の態様の1つ又は複数を含み得る:
Mo(NMe)(NHMe)であるモリブデン含有前駆体;
Mo(NMe)(NHEt)であるモリブデン含有前駆体;
Mo(NMe)(NHPr)であるモリブデン含有前駆体;
Mo(NMe)(NHiPr)であるモリブデン含有前駆体;
Mo(NMe)(NHBu)であるモリブデン含有前駆体;
Mo(NMe)(NHiBu)であるモリブデン含有前駆体;
Mo(NMe)(NHsBu)であるモリブデン含有前駆体;
Mo(NMe)(NHtBu)であるモリブデン含有前駆体;
Mo(NEt)(NHMe)であるモリブデン含有前駆体;
Mo(NEt)(NHEt)であるモリブデン含有前駆体;
Mo(NEt)(NHPr)であるモリブデン含有前駆体;
Mo(NEt)(NHiPr)であるモリブデン含有前駆体;
Mo(NEt)(NHBu)であるモリブデン含有前駆体;
Mo(NEt)(NHiBu)であるモリブデン含有前駆体;
Mo(NEt)(NHsBu)であるモリブデン含有前駆体;
Mo(NEt)(NHtBu)であるモリブデン含有前駆体;
Mo(NPr)(NHMe)であるモリブデン含有前駆体;
Mo(NPr)(NHEt)であるモリブデン含有前駆体;
Mo(NPr)(NHPr)であるモリブデン含有前駆体;
Mo(NPr)(NHiPr)であるモリブデン含有前駆体;
Mo(NPr)(NHBu)であるモリブデン含有前駆体;
Mo(NPr)(NHiBu)であるモリブデン含有前駆体;
Mo(NPr)(NHsBu)であるモリブデン含有前駆体;
Mo(NPr)(NHtBu)であるモリブデン含有前駆体;
Mo(NiPr)(NHMe)であるモリブデン含有前駆体;
Mo(NiPr)(NHEt)であるモリブデン含有前駆体;
Mo(NiPr)(NHPr)であるモリブデン含有前駆体;
Mo(NiPr)(NHiPr)であるモリブデン含有前駆体;
Mo(NiPr)(NHBu)であるモリブデン含有前駆体;
Mo(NiPr)(NHiBu)であるモリブデン含有前駆体;
Mo(NiPr)(NHsBu)であるモリブデン含有前駆体;
Mo(NiPr)(NHtBu)であるモリブデン含有前駆体;
Mo(NBu)(NHMe)であるモリブデン含有前駆体;
Mo(NBu)(NHEt)であるモリブデン含有前駆体;
Mo(NBu)(NHPr)であるモリブデン含有前駆体;
Mo(NBu)(NHiPr)であるモリブデン含有前駆体;
Mo(NBu)(NHBu)であるモリブデン含有前駆体;
Mo(NBu)(NHiBu)であるモリブデン含有前駆体;
Mo(NBu)(NHsBu)であるモリブデン含有前駆体;
Mo(NBu)(NHtBu)であるモリブデン含有前駆体;
Mo(NiBu)(NHMe)であるモリブデン含有前駆体;
Mo(NiBu)(NHEt)であるモリブデン含有前駆体;
Mo(NiBu)(NHPr)であるモリブデン含有前駆体;
Mo(NiBu)(NHiPr)であるモリブデン含有前駆体;
Mo(NiBu)(NHBu)であるモリブデン含有前駆体;
Mo(NiBu)(NHiBu)であるモリブデン含有前駆体;
Mo(NiBu)(NHsBu)であるモリブデン含有前駆体;
Mo(NiBu)(NHtBu)であるモリブデン含有前駆体;
Mo(NsBu)(NHMe)であるモリブデン含有前駆体;
Mo(NsBu)(NHEt)であるモリブデン含有前駆体;
Mo(NsBu)(NHPr)であるモリブデン含有前駆体;
Mo(NsBu)(NHiPr)であるモリブデン含有前駆体;
Mo(NsBu)(NHBu)であるモリブデン含有前駆体;
Mo(NsBu)(NHiBu)であるモリブデン含有前駆体;
Mo(NsBu)(NHsBu)であるモリブデン含有前駆体;
Mo(NsBu)(NHtBu)であるモリブデン含有前駆体;
Mo(NtBu)(NHMe)であるモリブデン含有前駆体;
Mo(NtBu)(NHEt)であるモリブデン含有前駆体;
Mo(NtBu)(NHPr)であるモリブデン含有前駆体;
Mo(NtBu)(NHiPr)であるモリブデン含有前駆体;
Mo(NtBu)(NHBu)であるモリブデン含有前駆体;
Mo(NtBu)(NHiBu)であるモリブデン含有前駆体;
Mo(NtBu)(NHsBu)であるモリブデン含有前駆体;
Mo(NtBu)(NHtBu)であるモリブデン含有前駆体;
Mo(NSiMe(NHMe)であるモリブデン含有前駆体;
Mo(NSiMe(NHEt)であるモリブデン含有前駆体;
Mo(NSiMe(NHPr)であるモリブデン含有前駆体;
Mo(NSiMe(NHiPr)であるモリブデン含有前駆体;
Mo(NSiMe(NHBu)であるモリブデン含有前駆体;
Mo(NSiMe(NHiBu)であるモリブデン含有前駆体;
Mo(NSiMe(NHsBu)であるモリブデン含有前駆体
Mo(NSiMe(NHtBu)であるモリブデン含有前駆体;
Mo(NCF(NHMe)であるモリブデン含有前駆体;
Mo(NCF(NHEt)であるモリブデン含有前駆体;
Mo(NCF(NHPr)であるモリブデン含有前駆体;
Mo(NCF(NHiPr)であるモリブデン含有前駆体;
Mo(NCF(NHBu)であるモリブデン含有前駆体;
Mo(NCF(NHiBu)であるモリブデン含有前駆体;
Mo(NCF(NHsBu)であるモリブデン含有前駆体;
Mo(NCF(NHtBu)であるモリブデン含有前駆体; Mo(NMe)(NHSiMeであるモリブデン含有前駆体;
Mo(NEt)(NHSiMeであるモリブデン含有前駆体;
Mo(NPr)(NHSiMeであるモリブデン含有前駆体;
Mo(NtBu)(NHSiMeであるモリブデン含有前駆体;
Mo(NtAmyl)(NHMe)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHEt)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHPr)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHiPr)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHBu)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHiBu)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHsBu)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHtBu)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHSiMeであるモリブデン含有前駆体;
Mo(NtBu)(NtAmyl)(NHtBu)であるモリブデン含有前駆体;
ALDである蒸着法;
PE−ALDである蒸着法;
空間的ALDである蒸着法;
CVDである蒸着法;
PE−CVDである蒸着法;
プラズマ強化原子層堆積により基板上に堆積されたモリブデン含有前駆体の少なくとも一部;
プラズマ出力が約30W〜約600Wであり;
プラズマ出力が約100W〜約500Wであり;
モリブデン含有前駆体と還元剤とを反応させること;
、H、NH、N及び任意のヒドラジン系化合物、SiH、Si、それらのラジカル種、並びにそれらの組合せからなる群から選択される還元剤;
モリブデン含有前駆体の少なくとも一部と酸化剤とを反応させること;
、HO、O、H、NO、NO、酢酸、それらのラジカル種、及びそれらの組合せからなる群から選択される酸化剤;
約0.01Pa〜約1×10Paの圧力で上記方法を行うこと;
約0.1Pa〜約1×10Paの圧力で上記方法を行うこと;
約20℃〜約500℃の温度で上記方法を行うこと;
約330℃〜約500℃の温度で上記方法を行うこと;
Moであるモリブデン含有皮膜;
MoOであるモリブデン含有皮膜;
MoNであるモリブデン含有皮膜;
MoSiであるモリブデン含有皮膜;
MoSiNであるモリブデン含有皮膜;及び、
MoCNであるモリブデン含有皮膜。
基板上に酸化モリブデン皮膜を形成する化学蒸着法も開示される。モリブデン含有前駆体は、基板を収容した蒸着チャンバ中に導入される。上記モリブデン含有前駆体の少なくとも一部は上記基板の表面上で酸化剤と反応して、酸化モリブデン皮膜が形成される。上記モリブデン含有前駆体は、式Mo(NR)(NHR’)(式中、R及びR’は、独立してC〜Cアルキル基、C〜Cペルフルオロアルキル基及びアルキルシリル基からなる群から選択される)を有する。開示の方法は下記の態様の1つ又は複数を含み得る:
Mo(NMe)(NHMe)であるモリブデン含有前駆体;
Mo(NMe)(NHEt)であるモリブデン含有前駆体;
Mo(NMe)(NHPr)であるモリブデン含有前駆体;
Mo(NMe)(NHiPr)であるモリブデン含有前駆体;
Mo(NMe)(NHBu)であるモリブデン含有前駆体;
Mo(NMe)(NHiBu)であるモリブデン含有前駆体;
Mo(NMe)(NHsBu)であるモリブデン含有前駆体;
Mo(NMe)(NHtBu)であるモリブデン含有前駆体;
Mo(NEt)(NHMe)であるモリブデン含有前駆体;
Mo(NEt)(NHEt)であるモリブデン含有前駆体;
Mo(NEt)(NHPr)であるモリブデン含有前駆体;
Mo(NEt)(NHiPr)であるモリブデン含有前駆体;
Mo(NEt)(NHBu)であるモリブデン含有前駆体;
Mo(NEt)(NHiBu)であるモリブデン含有前駆体;
Mo(NEt)(NHsBu)であるモリブデン含有前駆体;
Mo(NEt)(NHtBu)であるモリブデン含有前駆体;
Mo(NPr)(NHMe)であるモリブデン含有前駆体;
Mo(NPr)(NHEt)であるモリブデン含有前駆体;
Mo(NPr)(NHPr)であるモリブデン含有前駆体;
Mo(NPr)(NHiPr)であるモリブデン含有前駆体;
Mo(NPr)(NHBu)であるモリブデン含有前駆体;
Mo(NPr)(NHiBu)であるモリブデン含有前駆体;
Mo(NPr)(NHsBu)であるモリブデン含有前駆体;
Mo(NPr)(NHtBu)であるモリブデン含有前駆体;
Mo(NiPr)(NHMe)であるモリブデン含有前駆体;
Mo(NiPr)(NHEt)であるモリブデン含有前駆体;
Mo(NiPr)(NHPr)であるモリブデン含有前駆体;
Mo(NiPr)(NHiPr)であるモリブデン含有前駆体;
Mo(NiPr)(NHBu)であるモリブデン含有前駆体;
Mo(NiPr)(NHiBu)であるモリブデン含有前駆体;
Mo(NiPr)(NHsBu)であるモリブデン含有前駆体
Mo(NiPr)(NHtBu)であるモリブデン含有前駆体;
Mo(NBu)(NHMe)であるモリブデン含有前駆体;
Mo(NBu)(NHEt)であるモリブデン含有前駆体;
Mo(NBu)(NHPr)であるモリブデン含有前駆体;
Mo(NBu)(NHiPr)であるモリブデン含有前駆体;
Mo(NBu)(NHBu)であるモリブデン含有前駆体;
Mo(NBu)(NHiBu)であるモリブデン含有前駆体;
Mo(NBu)(NHsBu)であるモリブデン含有前駆体;
Mo(NBu)(NHtBu)であるモリブデン含有前駆体;
Mo(NiBu)(NHMe)であるモリブデン含有前駆体;
Mo(NiBu)(NHEt)であるモリブデン含有前駆体;
Mo(NiBu)(NHPr)であるモリブデン含有前駆体;
Mo(NiBu)(NHiPr)であるモリブデン含有前駆体;
Mo(NiBu)(NHBu)であるモリブデン含有前駆体;
Mo(NiBu)(NHiBu)であるモリブデン含有前駆体;
Mo(NiBu)(NHsBu)であるモリブデン含有前駆体;
Mo(NiBu)(NHtBu)であるモリブデン含有前駆体;
Mo(NsBu)(NHMe)であるモリブデン含有前駆体;
Mo(NsBu)(NHEt)であるモリブデン含有前駆体;
Mo(NsBu)(NHPr)であるモリブデン含有前駆体;
Mo(NsBu)(NHiPr)であるモリブデン含有前駆体;
Mo(NsBu)(NHBu)であるモリブデン含有前駆体;
Mo(NsBu)(NHiBu)であるモリブデン含有前駆体;
Mo(NsBu)(NHsBu)であるモリブデン含有前駆体;
Mo(NsBu)(NHtBu)であるモリブデン含有前駆体;
Mo(NtBu)(NHMe)であるモリブデン含有前駆体;
Mo(NtBu)(NHEt)であるモリブデン含有前駆体;
Mo(NtBu)(NHPr)であるモリブデン含有前駆体;
Mo(NtBu)(NHiPr)であるモリブデン含有前駆体;
Mo(NtBu)(NHBu)であるモリブデン含有前駆体;
Mo(NtBu)(NHiBu)であるモリブデン含有前駆体;
Mo(NtBu)(NHsBu)であるモリブデン含有前駆体;
Mo(NtBu)(NHtBu)であるモリブデン含有前駆体;
Mo(NSiMe(NHMe)であるモリブデン含有前駆体;
Mo(NSiMe(NHEt)であるモリブデン含有前駆体;
Mo(NSiMe(NHPr)であるモリブデン含有前駆体;
Mo(NSiMe(NHiPr)であるモリブデン含有前駆体;
Mo(NSiMe(NHBu)であるモリブデン含有前駆体;
Mo(NSiMe(NHiBu)であるモリブデン含有前駆体;
Mo(NSiMe(NHsBu)であるモリブデン含有前駆体
Mo(NSiMe(NHtBu)であるモリブデン含有前駆体;
Mo(NCF(NHMe)であるモリブデン含有前駆体;
Mo(NCF(NHEt)であるモリブデン含有前駆体;
Mo(NCF(NHPr)であるモリブデン含有前駆体;
Mo(NCF(NHiPr)であるモリブデン含有前駆体;
Mo(NCF(NHBu)であるモリブデン含有前駆体;
Mo(NCF(NHiBu)であるモリブデン含有前駆体;
Mo(NCF(NHsBu)であるモリブデン含有前駆体;
Mo(NCF(NHtBu)であるモリブデン含有前駆体; Mo(NMe)(NHSiMeであるモリブデン含有前駆体;
Mo(NEt)(NHSiMeであるモリブデン含有前駆体;
Mo(NPr)(NHSiMeであるモリブデン含有前駆体;
Mo(NtBu)(NHSiMeであるモリブデン含有前駆体;
Mo(NtAmyl)(NHMe)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHEt)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHPr)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHiPr)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHBu)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHiBu)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHsBu)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHtBu)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHSiMeであるモリブデン含有前駆体;
Mo(NtBu)(NtAmyl)(NHtBu)であるモリブデン含有前駆体;
プラズマ強化化学蒸着法である化学蒸着法;
プラズマ出力が約30W〜約600Wであり;
プラズマ出力が約100W〜約500Wであり;
、HO、O、H、NO、NO、酢酸、それらのラジカル種、及びそれらの組合せからなる群から選択される酸化剤;
約0.01Pa〜約1×10Paの圧力で上記方法を行うこと;
約0.1Pa〜約1×10Paの圧力で上記方法を行うこと;
約20℃〜約500℃の温度で上記方法を行うこと;
約330℃〜約500℃の温度で上記方法を行うこと。
また基板上にモリブデン含有皮膜を形成する原子層堆積法も開示される。モリブデン含有前駆体は、基板を収容した蒸着チャンバ中に導入される。上記モリブデン含有前駆体の一部又は全ては上記基板上に原子層堆積により堆積されて、モリブデン含有皮膜が形成される。上記モリブデン含有前駆体は、式Mo(NR)(NHR’)(式中、R及びR’は、独立してC〜Cアルキル基、C〜Cペルフルオロアルキル基及びアルキルシリル基からなる群から選択される)を有する。開示の方法は下記の態様の1つ又は複数
を含み得る:
Mo(NMe)(NHMe)であるモリブデン含有前駆体;
Mo(NMe)(NHEt)であるモリブデン含有前駆体;
Mo(NMe)(NHPr)であるモリブデン含有前駆体;
Mo(NMe)(NHiPr)であるモリブデン含有前駆体;
Mo(NMe)(NHBu)であるモリブデン含有前駆体;
Mo(NMe)(NHiBu)であるモリブデン含有前駆体;
Mo(NMe)(NHsBu)であるモリブデン含有前駆体;
Mo(NMe)(NHtBu)であるモリブデン含有前駆体;
Mo(NEt)(NHMe)であるモリブデン含有前駆体;
Mo(NEt)(NHEt)であるモリブデン含有前駆体;
Mo(NEt)(NHPr)であるモリブデン含有前駆体;
Mo(NEt)(NHiPr)であるモリブデン含有前駆体;
Mo(NEt)(NHBu)であるモリブデン含有前駆体;
Mo(NEt)(NHiBu)であるモリブデン含有前駆体;
Mo(NEt)(NHsBu)であるモリブデン含有前駆体;
Mo(NEt)(NHtBu)であるモリブデン含有前駆体;
Mo(NPr)(NHMe)であるモリブデン含有前駆体;
Mo(NPr)(NHEt)であるモリブデン含有前駆体;
Mo(NPr)(NHPr)であるモリブデン含有前駆体;
Mo(NPr)(NHiPr)であるモリブデン含有前駆体;
Mo(NPr)(NHBu)であるモリブデン含有前駆体;
Mo(NPr)(NHiBu)であるモリブデン含有前駆体;
Mo(NPr)(NHsBu)であるモリブデン含有前駆体;
Mo(NPr)(NHtBu)であるモリブデン含有前駆体;
Mo(NiPr)(NHMe)であるモリブデン含有前駆体;
Mo(NiPr)(NHEt)であるモリブデン含有前駆体;
Mo(NiPr)(NHPr)であるモリブデン含有前駆体;
Mo(NiPr)(NHiPr)であるモリブデン含有前駆体;
Mo(NiPr)(NHBu)であるモリブデン含有前駆体;
Mo(NiPr)(NHiBu)であるモリブデン含有前駆体;
Mo(NiPr)(NHsBu)であるモリブデン含有前駆体;
Mo(NiPr)(NHtBu)であるモリブデン含有前駆体;
Mo(NBu)(NHMe)であるモリブデン含有前駆体;
Mo(NBu)(NHEt)であるモリブデン含有前駆体;
Mo(NBu)(NHPr)であるモリブデン含有前駆体;
Mo(NBu)(NHiPr)であるモリブデン含有前駆体;
Mo(NBu)(NHBu)であるモリブデン含有前駆体;
Mo(NBu)(NHiBu)であるモリブデン含有前駆体;
Mo(NBu)(NHsBu)であるモリブデン含有前駆体;
Mo(NBu)(NHtBu)であるモリブデン含有前駆体;
Mo(NiBu)(NHMe)であるモリブデン含有前駆体;
Mo(NiBu)(NHEt)であるモリブデン含有前駆体;
Mo(NiBu)(NHPr)であるモリブデン含有前駆体;
Mo(NiBu)(NHiPr)であるモリブデン含有前駆体;
Mo(NiBu)(NHBu)であるモリブデン含有前駆体;
Mo(NiBu)(NHiBu)であるモリブデン含有前駆体;
Mo(NiBu)(NHsBu)であるモリブデン含有前駆体;
Mo(NiBu)(NHtBu)であるモリブデン含有前駆体;
Mo(NsBu)(NHMe)であるモリブデン含有前駆体;
Mo(NsBu)(NHEt)であるモリブデン含有前駆体;
Mo(NsBu)(NHPr)であるモリブデン含有前駆体;
Mo(NsBu)(NHiPr)であるモリブデン含有前駆体;
Mo(NsBu)(NHBu)であるモリブデン含有前駆体;
Mo(NsBu)(NHiBu)であるモリブデン含有前駆体;
Mo(NsBu)(NHsBu)であるモリブデン含有前駆体;
Mo(NsBu)(NHtBu)であるモリブデン含有前駆体;
Mo(NtBu)(NHMe)であるモリブデン含有前駆体;
Mo(NtBu)(NHEt)であるモリブデン含有前駆体;
Mo(NtBu)(NHPr)であるモリブデン含有前駆体;
Mo(NtBu)(NHiPr)であるモリブデン含有前駆体;
Mo(NtBu)(NHBu)であるモリブデン含有前駆体;
Mo(NtBu)(NHiBu)であるモリブデン含有前駆体;
Mo(NtBu)(NHsBu)であるモリブデン含有前駆体;
Mo(NtBu)(NHtBu)であるモリブデン含有前駆体;
Mo(NSiMe(NHMe)であるモリブデン含有前駆体;
Mo(NSiMe(NHEt)であるモリブデン含有前駆体;
Mo(NSiMe(NHPr)であるモリブデン含有前駆体;
Mo(NSiMe(NHiPr)であるモリブデン含有前駆体;
Mo(NSiMe(NHBu)であるモリブデン含有前駆体;
Mo(NSiMe(NHiBu)であるモリブデン含有前駆体;
Mo(NSiMe(NHsBu)であるモリブデン含有前駆体;
Mo(NSiMe(NHtBu)であるモリブデン含有前駆体;
Mo(NCF(NHMe)であるモリブデン含有前駆体;
Mo(NCF(NHEt)であるモリブデン含有前駆体;
Mo(NCF(NHPr)であるモリブデン含有前駆体;
Mo(NCF(NHiPr)であるモリブデン含有前駆体;
Mo(NCF(NHBu)であるモリブデン含有前駆体;
Mo(NCF(NHiBu)であるモリブデン含有前駆体;
Mo(NCF(NHsBu)であるモリブデン含有前駆体;
Mo(NCF(NHtBu)であるモリブデン含有前駆体; Mo(NMe)(NHSiMeであるモリブデン含有前駆体;
Mo(NEt)(NHSiMeであるモリブデン含有前駆体;
Mo(NPr)(NHSiMeであるモリブデン含有前駆体;
Mo(NtBu)(NHSiMeであるモリブデン含有前駆体;
Mo(NtAmyl)(NHMe)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHEt)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHPr)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHiPr)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHBu)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHiBu)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHsBu)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHtBu)であるモリブデン含有前駆体;
Mo(NtAmyl)(NHSiMeであるモリブデン含有前駆体;
Mo(NtBu)(NtAmyl)(NHtBu)であるモリブデン含有前駆体;
プラズマ強化原子層堆積により基板上に堆積されたモリブデン含有前駆体の少なくとも一部;
プラズマ出力が約30W〜約600Wであり;
プラズマ出力が約100W〜約500Wであり;
モリブデン含有前駆体と還元剤とを反応させること;
、H、NH、N及び任意のヒドラジン系化合物、SiH、Si、それらのラジカル種、並びにそれらの組合せからなる群から選択される還元剤;
モリブデン含有前駆体の少なくとも一部と酸化剤とを反応させること;
、HO、O、H、NO、NO、酢酸、それらのラジカル種、及びそれらの組合せからなる群から選択される酸化剤;
約0.01Pa〜約1×10Paの圧力で上記方法を行うこと;
約0.1Pa〜約1×10Paの圧力で上記方法を行うこと;
約20℃〜約500℃の温度で上記方法を行うこと;
約330℃〜約500℃の温度で上記方法を行うこと;
Moであるモリブデン含有皮膜;
MoOであるモリブデン含有皮膜;
MoNであるモリブデン含有皮膜;
MoSiであるモリブデン含有皮膜;
MoSiNであるモリブデン含有皮膜;及び、
MoCNであるモリブデン含有皮膜。
本発明の本質及び目的の更なる理解のためには、附属の図面と関連づけて考えて、以下の詳細な説明に参照が為されるべきである。
上記開示のモリブデン化合物のNHR’アミド配位子中にHを含むことの利益を説明する図である。 SiO基板上での、1サイクル当たりの窒化モリブデン皮膜成長を、堆積温度の関数として図示するグラフである。モリブデン前駆体及びアンモニアのパルス長は、それぞれ2秒及び5秒に固定した。 SiO基板上での、1サイクル当たりの窒化モリブデン皮膜成長を、モリブデン前駆体のパルス時間の関数として図示するグラフである。アンモニアのパルス長は、5秒に固定した。 SiO基板上での、400℃で堆積された窒化モリブデン皮膜厚を、堆積サイクルの関数として図示するグラフである。モリブデン前駆体及びアンモニアのパルス長は、それぞれ2秒及び5秒に固定した。 TEOSでパターン形成されたウェハ上での、400℃で堆積された窒化モリブデン皮膜の走査型電子顕微鏡(SEM)断面図である。モリブデン前駆体及びアンモニアのパルス長は、それぞれ2秒及び5秒に固定した。 SiO基板上での、400℃で堆積された窒化モリブデン皮膜のX線光電子分光分析(XPS)深さプロファイルを図示するグラフである。 SiO基板上での、窒化モリブデン皮膜の抵抗率値を、堆積温度の関数として図示するグラフである。モリブデン前駆体及びアンモニアのパルス長は、それぞれ2秒及び5秒に固定した。 SiO基板上でのプラズマ源を用いた場合の、1サイクル当たりの窒化モリブデン皮膜成長を、堆積温度の関数として図示するグラフである。モリブデン前駆体及びアンモニアのパルス長は、それぞれ2秒及び5秒に固定した。 SiO基板上でのプラズマ源を用いた場合の、400℃で堆積された窒化モリブデン皮膜のXPS深さプロファイルを図示するグラフである。 SiO基板上でのプラズマ源を用いた場合の、窒化モリブデン皮膜の抵抗率値を、堆積温度の関数として図示するグラフである。モリブデン前駆体及びアンモニアのパルス長は、それぞれ2秒及び5秒に固定した。
ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物が開示される。上
記ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物は、式Mo(NR)(NHR’)(式中、R及びR’は、独立してC〜Cアルキル基、C〜Cペルフルオロアルキル基及びアルキルシリル基からなる群から選択される)を有する。
ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物の例としては、Mo(NMe)(NHMe)、Mo(NMe)(NHEt)、Mo(NMe)(NHPr)、Mo(NMe)(NHiPr)、Mo(NMe)(NHBu)、Mo(NMe)(NHiBu)、Mo(NMe)(NHsBu)、Mo(NMe)(NHtBu)、Mo(NEt)(NHMe)、Mo(NEt)(NHEt)、Mo(NEt)(NHPr)、Mo(NEt)(NHiPr)、Mo(NEt)(NHBu)、Mo(NEt)(NHiBu)、Mo(NEt)(NHsBu)、Mo(NEt)(NHtBu)、Mo(NPr)(NHMe)、Mo(NPr)(NHEt)、Mo(NPr)(NHPr)、Mo(NPr)(NHiPr)、Mo(NPr)(NHBu)、Mo(NPr)(NHiBu)、Mo(NPr)(NHsBu)、Mo(NPr)(NHtBu)、Mo(NiPr)(NHMe)、Mo(NiPr)(NHEt)、Mo(NiPr)(NHPr)、Mo(NiPr)(NHiPr)、Mo(NiPr)(NHBu)、Mo(NiPr)(NHiBu)、Mo(NiPr)(NHsBu)、Mo(NiPr)(NHtBu)、Mo(NBu)(NHMe)、Mo(NBu)(NHEt)、Mo(NBu)(NHPr)、Mo(NBu)(NHiPr)、Mo(NBu)(NHBu)、Mo(NBu)(NHiBu)、Mo(NBu)(NHsBu)、Mo(NBu)(NHtBu)、Mo(NiBu)(NHMe)、Mo(NiBu)(NHEt)、Mo(NiBu)(NHPr)、Mo(NiBu)(NHiPr)、Mo(NiBu)(NHBu)、Mo(NiBu)(NHiBu)、Mo(NiBu)(NHsecBu)、Mo(NiBu)(NHtBu)、Mo(NsBu)(NHMe)、Mo(NsBu)(NHEt)、Mo(NsBu)(NHPr)、Mo(NsBu)(NHiPr)、Mo(NsBu)(NHBu)、Mo(NsBu)(NHiBu)、Mo(NsBu)(NHsBu)、Mo(NsBu)(NHtBu)、Mo(NtBu)(NHMe)、Mo(NtBu)(NHEt)、Mo(NtBu)(NHPr)、Mo(NtBu)(NHiPr)、Mo(NtBu)(NHBu)、Mo(NtBu)(NHiBu)、Mo(NtBu)(NHsBu)、Mo(NtBu)(NHtBu)、Mo(NSiMe(NHMe)、Mo(NSiMe(NHEt)、Mo(NSiMe(NHPr)、Mo(NSiMe(NHiPr)、Mo(NSiMe(NHBu)、Mo(NSiMe(NHiBu)、Mo(NSiMe(NHsBu)、Mo(NSiMe(NHtBu)、Mo(NCF(NHMe)、Mo(NCF(NHEt)、Mo(NCF(NHPr)、Mo(NCF(NHiPr)、Mo(NCF(NHBu)、Mo(NCF(NHiBu)、Mo(NCF(NHsBu)、Mo(NCF(NHtBu)、Mo(NMe)(NHSiMe、Mo(NEt)(NHSiMe、Mo(NPr)(NHSiMe、Mo(NtBu)(NHSiMe、Mo(NtAmyl)(NHMe)、Mo(NtAmyl)(NHEt)、Mo(NtAmyl)(NHPr)、Mo(NtAmyl)(NHiPr)、Mo(NtAmyl)(NHBu)、Mo(NtAmyl)(NHiBu)、Mo(NtAmyl)(NHsBu)、Mo(NtAmyl)(NHtBu)、Mo(NtAmyl)(NHSiMe、及びMo(NtBu)(NtAmyl)(NHtBu)、好ましくはMo(NtBu)(NHiPr)、Mo(NtBu)(NHtBu)、Mo(NtAmyl)(NHiPr)、又はMo(NtAmyl)(NHtBu)が挙げられる。
上記ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物は、R.L. Harlow, Inorganic Chemistry, 1980, 19, 777、及びW.A. Nugent, Inorganic Chemistry, 1983, 22, 965により記載される方法によって、当業者に明らかな僅かな変更(例えばMoOCl→付加によりMo(NR)Cl→Mo(NR)(NHR’))を加えることで合成することができる。上記最終生成物は、過剰量のLiNHR’と反応させて製造することができる。ペルフルオロアルキル含有及びアルキルシリル含有のビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物も、同じ合成経路を使用して製造することができる。
上記ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン前駆体の純度は、好ましくは99.9% w/wより高い。上記ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン前駆体は、以下の不純物:アルキルアミン類、ジアルキルアミン類、ジメトキシエタン(DME)、MoOCl、Mo(NR)Cl(DME)(式中、Rは上記定義の通りである)及びリチウムジアルキルアミドのいずれかを含み得る。好ましくは、これらの不純物の全量は、0.1% w/w未満である。
上記ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン前駆体は、またppbw(パーツパービリオン重量)濃度で金属不純物を含んでもよい。これらの金属不純物としてはアルミニウム(Al)、ヒ素(As)、バリウム(Ba)、ベリリウム(Be)、ビスマス(Bi)、カドミウム(Cd)、カルシウム(Ca)、クロム(Cr)、コバルト(Co)、銅(Cu)、ガリウム(Ga)、ゲルマニウム(Ge)、ハフニウム(Hf)、インジウム(In)、鉄(Fe)、鉛(Pb)、リチウム(Li)、マグネシウム(Mg)、マンガン(Mn)、タングステン(W)、ニッケル(Ni)、カリウム(K)、ナトリウム(Na)、ストロンチウム(Sr)、トリウム(Th)、スズ(Sn)、チタン(Ti)、ウラン(U)、バナジウム(V)及び亜鉛(Zn)が挙げられる。
これらの純度レベルは、最終生成物を溶媒中にて室温で又は−50℃から10℃までの間の低温で再結晶化させることによって達成することができる。上記溶媒は、ペンタン、ヘキサン、テトラヒドロフラン(THF)、エーテル、トルエン、又はそれらの混合物であってよい。その代わりに、又はそれに加えて、これらの純度レベルは、最終生成物又は再結晶化生成物を、液体前駆体に関しては蒸留することによって、そして固体前駆体に関しては昇華させることによって達成することができる。
ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物からモリブデン含有皮膜を堆積する蒸着法も開示される。上記ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物は基板が内部に配置された反応器中に導入される。上記ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物の少なくとも一部は基板上に堆積されて、上記モリブデン含有皮膜が形成される。
実施例に一部説明されているように、本出願人らは驚くべきことに、アミド基に水素が含まれること(すなわちNHR’)で、類似のジアルキルアミド基(すなわちNR)によって堆積される皮膜と比較して、より迅速なALD成長速度、より高いALD温度域、及び得られた皮膜中のより低い不純物濃度がもたらされることを見出している。より迅速な成長速度は、堆積用工業装置中でのより高い処理量(例えばより多くのウェハを1時間当たりに加工する)を可能にするので、得られた層が同様の又はより良好な電気的性能を有するのであれば、主要な一つの利点である。
上記ALD温度域及び不純物濃度は、或る程度までは関連している。上記開示の分子のより高い熱的安定性は、類似のジアルキルアミド基の熱的安定性及びALD温度域と比較して、より高い温度でALD方式での堆積を可能にする。より高温での堆積は、還元剤の
反応性を高めることができ、こうしてより良好な皮膜密度と、MoN皮膜については、より低いC及びOの濃度と、MoO皮膜については、より低いC及びNの濃度が得られる。上記MoN皮膜のより高い密度は、該皮膜のバリア性を高めるであろう。MoO皮膜の堆積の場合に、より高いALD温度域は、より高いκ値をもたらすより良好な結晶学的相の堆積を可能にする。
MoN皮膜の抵抗率は、該皮膜中の任意の不純物、例えばC又はOの濃度によって影響される。より高いC濃度は、ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物の分解(すなわち該化合物の熱的不安定性)を触発することがある。上記MoN皮膜の抵抗率及びバリア性は、チップ性能(RC遅延、エレクトロマイグレーション、信頼度)に直接的な影響を及ぼす。上記MoO皮膜中のより高いC及びNの濃度は、該皮膜の漏れ電流を高めることがある。結果として、本出願人らは、驚くべきことに上記開示のMoN皮膜用の前駆体を使用する改善されたALD堆積プロセスを見出したと考えている。より驚くべきことは、Mo(NtBu)(NHtBu)を使用して得られる皮膜の特性において、類似のジアルキル化合物を用いて得られた結果と比較してかなりの改善があることである。上記の理由のため、当業者であれば、上記開示の前駆体を使用して、純粋なモリブデン、ケイ化モリブデン(MoSi)、ケイ化窒化モリブデン(MoSiN)皮膜、及び酸化モリブデン(MoO)皮膜の堆積においても同様の改善された結果を予想するであろう。
本出願人らは、アミド基中の水素(すなわちNHR’)は、化学吸着される化学種の安定性に重要であると考えている。本出願人らは、更に、嵩高いtBuアミド基が、金属周りの空間をtBuイミド基と共に対称的に完全に占有することによって大きな利点をもたらすと考えている。このことは、そのアミド基とイミド基との間における二重結合の非局在化の結果であるかもしれない。Correia-Anacletoらによって報告されているように、上記ALDメカニズムは、イミド基(すなわちNR)により起こり得る(8th Int'l Conference on Atomic Layer Deposition - ALD 2008, Wed M2b-8)。本出願人らは、アミド基中にHを含むことで、該アミド配位子に、類似のジアルキルアミド基よりも高い酸性を与えると考えている。NHR’基の酸性は、該アミド基を還元剤又は酸化剤に対してより高い反応性にし得る。NHR’基の酸性は、更に、該アミド基を基板表面に対してより低い反応性にし得る。結果として、化学吸着される化学種Moはより長い期間にわたり上記基板と接触したままとなり、こうして該化学吸着される化学種は、α−H活性化による配位子交換と、還元剤によるアミノ基転移又は酸化剤による酸化のいずれかとを通じて反応が可能となる(図1を参照)。本出願人らは、これらの反応の両方は、より迅速なALD成長速度とより高いALD温度域とをもたらすと考えている。結果として、上記開示の種類の分子を使用したALD堆積は、類似のジアルキル化合物のALD堆積と比較してより良好な皮膜をもたらすであろう。
開示されたビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物の少なくとも一部は、化学蒸着(CVD)、原子層堆積(ALD)又は気相コーティングと関連した他の種類の堆積、例えばプラズマ強化CVD(PECVD)、プラズマ強化ALD(PEALD)、パルスCVD(PCVD)、低圧CVD(LPCVD)、準大気圧CVD(SACVD)若しくは大気圧CVD(APCVD)、ホットワイヤーCVD(HWCVD、cat−CVDとしても知られる、ホットワイヤーが堆積プロセスのためのエネルギー源として作用するCVD)、空間的ALD、ホットワイヤーALD(HWALD)、ラジカル導入堆積(radicals incorporated deposition)及び超臨界流体堆積又はそれらの組合せによって基板上に堆積されて、モリブデン含有皮膜を形成し得る。上記堆積法は、適切な段差被覆性と皮膜厚さ制御をもたらすためALD、PE−ALD又は空間的ALDであることが好ましい。
上記開示の方法は、半導体、光起電装置、LCD−TFT又はフラットパネル型デバイスの製造において有用かもしれない。該方法は、上記開示の少なくとも1種のビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物の蒸気を、少なくとも1つの基板が内部に配置された反応器中に導入することと、上記ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物の少なくとも一部を少なくとも1つの基板上に蒸着プロセスを使用して堆積させて、モリブデン含有層を形成することとを含む。上記反応器内の温度及び圧力並びに上記基板の温度は、基板の少なくとも一表面上にMo含有層を形成するのに適した条件で保たれる。反応ガスを、上記Mo含有層の形成を促すために使用してもよい。
上記開示の方法は、基板上に蒸着プロセスを使用して二種金属含有層を形成するために、より具体的にはMoMO層(式中、Mは第二の元素であり、かつ第2族、第3族、第4族、第5族、第13族、第14族、遷移金属、ランタニド、及びそれらの組合せ、より好ましくはMg、Ca、Sr、Ba、Hf、Nb、Ta、Al、Si、Ge、Y又はランタニドからなる群から選択される)の堆積のために使用することもできる。この方法は、上記開示の少なくとも1種のビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物を、少なくとも1つの基板が内部に配置された反応器中に導入することと、上記反応器中に第二の前駆体を導入することと、上記ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物の少なくとも一部及び上記第二の前駆体の少なくとも一部を少なくとも1つの基板上に蒸着プロセスを使用して堆積させて、二種元素含有層を形成することとを含む。
反応器は、堆積法を行うデバイス内の任意のエンクロージャ又はチャンバ、例えば、限定されるものではないが、平行板型反応器、低温壁型反応器、高温壁型反応器、単一ウェハ反応器、マルチウェハ反応器、又は他のこのようなタイプの堆積システムであり得る。これらの例示的な反応器は全て、ALD反応器及びCVD反応器として機能することが可能である。反応器は約0.01Pa〜約1×10Pa、好ましくは約0.1Pa〜約1×10Paの範囲の圧力に維持され得る。加えて、反応器内の温度はほぼ室温(20℃)〜約500℃、好ましくは約330℃〜約500℃の範囲であり得る。僅かな実験によって所望の結果が得られるように、温度を最適化することができることが当業者には認識される。
上記反応器の温度は、基板ホルダーの温度を制御するか(いわゆる低温壁反応器)、又は該反応器壁の温度を制御するか(いわゆる高温壁反応器)、又は両者の方法の組合せのいずれかによって制御され得る。基板の加熱に使用されるデバイスは当該技術分野で既知である。
反応器壁を、所望の皮膜を十分な成長速度並びに所望の物理的状態及び組成で得るのに十分な温度に加熱することができる。反応器壁を加熱することができる非限定的な温度範囲の例としては、およそ20℃〜およそ500℃が挙げられる。プラズマ堆積プロセスを利用する場合、堆積温度はおよそ20℃〜およそ500℃の範囲であり得る。代替的には、熱プロセスを行う場合、堆積温度はおよそ100℃〜およそ500℃の範囲であり得る。
代替的には、基板を、所望のモリブデン含有層を十分な成長速度並びに所望の物理的状態及び組成で得るのに十分な温度に加熱することができる。基板を加熱することができる非限定的な温度範囲の例としては100℃〜500℃が挙げられる。基板の温度は500℃以下に保つのが好ましい。
モリブデン含有層が堆積される基板のタイプは最終的な使用目的に応じて変わる。いく
つかの実施形態では、基板はMIM、DRAM若しくはFeRam技術において誘電材料として使用される酸化物(例えば、ZrO系材料、HfO系材料、TiO系材料、希土類酸化物系材料、三元酸化物系材料等)から又は銅と低k層との間の酸素障壁として使用される窒化物系層(例えばTaN)から選ぶことができる。他の基板を半導体、光起電装置、LCD−TFT又はフラットパネルデバイスの製造に使用してもよい。このような基板の例としては、銅及びCuMnのような銅系合金、金属窒化物含有基板(例えば、TaN、TiN、WN、TaCN、TiCN、TaSiN及びTiSiN);絶縁体(例えば、SiO、Si、SiON、HfO、Ta、ZrO、TiO、Al及びチタン酸バリウムストロンチウム);又はこれらの材料のあらゆる数の組合せを含む他の基板等の固体基板が挙げられるが、これらに限定されない。例えば、ポリ(3,4−エチレンジオキシチオフェン)ポリ(スチレンスルホン酸)[PEDOT:PSS]のようなプラスチック基板を使用することができる。用いられる実際の基板は、用いられる特定の化合物の実施形態によっても変わり得る。しかし、多くの例では、用いられる好ましい基板は、Si及びSiO基板から選択される。
上記開示のビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物は、前駆体混合物の形成のために、無溶媒形(neat form)又は適切な溶媒、例えばエチルベンゼン、キシレン、メシチレン、デカン、ドデカンとの配合物でのいずれかで供給され得る。上記開示の化合物は、様々な濃度で上記溶媒中に存在してよい。
無溶媒の化合物又は前駆体混合物の1又は複数は、蒸気の形で通常の手段、例えば配管及び/又は流量計によって反応器中に導入される。上記無溶媒の化合物又は前駆体混合物の蒸気形は、上記無溶媒の化合物又は前駆体混合物を通常の気化工程、例えば直接気化、蒸留を通じて、バブリングによって、又は昇華器、例えばXuらによるPCT出願公報である国際公開第2009/087609号に開示される昇華器を使用することによって生成され得る。上記無溶媒の化合物又は前駆体混合物を、反応器に導入する前に、気化が行われる気化装置に液体状態で供給してもよい。代替的に、上記無溶媒の化合物又は前駆体混合物は、該無溶媒の化合物又は前駆体混合物を収容する容器中にキャリアガスを通すことによって、又は該無溶媒の化合物又は前駆体混合物中にキャリアガスをバブリングすることによって気化させることができる。上記キャリアガスは、Ar、He、N及びそれらの混合物を含み得るが、それらに限定されるものではない。上記キャリアガスと化合物は、次いで反応器中に蒸気として導入される。
必要であれば、上記無溶媒の化合物又は前駆体混合物の容器を、該無溶媒の化合物又は前駆体混合物がその液相で存在し、かつ十分な蒸気圧を有することを可能にする温度に加熱してよい。上記容器は、例えばおよそ0℃からおよそ200℃の範囲の温度で保持してよい。当業者は、該容器の温度を既知のように調整することで、気化される前駆体の量を制御することができることを理解している。
上記ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物と溶媒、第二の前駆体及び安定剤との、反応器中への導入の前の任意の混合に加えて、上記ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物を、反応器内部の反応ガスと混合してよい。例示される反応ガスは、限定されるものではないが、第二の前駆体、例えば遷移金属含有前駆体(例えばニオブ)、希土類含有前駆体、ストロンチウム含有前駆体、バリウム含有前駆体、アルミニウム含有前駆体、例えばTMA及びそれらの任意の組合せを含む。これらの前駆体又は他の第二の前駆体は、得られた層中に少量でドーパントとして、又は得られた層、例えばMoMO中に第二の金属若しくは第三の金属として組み込まれ得る。
上記反応ガスは、限定されるものではないが、N、H、NH、SiH、Si
、Si、(Me)SiH、(CSiH、(CHSiH、(CSiH、[N(CSiH、N(CH、N(C、(SiMeNH、(CH)HNNH、(CHNNH、フェニルヒドラジン、B、(SiHN、これらの還元剤のラジカル種及びこれらの還元剤の混合物から選択される還元剤を含み得る。ALDプロセスが行われる場合には、上記還元試薬はHであることが好ましい。
所望のモリブデン含有層がまた酸素を含む場合に、例えば限定されるものではないが、MoO及びMoMOの場合に、上記反応ガスは、限定されるものではないが、O、O、HO、H、酢酸、ホルマリン、パラホルムアルデヒド、これらの酸化剤のラジカル種及びこれらの酸化剤の混合物から選択される酸化剤を含んでよい。ALDプロセスが行われる場合には、上記酸化試薬はHOであることが好ましい。
上記反応ガスは、該反応ガスをそのラジカル形へと分解させるためにプラズマによって処理することができる。該プラズマは、反応チャンバ自体の内部で生成されても、又はその内部に存在してもよい。代替的に、上記プラズマは、一般的に該反応チャンバから隔てた位置に存在し、例えば遠隔設置されたプラズマシステム中に存在してよい。当業者は、そのようなプラズマ処理に適した方法及び装置を認識しているであろう。
例えば、上記反応ガスは、ダイレクトプラズマ反応器中に導入し、反応チャンバ中でプラズマを生成することで、プラズマ処理された反応ガスを該反応チャンバ中で生成することができる。例示されるダイレクトプラズマ反応器は、Trion Technologies社製のTitan(商標)PECVDシステムを含む。上記反応ガスは、プラズマ処理前に反応チャンバ中に導入され、保持されてよい。代替的に、上記プラズマ処理は、反応ガスの導入と同時に行ってよい。in−situプラズマは、典型的には、シャワーヘッドと基板ホルダーとの間で発生される13.56MHzの容量結合型RFプラズマである。上記基板又はシャワーヘッドは、陽イオン衝撃が生ずるかどうかに応じて、パワード電極であってよい。in−situプラズマ発生器中の一般的な印加電力は、およそ30Wからおよそ1000Wまでである。好ましくは、およそ30Wからおよそ600Wまでの電力が上記開示の方法で使用される。より好ましくは、上記電力は、およそ100Wからおよそ500Wまでの範囲である。in−situプラズマを使用する反応ガスの解離は、同じ電力入力にてリモートプラズマ源を使用して達成されるよりも一般的に低く、したがって反応ガス解離の点で、プラズマにより簡単に損傷を受ける基板上のモリブデン含有皮膜の堆積のために有用なことがあるリモートプラズマシステムほど効率的ではない。
代替的に、プラズマ処理された反応ガスは反応チャンバの外側で生成され得る。MKS Instruments社のASTRONi(商標)反応性ガス発生器を使用して、反応ガスを反応チ
ャンバ中に通過させる前に処理してよい。2.45GHz、7kWのプラズマ出力及びおよそ3Torrからおよそ10Torrまでの範囲の圧力で作動させると、反応ガスOは、2つのOラジカルへと分解され得る。好ましくは、リモートプラズマは、約1kWから約10kWまでの範囲の、より好ましくは約2.5kWから約7.5kWまでの範囲の出力で発生させることができる。
所望のモリブデン含有層が、例えば限定するものではないが、Nb、Sr、Ba、Al、Ta、Hf、Nb、Mg、Y、Ca、As、Sb、Bi、Sn、Pb、Mn、ランタニド(Er等)又はそれらの組合せ等の別の元素も含有する場合、反応ガスは限定するものではないが、金属アルキル、例えば(Me)Al、金属アミン、例えばNb(Cp)(NtBu)(NMe及びそれらの任意の組合せから選択される第二の前駆体を含み得る。
上記ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物及び1種又は複数種の反応ガスは、反応器中に同時に(化学蒸着)、連続的に(原子層堆積)、又は他の組合せで導入してよい。例えば、上記ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物は、1パルスで導入されてよく、かつ2つの追加の前駆体は、別個のパルスで一緒に導入されてよい(改良型原子層堆積)。代替的に、上記反応器は、ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物の導入前に反応ガスを既に含有してよい。代替的に、上記ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物は反応器へと連続的に導入してよいが、他の反応ガスはパルスによって導入される(パルス化学蒸着)。上記反応ガスは、近くにある又は反応器から離れたプラズマシステムを通過して、ラジカルへと分解され得る。それぞれの例では、パルスに引き続きパージ又は排出工程が行われることで、導入された過剰量の成分を除去することができる。それぞれの例においては、上記パルスは、約0.01秒から約30秒までの範囲の時間間隔にわたり、選択的に約0.3秒から約3秒までの範囲の時間間隔にわたり、選択的に約0.5秒から約2秒までの範囲の時間間隔にわたり持続し得る。もう一つの選択肢においては、上記ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物及び1種又は複数種の反応ガスは、いくつかのウェハを保持するサセプターが下を回転するシャワーヘッドから同時に噴霧され得る(空間的ALD)。
限定されるものではないが、一つの例示的な原子層堆積型のプロセスにおいては、ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物の蒸気相は、蒸気相が適切な基板と接触される反応器中に導入される。次いで、過剰のビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物は、反応器から該反応器のパージング及び/又は排出によって取り出すことができる。酸化試薬は、酸化試薬と吸収されたビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物とが自己制御式に反応する反応器中に導入される。全ての過剰な酸化試薬は、反応器から該反応器のパージング及び/又は排出によって除去される。所望される層が酸化モリブデン層である場合に、上記の2工程プロセスは、所望の層厚を提供し得るか、又は必要な厚さを有する層が得られるまで繰り返すことができる。
酸化モリブデン薄層(MoO)は、更に、300℃〜1000℃の範囲の温度で、還元雰囲気下で、例えば水素(H)と窒素(N)とを混ぜた雰囲気下でアニーリングすることで、DRAMキャパシタ電極として使用するのに適したものといえる導電性二酸化モリブデン層(MoO)が形成され得る。酸化剤濃度とパルス時間は、吸着されたモリブデン前駆体が完全に酸化されないように選択される。このことは、最終材料組成がMoOの亜酸化物となることを保証する。代替的に、純粋なモリブデン金属の層(すなわち酸化パルスなし)は、最終材料組成物がアニーリング後にMoOの亜酸化物となることを保証するために、多くのMoO層の中に散在し得る。
代替的に、所望されるMoO層が第二の元素を含む場合に(すなわち、MoMO)、上記2工程プロセスに引き続き、第二の前駆体の蒸気が上記反応器中に導入され得る。上記第二の前駆体は、堆積されるMoMO層の性質に基づき選択されるであろう。反応器中に導入した後に、上記第二の前駆体は基板と接触される。全ての過剰な第二の前駆体は、反応器から該反応器のパージング及び/又は排出によって除去される。もう一度、酸化試薬を、上記第二の前駆体と反応させるために反応器中に導入してもよい。過剰な酸化試薬は、反応器から該反応器のパージング及び/又は排出によって除去される。所望される層厚が達成されたら、上記プロセスを終了させてよい。しかしながら、より厚い層が望まれる場合に、全部で4工程のプロセスを繰り返してよい。ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物、第二の前駆体及び酸化試薬の供給を交互にすることによって、所望の組成及び厚さのMoMO層を堆積させることができる。
例えば、ルチル型酸化チタン(TiO)のエピタキシャル薄層は、MoO基板上でALD方式により作製され得る。チタン前駆体、例えばチタンペンタメチルシクロペンタジエニルトリメトキシ(TiCp(OMe))の蒸気を反応器中に導入し、引き続きパージして、酸化剤の蒸気導入を行い、そしてパージすることができる。代替的に、酸化ジルコニウム(ZrO)薄層は、MoO基板上でALD方式により作製され得る。ジルコニウム前駆体、例えばジルコニウムシクロペンタジエニルトリスジメチルアミノ(ZrCp(NMe)の蒸気を反応器中に導入し、引き続きパージして、酸化剤の蒸気導入を行い、そしてパージすることができる。MoO上に堆積されたZrOの成長速度は、TiN上に堆積されたものよりも大きくてもよい。
追加的に、パルスの数を変化させることによって、所望の化学量論比M:Moを有する層を得ることができる。例えば、MoMO層は、上記ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物の1つのパルスと、上記第二の前駆体の1つのパルスとを有し、それぞれのパルスに上記酸化試薬のパルスが続くことによって得ることができる。しかしながら、当業者は、所望の層を得るのに必要とされるパルスの数は、得られる層の化学量論比と同じでないことがあることを理解するであろう。
上記議論の方法により得られるモリブデン含有層は、純粋なモリブデン(Mo)、窒化モリブデン(Mo)、炭化モリブデン(Mo)、浸炭窒化モリブデン(Mo)、ケイ化モリブデン(MoSi)又は酸化モリブデン(Mo)の皮膜であり、上記式中、k、l、m及びnは1から6までを含めた範囲である。好ましくは、窒化モリブデン及び炭化モリブデンはMo又はMoであり、上記式中、k及びlはそれぞれ0.5〜1.5の範囲である。より好ましくは、窒化モリブデンはMoであり、かつ炭化モリブデンはMoである。好ましくは、酸化モリブデン及びケイ化モリブデンはMo及びMoSiであり、上記式中、nは0.5〜1.5の範囲であり、かつmは1.5〜3.5の範囲である。より好ましくは、酸化モリブデンはMoO又はMoOであり、かつケイ化モリブデンはMoSiである。
当業者は、適切なビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物及び反応ガスの適正な選択によって、所望のMo含有層の組成を得ることができることを理解するであろう。
上記のMo皮膜又はMoN皮膜は、50μΩ・cm−1〜5000μΩ・cm−1の範囲の、好ましくは50μΩ・cm−1〜1000μΩ・cm−1の範囲の抵抗率を有するであろう。上記Mo皮膜又はMoN皮膜中のC含量は、熱的ALDによって堆積された皮膜については、およそ0.01原子%からおよそ10原子%までであり、かつPEALDによって堆積された皮膜については、およそ0.01原子%からおよそ4原子%までの範囲であろう。上記MoO皮膜中のC含量は、およそ0.01原子%からおよそ2原子%までの範囲であろう。
所望の皮膜厚を得るのに、皮膜を熱アニーリング、炉アニーリング、高速熱アニーリング、UV硬化若しくは電子ビーム硬化及び/又はプラズマガス曝露等の更なる処理に供することができる。これらの付加的な処理工程を行うために利用するシステム及び方法が当業者には認識される。例えば、モリブデン含有皮膜を不活性雰囲気、H含有雰囲気、N含有雰囲気、O含有雰囲気又はこれらの組合せの下で、およそ200℃〜およそ1000℃の範囲の温度に、およそ0.1秒〜およそ7200秒の範囲の時間にわたって曝露することができる。最も好ましくは、温度はH含有雰囲気下、3600秒で400℃である。得られる皮膜に含まれ得る不純物がより少ないことから、密度が改善され、漏れ電流の改善がもたらされ得る。アニーリング工程は、堆積プロセスを行うのと同じ反応チャンバ内で行うことができる。代替的には、基板を反応チャンバから取り出し、アニーリング/フラ
ッシュアニーリングプロセスを別の装置で行ってもよい。上記後処理法のいずれか、特に熱的アニーリングは、上記モリブデン含有皮膜の任意の炭素及び窒素の混入を効率的に減らすことが予想される。これはまた、上記皮膜の抵抗率を向上させることが予想される。後処理後のMoN皮膜の抵抗率は、およそ50μΩ・cm−1〜1000μΩ・cm−1の範囲であってよい。
もう一つの選択肢においては、上記開示のビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物は、ドーピング剤又は注入剤として使用することができる。上記開示のビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物の一部は、ドープされるべき皮膜、例えば酸化インジウム(In)皮膜、二酸化バナジウム(VO)皮膜、酸化チタン皮膜、酸化銅皮膜、又は二酸化スズ(SnO)皮膜の上面に堆積され得る。モリブデンは、次いで、アニーリング工程の間に上記皮膜中に拡散して、モリブデンドープされた皮膜を形成する{(Mo)In、(Mo)VO、(Mo)TiO、(Mo)CuO又は(Mo)SnO}(例えば、Lavoieらによる米国特許出願公開第2008/0241575号を参照のこと)。そのドーピング法はその全体が引用することにより本明細書の一部をなす。代替的に、エネルギー可変型高周波四重極注入装置を使用した高エネルギーイオン注入を使用して、上記ビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物のモリブデンを皮膜中にドープすることができる(例えば、KensukeらのJVSTA 16(2) Mar/Apr 1998を参照のこと)。その注入法はその全体が引用することにより本明細書の一部をなす。もう一つの選択肢においては、プラズマドーピング、パルスプラズマドーピング、又はプラズマ浸漬イオン注入は、上記開示のビス(アルキルイミド)−ビス(アルキルアミド)モリブデン化合物を使用して実施することができる(例えば、Felchらの超浅接合の作製のためのプラズマドーピング(Plasma doping
for the fabrication of ultra-shallow junctions)、Surface Coatings Technology, 156 (1-3) 2002, pp. 229-236を参照のこと)。そのドーピング法はその全体が引用することにより本明細書の一部をなす。
本発明の実施形態を更に説明するために、以下の非限定的な実施例を提供する。しかしながら、実施例は、全て包括的なものであると意図されるものではなく、本明細書中に記載される本発明の範囲を限定するように意図されるものでもない。
実施例1:Mo(NtBu)(NHtBu)及びアンモニアを使用したMoN皮膜の堆積
Mo(NtBu)(NHtBu)を、共反応物としてアンモニアを使用するALD方式でのMoN皮膜の堆積のために使用した。上記モリブデン分子は、キャニスター中に保管し、80℃で加熱し、そして蒸気はN又はArバブリング法によって反応炉へと供給する。導管は、反応物の凝縮を避けるために100℃に加熱する。送出構成は、モリブデン前駆体の蒸気とアンモニアとの交互の導入を可能にする。窒化モリブデン皮膜は、425℃において約1.3Å/サイクルの堆積速度で得られる(図2)。この温度を上回ると、堆積速度は劇的に増大する。それは、Mo(NtBu)(NHtBu)がこの温度を上回ると熱的自己分解を起こすことの証拠かもしれない。
ALDの飽和モード特性は、350℃と400℃との温度で得られた。それというのも、上記前駆体のパルス時間の増加がMoN皮膜の成長速度に影響を及ぼさず、それが一定に留まったからである(図3)。400℃では、皮膜成長の良好な線形性(R=0.9998)が、サイクル数の関数として得られた(図4)。400℃での高度にコンフォーマルな皮膜成長は走査型電子顕微鏡(SEM)により特徴付られた。それは、該分子の高い安定性が良好な段差被覆性に有用であることを表している(図5)。該皮膜の組成を、XPSによって分析した(図6)。上記皮膜は、化学量論的なMoNである。Cの濃度は
約10原子%である。Oの濃度は約8原子%である。これらの低い濃度は、該皮膜の良好な品質を示している。上記皮膜の良好な品質は、更に、該MoN皮膜の低い抵抗率によって確認された。上記MoN皮膜の抵抗率は、広い堆積温度域にわたり測定された(図7)。堆積温度がより高いと、該皮膜の抵抗率がより低くなることが観察される。この結果は、高温ALDプロセスの利益が、本明細書に記載される一群の安定な分子の使用により可能になったことを立証している。
文献からの反証例:
Miikkulainenらは、Chem. Vap. Deposition ((2008) 14, 71-77)において、NHとMo(NtBu)(NMe又はMo(NtBu)(NEtとからのMoNのALD堆積の結果を開示している。Miikkulainenらは、ALDがMo(NtBu)(NiPrではその熱的不安定性のため不適切であると開示している(同上書第72頁)。Miikkulainenらは、Mo(NtBu)(NEtについての堆積試験結果が、Mo(NtBu)(NMeについて以前に報告されていた結果と同様であり、両者とも300℃の最高成長温度と0.5Å/サイクルの成長速度を示すことを報告している(同上書第73頁)。更に、Mo(NtBu)(NMe及びMo(NtBu)(NEtの堆積によって作製されたMoN皮膜は、同様の元素組成:Mo37%、N41%、C8%、O14%を有する(同上書第74頁〜第75頁)。
実施例1に記載されるMo(NtBu)(NHtBu)化合物についてのALD温度域は、Mo(NtBu)(NMe及びMo(NtBu)(NEtの温度域よりも約100℃高い。Mo(NtBu)(NMe及びMo(NtBu)(NEtを使用したときの成長速度は、実施例1に記載されるMo(NtBu)(NHtBu)化合物で得られる成長速度の半分未満である。Mo(NtBu)(NMe及びMo(NtBu)(NEtによって作製されたMoN皮膜中のO濃度は、実施例1のMo(NtBu)(NHtBu)化合物によって作製されたMoN皮膜中の濃度のほぼ二倍である。
Mo(NtBu)(NHtBu)を使用するプロセスは、Mo(NtBu)(NMe及びMo(NtBu)(NEtを使用するプロセスに対して、温度域、成長速度及びO濃度の点で予測し得ない優れた結果をもたらす。
実施例2:MoO堆積
実施例1と同じ前駆体が使用されるが、NHはオゾン(O)と置き換える。同じALD導入スキームを使用する。飽和は400℃で得られると予想される。組成分析により、得られた皮膜がMoO、MoO又はMo(式中、x及びyは、1〜5から選択される)であることと、該皮膜中の炭素含量が低い(0〜2原子%)こととが確認されると予想される。H/N混合物雰囲気下での500℃での10分間にわたるアニーリングの後の酸化モリブデン層は、MoOであると予想される。
実施例3:PEALDによるMoN堆積
実施例1と同じ前駆体をNHと一緒に使用し、ALD方式のスキームにおいて反応チャンバーに供給した。この場合に、200Wの直接プラズマ源は、NHパルスの間にスイッチを入れた。窒化モリブデン皮膜は、450℃まで約1.0Å/サイクルの堆積速度で得られた(図8)。プラズマ源の使用は、炭素及び酸素という不純物の濃度を約2%未満にまで下げることを可能にした(図9)。上記MoN皮膜の抵抗率を、広い堆積温度域にわたり測定した(図10)。そして該皮膜中の低い不純物の結果として、抵抗率もまた612μΩ・cmほど低下される。
本発明の実施形態を示し、記載しているが、それらの修正は、本発明の趣旨又は教示か
ら逸脱することなく当業者が行うことができる。本明細書中に記載される実施形態は例示的なものにすぎず、限定的なものではない。組成物及び方法の多くの変形及び修正が可能であり、本発明の範囲内である。それ故、保護範囲は本明細書中に記載される実施形態に限定されず、添付の特許請求の範囲によってのみ限定されるものであり、その範囲は、特許請求の範囲の主題のあらゆる均等物を含むものとする。

Claims (9)

  1. モリブデン含有皮膜を基板上に形成する原子層堆積法であって、
    式Mo(NtBu)(NHtBu)で表されるモリブデン含有前駆体を、基板を収容した蒸着チャンバ中に導入することと、
    前記モリブデン含有前駆体を前記基板に接触させることと、
    還元剤を前記蒸着チャンバ中に導入して前記モリブデン含有皮膜を形成することと、
    を含む、原子層堆積法。
  2. 前記還元剤は、N、H、NH、SiH、Si、(Me)SiH、(CSiH、(CHSiH、(CSiH、[N(CSiH、N(CH、N(C、(SiMeNH、(CH)HNNH、(CHNNH、フェニルヒドラジン、B、(SiHN、これらの還元剤のラジカル種及びこれらの還元剤の混合物から選択される、請求項1に記載の原子層堆積法。
  3. 前記還元剤は、NHである、請求項2に記載の原子層堆積法。
  4. 前記還元剤をプラズマ処理することをさらに含む、請求項1〜3のいずれか1項に記載の原子層堆積法。
  5. 前記蒸着チャンバ内の温度を330℃〜450℃の間の温度に維持することを含む、請求項1〜4のいずれか1項に記載の原子層堆積法。
  6. 前記モリブデン含有皮膜が、窒化モリブデンである、請求項1〜4のいずれか1項に記載の原子層堆積法。
  7. 前記窒化モリブデン皮膜は、炭素及び酸素の不純物を2%未満含む、請求項6に記載の原子層堆積法。
  8. 炭素及び酸素の不純物を2%未満含む窒化モリブデン皮膜を堆積させる原子層堆積プロセスであって、
    式Mo(NtBu)(NHtBu)で表されるモリブデン含有前駆体を、基板を収容した蒸着チャンバ中に導入することと、
    前記モリブデン含有前駆体を前記基板に接触させることと、
    プラズマにより活性化されたNHを前記蒸着チャンバ中に導入して前記窒化モリブデン皮膜を形成することと、
    を含む、原子層堆積法。
  9. 前記蒸着チャンバ内の温度を330℃〜450℃の間の温度に維持することを含む、請求項8に記載の原子層堆積法。
JP2018096998A 2013-03-15 2018-05-21 モリブデン含有皮膜の堆積のためのビス(アルキルイミド)−ビス(アルキルアミド)モリブデン分子 Pending JP2018150627A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
IBPCT/IB2013/001038 2013-03-15
PCT/IB2013/001038 WO2014140672A1 (en) 2013-03-15 2013-03-15 Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2015562387A Division JP2016516892A (ja) 2013-03-15 2014-03-12 モリブデン含有皮膜の堆積のためのビス(アルキルイミド)−ビス(アルキルアミド)モリブデン分子

Publications (1)

Publication Number Publication Date
JP2018150627A true JP2018150627A (ja) 2018-09-27

Family

ID=51535926

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2015562387A Pending JP2016516892A (ja) 2013-03-15 2014-03-12 モリブデン含有皮膜の堆積のためのビス(アルキルイミド)−ビス(アルキルアミド)モリブデン分子
JP2018096998A Pending JP2018150627A (ja) 2013-03-15 2018-05-21 モリブデン含有皮膜の堆積のためのビス(アルキルイミド)−ビス(アルキルアミド)モリブデン分子

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2015562387A Pending JP2016516892A (ja) 2013-03-15 2014-03-12 モリブデン含有皮膜の堆積のためのビス(アルキルイミド)−ビス(アルキルアミド)モリブデン分子

Country Status (6)

Country Link
US (2) US20160040289A1 (ja)
JP (2) JP2016516892A (ja)
KR (1) KR101627988B1 (ja)
SG (1) SG11201507672QA (ja)
TW (1) TWI596099B (ja)
WO (2) WO2014140672A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20240064026A (ko) 2021-10-01 2024-05-10 가부시키가이샤 아데카 박막 형성용 원료, 박막의 제조 방법, 박막 및 몰리브덴 화합물

Families Citing this family (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102101644B1 (ko) * 2014-05-12 2020-04-17 엘지디스플레이 주식회사 유기발광소자 및 이의 제조방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10453744B2 (en) 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US20180142345A1 (en) * 2016-11-23 2018-05-24 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10510590B2 (en) * 2017-04-10 2019-12-17 Lam Research Corporation Low resistivity films containing molybdenum
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) * 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
TWI784036B (zh) * 2017-08-30 2022-11-21 荷蘭商Asm智慧財產控股公司 層形成方法
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20190067095A1 (en) * 2017-08-30 2019-02-28 Asm Ip Holding B.V. Layer forming method
US11056344B2 (en) * 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11560625B2 (en) * 2018-01-19 2023-01-24 Entegris, Inc. Vapor deposition of molybdenum using a bis(alkyl-arene) molybdenum precursor
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11021793B2 (en) * 2018-05-31 2021-06-01 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Group 6 transition metal-containing compositions for vapor deposition of group 6 transition metal-containing films
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US20200131628A1 (en) * 2018-10-24 2020-04-30 Entegris, Inc. Method for forming molybdenum films on a substrate
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR102355507B1 (ko) 2018-11-14 2022-01-27 (주)디엔에프 몰리브덴 함유 박막의 제조방법 및 이로부터 제조된 몰리브덴함유 박막
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021052034A (ja) * 2019-09-20 2021-04-01 東京エレクトロン株式会社 金属酸化物膜の形成方法及び成膜装置
JP2021052033A (ja) * 2019-09-20 2021-04-01 東京エレクトロン株式会社 金属酸化物膜の形成方法及び成膜装置
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210119809A (ko) 2020-03-25 2021-10-06 삼성전자주식회사 몰리브덴 화합물과 이를 이용한 집적회로 소자의 제조 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
JP7433132B2 (ja) * 2020-05-19 2024-02-19 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11562904B2 (en) * 2020-07-21 2023-01-24 Applied Materials, Inc. Deposition of semiconductor integration films
US11886120B2 (en) * 2020-07-21 2024-01-30 Applied Materials, Inc. Deposition of semiconductor integration films
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11390638B1 (en) 2021-01-12 2022-07-19 Applied Materials, Inc. Molybdenum(VI) precursors for deposition of molybdenum films
US11459347B2 (en) 2021-01-12 2022-10-04 Applied Materials, Inc. Molybdenum(IV) and molybdenum(III) precursors for deposition of molybdenum films
US11434254B2 (en) 2021-01-12 2022-09-06 Applied Materials, Inc. Dinuclear molybdenum precursors for deposition of molybdenum-containing films
US11854813B2 (en) 2021-02-24 2023-12-26 Applied Materials, Inc. Low temperature deposition of pure molybenum films
US11760768B2 (en) 2021-04-21 2023-09-19 Applied Materials, Inc. Molybdenum(0) precursors for deposition of molybdenum films
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20220372053A1 (en) * 2021-05-21 2022-11-24 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Stable bis(alkyl-arene) transition metal complexes and methods of film deposition using the same
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20240063021A (ko) * 2022-10-31 2024-05-09 주식회사 유피케미칼 몰리브데늄 전구체 화합물, 이의 제조방법, 및 이를 이용한 몰리브데늄-함유 박막의 증착 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006522225A (ja) * 2003-04-04 2006-09-28 アプライド マテリアルズ インコーポレイテッド 窒化ハフニウム堆積の方法
JP2011523503A (ja) * 2008-05-01 2011-08-11 オヴォニクス,インコーポレイテッド 相変化メモリデバイスに電極を形成する気相法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5064686A (en) * 1990-10-29 1991-11-12 Olin Corporation Sub-valent molybdenum, tungsten, and chromium amides as sources for thermal chemical vapor deposition of metal-containing films
US6114242A (en) * 1997-12-05 2000-09-05 Taiwan Semiconductor Manufacturing Company MOCVD molybdenum nitride diffusion barrier for Cu metallization
US6835671B2 (en) 2002-08-16 2004-12-28 Freescale Semiconductor, Inc. Method of making an integrated circuit using an EUV mask formed by atomic layer deposition
EP2029790A1 (en) * 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
WO2010114386A1 (en) * 2009-03-30 2010-10-07 Universitetet I Oslo Thin films containing molybdenum oxide
SG187920A1 (en) * 2010-08-27 2013-03-28 Sigma Aldrich Co Llc Molybdenum (iv) amide precursors and use thereof in atomic layer deposition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006522225A (ja) * 2003-04-04 2006-09-28 アプライド マテリアルズ インコーポレイテッド 窒化ハフニウム堆積の方法
JP2011523503A (ja) * 2008-05-01 2011-08-11 オヴォニクス,インコーポレイテッド 相変化メモリデバイスに電極を形成する気相法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
H-T CHIU ET.AL.: "Deposition of molybdenum carbonitride thin films from Mo(NBut)2(NHBut)2", J. MATER. RES., vol. 9, no. 7, JPN6017023001, July 1994 (1994-07-01), pages 1622 - 1624 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20240064026A (ko) 2021-10-01 2024-05-10 가부시키가이샤 아데카 박막 형성용 원료, 박막의 제조 방법, 박막 및 몰리브덴 화합물

Also Published As

Publication number Publication date
JP2016516892A (ja) 2016-06-09
WO2014140672A1 (en) 2014-09-18
WO2014140863A3 (en) 2015-01-29
SG11201507672QA (en) 2015-10-29
TW201504247A (zh) 2015-02-01
US20160002786A1 (en) 2016-01-07
KR20150126857A (ko) 2015-11-13
WO2014140863A2 (en) 2014-09-18
US20160040289A1 (en) 2016-02-11
TWI596099B (zh) 2017-08-21
KR101627988B1 (ko) 2016-06-07

Similar Documents

Publication Publication Date Title
JP2018150627A (ja) モリブデン含有皮膜の堆積のためのビス(アルキルイミド)−ビス(アルキルアミド)モリブデン分子
JP6437962B2 (ja) 13族金属又は半金属の窒化物膜の堆積方法
KR102536435B1 (ko) 6족 전이 금속-함유 막의 증착용 6족 막 형성 조성물
JP6337116B2 (ja) 第5族遷移金属含有膜を蒸着させるための第5族遷移金属含有化合物
KR101304760B1 (ko) 증착용 티타늄 함유 전구체
US10023462B2 (en) Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
US10309010B2 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
US9663547B2 (en) Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
KR102514667B1 (ko) 지르코늄-함유 막의 증기 증착을 위한 지르코늄-함유 막 형성 조성물
KR20240008929A (ko) 안정한 비스(알킬-아렌) 전이 금속 착물 및 이를 사용한 필름 증착 방법
TW201335417A (zh) 用於ald沈積金屬矽酸鹽膜之含矽化合物
TWI756959B (zh) 膜或塗層之方法
WO2014118751A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition
US20160032454A1 (en) Bis(alkylimido)-bis(alkylamido)tungsten molecules for deposition of tungsten-containing films
US9790247B2 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
US10106568B2 (en) Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
WO2014118750A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180521

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190520

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20190903