KR20150126857A - 몰리브데넘-함유 필름의 증착을 위한 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 분자 - Google Patents

몰리브데넘-함유 필름의 증착을 위한 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 분자 Download PDF

Info

Publication number
KR20150126857A
KR20150126857A KR1020157024884A KR20157024884A KR20150126857A KR 20150126857 A KR20150126857 A KR 20150126857A KR 1020157024884 A KR1020157024884 A KR 1020157024884A KR 20157024884 A KR20157024884 A KR 20157024884A KR 20150126857 A KR20150126857 A KR 20150126857A
Authority
KR
South Korea
Prior art keywords
molybdenum
containing precursor
nhtbu
ntbu
nhipr
Prior art date
Application number
KR1020157024884A
Other languages
English (en)
Other versions
KR101627988B1 (ko
Inventor
줄리앙 가티노
창희 고
지로 요코타
클레몽 란샐롯-마트라스
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드, 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20150126857A publication Critical patent/KR20150126857A/ko
Application granted granted Critical
Publication of KR101627988B1 publication Critical patent/KR101627988B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물, 그것의 합성, 및 몰리브데넘-함유 필름의 증착을 위한 그것의 용도가 공개된다.

Description

몰리브데넘-함유 필름의 증착을 위한 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 분자 {BIS(ALKYLIMIDO)-BIS(ALKYLAMIDO)MOLYBDENUM MOLECULES FOR DEPOSITION OF MOLYBDENUM-CONTAINING FILMS}
관련 출원에 대한 교차 참조
이 출원은 2013 년 3 월 15 일에 제출된 PCT 출원 번호 PCT/IB2013/001038 에 대한 우선권을 주장하며, 상기 문헌의 전체 내용은 본원에 참조로 포함된다.
기술 분야
비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물, 그것의 합성, Mo-함유 필름의 증착 (deposition) 을 위한 그것의 용도가 공개된다.
전세계의 많은 반도체 팀의 목표 중 하나는 저항률이 낮은 MoN 필름을 증착시킬 수 있는 것이다. Hiltunen 등은 Thin Solid Films (166 (1988) 149-154) 에서 전구체로서 MoCl5 및 NH3 을 사용하여 500℃ 에서 몰리브데넘 니트리드 필름을 증착시켰다. 이후 동일한 MoCl5-NH3 공정이 J. ElectroChem. Soc. (Juppo el al., 147 (2000) 3377-3381) 에서 400℃ 및 500℃ 에서 연구되었다. Juppo 등에 의해 500℃ 에서 수득된 결과는 Hiltunen 등에 의해 이전 연구에서 수득된 결과와 꽤 유사했다. 증착된 필름은 매우 낮은 저항률 (100μΩcm) 및 염소 함량 (1 원자%) 을 가졌다. 더욱이, 400℃ 에서 증착된 필름은 품질이 불량했고, 증착률 (deposition rate) 은 오직 0.02 Å/사이클 이었고, 염소 함량은 10 원자% 였고, 시트 저항은 측정될 수 없었다. 이들 할로겐화물-암모니아 시스템으로, 반응성 수소 할로겐화물이 부산물로서 방출된다.
일반식 Mo(NR)2(NR'2)2 을 갖는 할로겐화물-비함유 이미도-아미도 금속-유기 전구체가 몰리브데넘 니트리드 또는 카르보니트리드 증착을 위해 도입되었다. Chiu el al., J. Mat. Res. 9 (7), 1994, 1622-1624; Sun 등의 미국 특허 번호 6,114,242; Crane el al., J. Phys. Chem. B 2001, 105, 3549-3556; Miikkulainen el al., Chem Mater. (2007), 19, 263-269; Miikkulainen el al., Chem. Vap. Deposition (2008) 14, 71-77.
Miikkulainen 등은 상기 Chem. Mater. (2007) 및 Chem. Vap. Deposition (2008) 에서 Mo(NR)2(NR'2)2 전구체를 사용하는 ALD 증착을 공개한다. MoCl5 의 경우보다 더 낮은 온도에서 ALD 포화 모드가 관찰되었고, 부식성 부산물의 배출이 방지되었다. Miikkulainen 등은 이소프로필 유도체 (즉, Mo(NtBu)2(NiPr2)2) 가 열적으로 불안정하다고 보고했다. Miikkulainen 등은 에틸 유도체가 ALD 전구체로서 285-300℃ 의 ALD 윈도우 (window) 에서 적용가능하다고 보고했다.
Chiu 등은 상기 J. Mat. Res 에서 Mo(NtBu)2(NHtBu)2 를 사용하는 MoN 의 CVD 증착을 공개한다.
또다른 목적은 더 높은 κ 값 및 낮은 누설 전류를 갖는 MoO 필름을 증착시킬 수 있는 것이다.
상업적으로 적합한 MoN 또는 MoO 필름의 증착을 위한 적합한 몰리브데넘 전구체에 대한 필요가 여전히 존재한다.
기호 및 명명법
특정 약어, 기호, 및 용어가 하기 명세서 및 청구항 전체에서 사용되고, 하기를 포함한다:
본원에서 사용되는, 부정 관사 "하나" 또는 "한" 은 하나 이상을 의미한다.
본원에서 사용되는, 용어 "독립적으로" 는 R 기를 기술하는 맥락에서 사용될 때 주제 R 기가 독립적으로 동일한 또는 상이한 아래첨자 또는 윗첨자를 보유하는 다른 R 기와 관련하여 선택될 뿐만 아니라, 또한 독립적으로 동일한 R 기의 임의의 부가적 종과 관련하여 선택된다고 이해될 것이다. 예를 들어 화학식 Mo(NR)2(NHR')2 에서, 2 개의 이미도 R 기는 서로 동일한 수 있으나, 반드시 그럴 필요는 없다.
본원에서 사용되는, 용어 "알킬 기" 는 탄소 및 수소 원자를 배타적으로 함유하는 포화 관능기를 나타낸다. 추가로, 용어 "알킬 기" 는 선형, 분지형, 또는 시클릭 알킬 기를 나타낸다. 선형 알킬 기의 예는 메틸 기, 에틸 기, 프로필 기, 부틸 기 등을 제한 없이 포함한다. 분지형 알킬 기의 예는 t-부틸을 제한 없이 포함한다. 시클릭 알킬 기의 예는 시클로프로필 기, 시클로펜틸 기, 시클로헥실 기 등을 제한 없이 포함한다.
본원에서 사용되는, 용어 "탄화수소" 는 수소 및 탄소 원자를 배타적으로 함유하는 관능기를 의미한다. 관능기는 포화 (오직 단일 결합을 함유함) 또는 불포화 (이중 또는 삼중 결합을 함유함) 일 수 있다.
본원에서 사용되는, 약어 "Me" 는 메틸 기를 나타내고; 약어 "Et" 는 에틸 기를 나타내고; 약어 "Pr" 은 n-프로필 기를 나타내고; 약어 "iPr" 은 이소프로필 기를 나타내고; 약어 "Bu" 는 n-부틸 기를 나타내고; 약어 "tBu" 는 tert-부틸 기를 나타내고; 약어 "sBu" 는 sec-부틸 기를 나타내고; 약어 "iBu" 는 이소-부틸 기를 나타내고; 약어 "tAmyl" 은 tert-아밀 기 (또한 펜틸 기 또는 C5H11 로서 알려짐) 를 나타낸다.
원소의 주기율표로부터의 원소의 표준 약어가 본원에서 사용된다. 원소들은 이들 약어에 의해 언급될 수 있다고 이해될 것이다 (예를 들어, Mo 는 몰리브데넘을 나타내고, N 은 질소를 나타내고, H 는 수소를 나타내고, 등등).
MoN, MoCN, MoSi, MoSiN, 및 MoO 와 같이, Mo-함유 필름이 명세서 및 청구항 전체에서 그들의 적절한 화학량론에 대한 언급 없이 열거되어 있다는 점에 주의한다. 공정으로부터 초래되는 몰리브데넘-함유 층은 순수한 몰리브데넘 (Mo), 몰리브데넘 니트리드 (MokNl), 몰리브데넘 카르비드 (MokCl), 몰리브데넘 카르보니트리드 (MokClNm), 몰리브데넘 실리시드 (MonSim), 또는 몰리브데넘 옥시드 (MonOm) 필름 (식 중, k, l, m, 및 n 은 상하한을 포함하여 1 내지 6 범위임) 을 포함할 수 있다. 바람직하게는, 몰리브데넘 니트리드 및 몰리브데넘 카르비드는 MokNl 또는 MokCl (식 중, k 및 l 은 각각 0.5 내지 1.5 범위임) 이다. 더욱 바람직하게는 몰리브데넘 니트리드는 Mo1N1 이고, 몰리브데넘 카르비드는 Mo1C1 이다. 바람직하게는 몰리브데넘 옥시드 및 몰리브데넘 실리시드는 MonOm 및 MonSim (식 중, n 은 0.5 내지 1.5 범위이고, m 은 1.5 내지 3.5 범위임) 이다. 더욱 바람직하게는, 몰리브데넘 옥시드는 MoO2 또는 MoO3 이고, 몰리브데넘 실리시드는 MoSi2 이다.
발명의 요약
기판 위에 몰리브데넘-함유 필름을 형성하기 위한 기상 증착 방법 (vapor deposition method) 이 공개된다. 몰리브데넘-함유 전구체를 기판을 함유하는 기상 증착 체임버 내로 도입한다. 몰리브데넘-함유 전구체의 일부 또는 전부를 기판 위에 증착하여 몰리브데넘-함유 필름을 형성한다. 몰리브데넘-함유 전구체는 화학식 Mo(NR)2(NHR')2 을 갖고, 식 중 R 및 R' 는 독립적으로 C1-C4 알킬 기, C1-C4 퍼플루오로알킬 기, 및 알킬실릴 기로 이루어지는 군으로부터 선택된다. 공개된 방법은 하기 양태 중 하나 이상을 포함할 수 있다:
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHSiMe3)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHSiMe3)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHSiMe3)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHSiMe3)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHSiMe3)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)(NtAmyl)(NHtBu)2 이다;
· 기상 증착 방법은 ALD 이다;
· 기상 증착 방법은 PE-ALD 이다;
· 기상 증착 방법은 공간적 ALD 이다;
· 기상 증착 방법은 CVD 이다;
· 기상 증착 방법은 PE-CVD 이다;
· 몰리브데넘-함유 전구체의 일부 이상을 기판 위에 플라즈마 강화 원자 층 증착 (plasma enhanced atomic layer deposition) 에 의해 증착한다;
· 플라즈마 전력 (plasma power) 은 약 30 W 내지 약 600 W 이다;
· 플라즈마 전력은 약 100 W 내지 약 500 W 이다;
· 몰리브데넘-함유 전구체를 환원제와 반응시킨다;
· 환원제는 N2, H2, NH3, N2H4 및 임의의 히드라진 기반 화합물, SiH4, Si2H6, 그들의 라디칼 종, 및 그들의 조합으로 이루어지는 군으로부터 선택된다;
· 몰리브데넘-함유 전구체의 일부 이상을 산화제와 반응시킨다;
· 산화제는 O2, H2O, O3, H2O2, N2O, NO, 아세트산, 그들의 라디칼 종, 및 그들의 조합으로 이루어지는 군으로부터 선택된다;
· 방법을 약 0.01 Pa 내지 약 1 x 105 Pa 의 압력에서 수행한다;
· 방법을 약 0.1 Pa 내지 약 1 x 104 Pa 의 압력에서 수행한다;
· 방법을 약 20℃ 내지 약 500℃ 의 온도에서 수행한다;
· 방법을 약 330℃ 내지 약 500℃ 의 온도에서 수행한다;
· 몰리브데넘-함유 필름은 Mo 이다;
· 몰리브데넘-함유 필름은 MoO 이다;
· 몰리브데넘-함유 필름은 MoN 이다;
· 몰리브데넘-함유 필름은 MoSi 이다;
· 몰리브데넘-함유 필름은 MoSiN 이다; 및
· 몰리브데넘-함유 필름은 MoCN 이다.
기판 위에 몰리브데넘 옥시드 필름을 형성하기 위한 화학적 기상 증착 방법 (chemical vapor deposition method) 이 또한 공개된다. 몰리브데넘-함유 전구체를 기판을 함유하는 기상 증착 체임버 내로 도입한다. 몰리브데넘-함유 전구체의 일부 이상을 기판의 표면에서 산화제와 반응시켜 몰리브데넘 옥시드 필름을 형성한다. 몰리브데넘-함유 전구체는 화학식 Mo(NR)2(NHR')2 을 갖고, 식 중 R 및 R' 는 독립적으로 C1-C4 알킬 기, C1-C4 퍼플루오로알킬 기, 및 알킬실릴 기로 이루어지는 군으로부터 선택된다. 공개된 방법은 하기 양태 중 하나 이상을 포함할 수 있다:
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHSiMe3)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHSiMe3)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHSiMe3)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHSiMe3)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHSiMe3)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)(NtAmyl)(NHtBu)2 이다;
· 화학적 기상 증착 방법은 플라즈마 강화 화학적 기상 증착 (plasma enhanced chemical vapor deposition) 이다;
· 플라즈마 전력은 약 30 W 내지 약 600 W 이다;
· 플라즈마 전력은 약 100 W 내지 약 500 W 이다;
· 산화제는 O2, H2O, O3, H2O2, N2O, NO, 아세트산, 그들의 라디칼 종, 및 그들의 조합으로 이루어지는 군으로부터 선택된다;
· 방법을 약 0.01 Pa 내지 약 1 x 105 Pa 의 압력에서 수행한다;
· 방법을 약 0.1 Pa 내지 약 1 x 104 Pa 의 압력에서 수행한다;
· 방법을 약 20℃ 내지 약 500℃ 의 온도에서 수행한다; 및
· 방법을 약 330℃ 내지 약 500℃ 의 온도에서 수행한다.
또한 공개되는 것은 기판 위에 몰리브데넘-함유 필름을 형성하기 위한 원자 층 증착 방법이다. 몰리브데넘-함유 전구체를 기판을 함유하는 기상 증착 체임버 내로 도입한다. 몰리브데넘-함유 전구체의 일부 또는 전부를 기판 위에 원자 층 증착에 의해 증착하여 몰리브데넘-함유 필름을 형성한다. 몰리브데넘-함유 전구체는 화학식 Mo(NR)2(NHR')2 을 갖고, 식 중 R 및 R' 는 독립적으로 C1-C4 알킬 기, C1-C4 퍼플루오로알킬 기, 및 알킬실릴 기로 이루어지는 군으로부터 선택된다. 공개된 방법은 하기 양태 중 하나 이상을 포함할 수 있다:
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiPr)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NBu)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NiBu)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NsBu)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NSiMe3)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NCF3)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NMe)2(NHSiMe3)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NEt)2(NHSiMe3)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NPr)2(NHSiMe3)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)2(NHSiMe3)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHMe)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHEt)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHiPr)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHiBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHsBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHtBu)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtAmyl)2(NHSiMe3)2 이다;
· 몰리브데넘-함유 전구체는 Mo(NtBu)(NtAmyl)(NHtBu)2 이다;
· 몰리브데넘-함유 전구체의 일부 이상을 기판 위에 플라즈마 강화 원자 층 증착에 의해 증착한다;
· 플라즈마 전력은 약 30 W 내지 약 600 W 이다;
· 플라즈마 전력은 약 100 W 내지 약 500 W 이다;
· 몰리브데넘-함유 전구체를 환원제와 반응시킨다;
· 환원제는 N2, H2, NH3, N2H4 및 임의의 히드라진 기반 화합물, SiH4, Si2H6, 그들의 라디칼 종, 및 그들의 조합으로 이루어지는 군으로부터 선택된다;
· 몰리브데넘-함유 전구체의 일부 이상을 산화제와 반응시킨다;
· 산화제는 O2, H2O, O3, H2O2, N2O, NO, 아세트산, 그들의 라디칼 종, 및 그들의 조합으로 이루어지는 군으로부터 선택된다;
· 방법을 약 0.01 Pa 내지 약 1 x 105 Pa 의 압력에서 수행한다;
· 방법을 약 0.1 Pa 내지 약 1 x 104 Pa 의 압력에서 수행한다;
· 방법을 약 20℃ 내지 약 500℃ 의 온도에서 수행한다;
· 방법을 약 330℃ 내지 약 500℃ 의 온도에서 수행한다;
· 몰리브데넘-함유 필름은 Mo 이다;
· 몰리브데넘-함유 필름은 MoO 이다;
· 몰리브데넘-함유 필름은 MoN 이다;
· 몰리브데넘-함유 필름은 MoSi 이다;
· 몰리브데넘-함유 필름은 MoSiN 이다; 및
· 몰리브데넘-함유 필름은 MoCN 이다.
본 발명의 본질 및 목적의 추가의 이해를 위해, 하기 상세한 설명을 첨부된 도면과 함께 참조할 것이며, 도면에서:
도 1 은 공개된 몰리브데넘 화합물의 NHR' 아미도 리간드에 H 를 포함시키는 유익을 나타내는 도면이다.
도 2 는 SiO2 기판 위의 사이클 당 몰리브데넘 니트리드 필름 성장을 증착 온도의 함수로서 나타내는 그래프이다. 몰리브데넘 전구체 및 암모니아의 펄스 길이 (pulse length) 는 각각 2 초 및 5 초에서 고정되었다.
도 3 은 SiO2 기판 위의 사이클 당 몰리브데넘 니트리드 필름 성장을 몰리브데넘 전구체 펄스 시간의 함수로서 나타내는 그래프이다. 암모니아의 펄스 길이는 5 초에서 고정되었다.
도 4 는 SiO2 기판 위의 400℃ 에서 증착된 몰리브데넘 니트리드 필름 두께를 증착 사이클의 함수로서 나타내는 그래프이다. 몰리브데넘 전구체 및 암모니아의 펄스 길이는 각각 2 초 및 5 초에서 고정되었다.
도 5 는 TEOS 패턴화된 웨이퍼 (patterned wafer) 위의 400℃ 에서 증착된 몰리브데넘 니트리드 필름의 주사 전자 현미경 (SEM) 횡단면이다. 몰리브데넘 전구체 및 암모니아의 펄스 길이는 각각 2 초 및 5 초에서 고정되었다.
도 6 은 SiO2 기판 위의 400℃ 에서 증착된 몰리브데넘 니트리드 필름의 X-선 광전자 분광법 (XPS) 깊이 프로파일을 나타내는 그래프이다.
도 7 은 SiO2 기판 위의 몰리브데넘 니트리드 필름 저항률 값을 증착 온도의 함수로서 나타내는 그래프이다. 몰리브데넘 전구체 및 암모니아의 펄스 길이는 각각 2 초 및 5 초에서 고정되었다.
도 8 은 SiO2 기판 위의 플라즈마 공급원에 의한 사이클 당 몰리브데넘 니트리드 필름 성장을 증착 온도의 함수로서 나타내는 그래프이다. 몰리브데넘 전구체 및 암모니아의 펄스 길이는 각각 2 초 및 5 초에서 고정되었다.
도 9 는 SiO2 기판 위의 플라즈마 공급원에 의한 400℃ 에서 증착된 몰리브데넘 니트리드 필름의 XPS 깊이 프로파일을 나타내는 그래프이다.
도 10 은 SiO2 기판 위의 플라즈마 공급원에 의한 몰리브데넘 니트리드 필름 저항률 값을 증착 온도의 함수로서 나타내는 그래프이다. 몰리브데넘 전구체 및 암모니아의 펄스 길이는 각각 2 초 및 5 초에서 고정되었다.
비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물이 공개된다. 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물은 화학식 Mo(NR)2(NHR')2 을 갖고, 식 중 R 및 R' 는 독립적으로 C1-C4 알킬 기, C1-C4 퍼플루오로알킬 기, 및 알킬실릴 기로 이루어지는 군으로부터 선택된다.
예시적 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물은 Mo(NMe)2(NHMe)2, Mo(NMe)2(NHEt)2, Mo(NMe)2(NHPr)2, Mo(NMe)2(NHiPr)2, Mo(NMe)2(NHBu)2, Mo(NMe)2(NHiBu)2, Mo(NMe)2(NHsBu)2, Mo(NMe)2(NHtBu)2, Mo(NEt)2(NHMe)2, Mo(NEt)2(NHEt)2, Mo(NEt)2(NHPr)2, Mo(NEt)2(NHiPr)2, Mo(NEt)2(NHBu)2, Mo(NEt)2(NHiBu)2, Mo(NEt)2(NHsBu)2, Mo(NEt)2(NHtBu)2, Mo(NPr)2(NHMe)2, Mo(NPr)2(NHEt)2, Mo(NPr)2(NHPr)2, Mo(NPr)2(NHiPr)2, Mo(NPr)2(NHBu)2, Mo(NPr)2(NHiBu)2, Mo(NPr)2(NHsBu)2, Mo(NPr)2(NHtBu)2, Mo(NiPr)2(NHMe)2, Mo(NiPr)2(NHEt)2, Mo(NiPr)2(NHPr)2, Mo(NiPr)2(NHiPr)2, Mo(NiPr)2(NHBu)2, Mo(NiPr)2(NHiBu)2, Mo(NiPr)2(NHsBu)2, Mo(NiPr)2(NHtBu)2, Mo(NBu)2(NHMe)2, Mo(NBu)2(NHEt)2, Mo(NBu)2(NHPr)2, Mo(NBu)2(NHiPr)2, Mo(NBu)2(NHBu)2, Mo(NBu)2(NHiBu)2, Mo(NBu)2(NHsBu)2, Mo(NBu)2(NHtBu)2, Mo(NiBu)2(NHMe)2, Mo(NiBu)2(NHEt)2, Mo(NiBu)2(NHPr)2, Mo(NiBu)2(NHiPr)2, Mo(NiBu)2(NHBu)2, Mo(NiBu)2(NHiBu)2, Mo(NiBu)2(NHsecBu)2, Mo(NiBu)2(NHtBu)2, Mo(NsBu)2(NHMe)2, Mo(NsBu)2(NHEt)2, Mo(NsBu)2(NHPr)2, Mo(NsBu)2(NHiPr)2, Mo(NsBu)2(NHBu)2, Mo(NsBu)2(NHiBu)2, Mo(NsBu)2(NHsBu)2, Mo(NsBu)2(NHtBu)2, Mo(NtBu)2(NHMe)2, Mo(NtBu)2(NHEt)2, Mo(NtBu)2(NHPr)2, Mo(NtBu)2(NHiPr)2, Mo(NtBu)2(NHBu)2, Mo(NtBu)2(NHiBu)2, Mo(NtBu)2(NHsBu)2, Mo(NtBu)2(NHtBu)2, Mo(NSiMe3)2(NHMe)2, Mo(NSiMe3)2(NHEt)2, Mo(NSiMe3)2(NHPr)2, Mo(NSiMe3)2(NHiPr)2, Mo(NSiMe3)2(NHBu)2, Mo(NSiMe3)2(NHiBu)2, Mo(NSiMe3)2(NHsBu)2, Mo(NSiMe3)2(NHtBu)2, Mo(NCF3)2(NHMe)2, Mo(NCF3)2(NHEt)2, Mo(NCF3)2(NHPr)2, Mo(NCF3)2(NHiPr)2, Mo(NCF3)2(NHBu)2, Mo(NCF3)2(NHiBu)2, Mo(NCF3)2(NHsBu)2, Mo(NCF3)2(NHtBu)2, Mo(NMe)2(NHSiMe3)2, Mo(NEt)2(NHSiMe3)2, Mo(NPr)2(NHSiMe3)2, Mo(NtBu)2(NHSiMe3)2, Mo(NtAmyl)2(NHMe)2, Mo(NtAmyl)2(NHEt)2, Mo(NtAmyl)2(NHPr)2, Mo(NtAmyl)2(NHiPr)2, Mo(NtAmyl)2(NHBu)2, Mo(NtAmyl)2(NHiBu)2, Mo(NtAmyl)2(NHsBu)2, Mo(NtAmyl)2(NHtBu)2, Mo(NtAmyl)2(NHSiMe3)2, 및 Mo(NtBu)(NtAmyl)(NHtBu)2, 바람직하게는 Mo(NtBu)2(NHiPr)2, Mo(NtBu)2(NHtBu)2, Mo(NtAmyl)2(NHiPr)2, 또는 Mo(NtAmyl)2(NHtBu)2 를 포함한다.
비스(알킬이미도)-비스(알킬아미도) 몰리브데넘 화합물은 R.L. Harlow, Inorganic Chemistry, 1980, 19, 777, 및 W.A. Nugent, Inorganic Chemistry, 1983, 22, 965 에 기재된 방법에 의해, 통상의 기술자에게 명백한 변화를 가하여 (예를 들어, MoO2Cl2→부가된 Mo(NR)2Cl2→Mo(NR)2(NHR')2) 합성될 수 있다. 최종 산물은 과잉량의 LiNHR' 와의 반응 하에 제조될 수 있다. 퍼플루오로알킬- 및 알킬실릴-함유 비스(알킬이미도)-비스(알킬아미도) 몰리브데넘 화합물은 또한 동일한 합성 경로를 사용하여 제조될 수 있다.
비스(알킬이미도)-비스(알킬아미도) 몰리브데넘 전구체의 순도는 바람직하게는 99.9% w/w 보다 높다. 비스(알킬이미도)-비스(알킬아미도) 몰리브데넘 전구체는 하기 불순물 중 임의의 것을 함유할 수 있다: 알킬아민, 디알킬아민, 디메톡시에탄 (DME), MoO2Cl2, Mo(NR)2Cl2(DME) (식 중, R 은 위에 정의된 바와 같음), 및 리튬 디알킬아미드. 바람직하게는, 이들 불순물의 총량은 0.1% w/w 미만이다.
비스(알킬이미도)-비스(알킬아미도) 몰리브데넘 전구체는 또한 금속 불순물을 ppbw (십억 중량 당 부) 수준으로 함유할 수 있다. 이들 금속 불순물은 알루미늄 (Al), 비소 (As), 바륨 (Ba), 베릴륨 (Be), 비스무쓰 (Bi), 카드뮴 (Cd), 칼슘 (Ca), 크로뮴 (Cr), 코발트 (Co), 구리 (Cu), 갈륨 (Ga), 게르마늄 (Ge), 하프늄 (Hf), 인듐 (In), 철 (Fe), 납 (Pb), 리튬 (Li), 마그네슘 (Mg), 망간 (Mn), 텅스텐 (W), 니켈 (Ni), 칼륨 (K), 나트륨 (Na), 스트론튬 (Sr), 토륨 (Th), 주석 (Sn), 티타늄 (Ti), 우라늄 (U), 바나듐 (V) 및 아연 (Zn) 을 포함하나, 그에 제한되는 것은 아니다.
이들 순도 수준은 실온 또는 -50℃ 내지 10℃ 범위의 저온에서 용매 내의 최종 산물의 재결정화에 의해 달성될 수 있다. 용매는 펜탄, 헥산, 테트라히드로푸란 (THF), 에테르, 톨루엔, 또는 그들의 혼합물일 수 있다. 대안적으로 또는 부가적으로, 이들 순도 수준은 최종 또는 재결정화된 산물의, 액체 전구체의 경우 증류, 및 고체 전구체의 경우 승화에 의해 달성될 수 있다.
비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물로부터 몰리브데넘-함유 필름을 증착시키는 기상 증착 방법이 또한 공개된다. 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물을 기판이 내부에 배치되어 있는 반응기 내로 도입한다. 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물의 일부 이상을 기판 위로 증착하여 몰리브데넘-함유 필름을 형성한다.
실시예에서 부분적으로 보여지는 바와 같이, 출원인들은 놀랍게도 아미도 기 (즉, NHR') 내의 수소의 포함이 결과적인 필름에서 유사한 디-알킬 아미도 기 (즉, NR2) 에 의해 증착된 필름에 비해 더 빠른 ALD 성장률 (growth rate), 더 높은 ALD 온도 윈도우 (temperature window), 및 더 낮은 불순물 농도를 제공한다는 것을 발견했다. 결과적인 층이 유사한 또는 더 양호한 전기적 성능을 갖는다면, 더 빠른 성장률은 그것이 산업적 증착 도구에서 더 높은 처리율 (예를 들어, 시간 당 더 많은 웨이퍼의 가공) 을 허용하기 때문에 핵심 이점이다.
ALD 온도 윈도우 및 불순물 농도는 어느 정도 관련된다. 공개된 분자의 더 높은 열 안정성은 유사한 디-알킬 아미도 기의 열 안정성 및 ALD 온도 윈도우와 비교할 때 더 높은 온도에서 ALD 모드에서의 증착을 허용한다. 더 높은 온도에서의 증착은 환원제의 반응성을 증가시켜, 더 양호한 필름 밀도 및 MoN 필름의 경우 더 낮은 C 및 O 농도 및 MoO 필름의 경우 더 낮은 C 및 N 농도를 초래할 수 있다. MoN 필름의 더 높은 밀도는 필름의 장벽 특성을 증가시킬 것이다. MoO 필름의 증착 동안, 더 높은 ALD 온도 윈도우는 더 양호한 결정학적 상의 증착을 허용하며, 이는 더 높은 κ 값을 제공한다.
MoN 필름의 저항률은 필름 내의 임의의 불순물, 예컨대 C 또는 O 의 농도에 의해 영향을 받는다. 더 높은 C 농도는 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물의 분해 (즉, 화합물의 열 불안정성) 를 시사할 수 있다. MoN 필름의 저항률 및 장벽 특성은 칩 효율 (RC 지연, 전자이동, 신뢰도) 에 직접 영향을 미칠 수 있다. MoO 필름에서의 더 높은 C 및 N 농도는 필름의 누설 전류를 증가시킬 수 있다. 그 결과, 출원인들은 놀랍게도 MoN 필름에 관한 공개된 전구체를 사용하는 개선된 ALD 증착 공정을 발견했다. 더욱 놀라운 것은 유사한 디알킬 화합물에 의해 수득된 결과에 비해 Mo(NtBu)2(NHtBu)2 의 사용에 의한 결과적인 필름의 특성의 유의한 증가이다. 위에 기재된 이유로, 통상의 기술자는 순수한 몰리브데넘, 몰리브데넘 실리시드 (MoSi), 몰리브데넘 실리시드 니트리드 (MoSiN) 필름, 및 몰리브데넘 옥시드 (MoO) 필름의 증착에서 공개된 전구체를 사용하여 유사한 개선된 결과를 예상할 것이다.
출원인들은 아미도 기 (즉, NHR') 내의 수소가 화학흡착된 종의 안정성에 결정적이라고 믿는다. 출원인들은 추가로 부피가 큰 tBu 아미도 기가 tBu 이미도 기와 대칭 방식으로 금속 주변 공간을 가득 차지함으로써 큰 이점을 제공한다고 믿는다. 이는 아미도 및 이미도 기 사이의 이중 결합의 비편재화 (delocalization) 의 결과일 수 있다. Correia-Anacleto 등에 의해 보고되는 바와 같이, ALD 메카니즘은 이미도 기 (즉, NR) 를 통해 일어날 수 있다 (8th Int'l Conference on Atomic Layer Deposition - ALD 2008, WedM2b-8). 출원인들은 아미도 기 내의 H 의 포함이 아미도 리간드를 유사한 디알킬 아미도 기보다 더욱 산성으로 만든다고 믿는다. NHR' 기의 산성은 아미도 기를 환원제 또는 산화제에 더욱 반응성으로 만들 수 있다. NHR' 기의 산성은 또한 아미도 기를 기판 표면에 덜 반응성으로 만들 수 있다. 그 결과, 화학흡착된 Mo 종은 더 긴 시간 동안 기판과 접촉되게 유지되어, 종이 α-H 활성화에 의한 리간드 교환 및 환원제에 의한 아미노기전이 또는 산화제에 의한 산화를 통해 반응하는 것을 허용한다. 1 을 참조한다. 출원인들은 이들 반응이 둘다 더 빠른 ALD 성장률 및 더 높은 ALD 온도 윈도우를 제공한다고 믿는다. 그 결과, 공개된 분자의 부류를 사용하는 ALD 증착은 유사한 디알킬 화합물의 경우에 비해 더 양호한 필름을 제공할 것이다.
공개된 비스(알킬이미도)-비스(알킬아미도) 몰리브데넘 화합물의 일부 이상은 화학적 기상 증착 (chemical vapor deposition) (CVD), 원자 층 증착 (atomic layer deposition) (ALD), 또는 증기 코팅과 관련된 기타 유형의 증착 예컨대 플라즈마 강화 CVD (plasma enhanced chemical vapor deposition) (PECVD), 플라즈마 강화 ALD (plasma enhanced atomic layer deposition) (PEALD), 펄스드 CVD (pulsed chemical vapor deposition) (PCVD), 저압 CVD (low pressure chemical vapor deposition) (LPCVD), 부압 CVD (sub-atmospheric chemical vapor deposition) (SACVD) 또는 기압 CVD (atmospheric pressure chemical vapor deposition) (APCVD), 열선 CVD (hot-wire chemical vapor deposition) (HWCVD, 또한 캣 (cat)-CVD 로서 알려짐, 이 경우 열선이 증착 공정을 위한 에너지원으로서의 역할을 함), 공간적 ALD (spatial atomic layer deposition), 열선 ALD (hot-wire atomic layer deposition) (HWALD), 라디칼 편입 증착 (radicals incorporated deposition), 및 초임계 유체 증착 (super critical fluid deposition) 또는 그들의 조합에 의해 기판 위로 증착되어 몰리브데넘-함유 필름을 형성할 수 있다. 적합한 단차 피복 (step coverage) 및 필름 두께 제어를 제공하기 위해 증착 방법은 바람직하게는 ALD, PE-ALD, 또는 공간적 ALD 이다.
공개된 방법은 반도체, 광전지, LCD-TFT, 또는 평판 유형 소자의 제조에서 유용할 수 있다. 상기 방법은 위에 공개된 하나 이상의 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물의 증기를 하나 이상의 기판이 내부에 배치된 반응기 내로 도입하고, 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물의 일부 이상을 기상 증착 공정을 사용하여 하나 이상의 기판 위로 증착시켜 몰리브데넘-함유 층을 형성하는 것을 포함한다. 반응기 내의 온도 및 압력 및 기판의 온도는 기판의 하나 이상의 표면 위의 Mo-함유 층의 형성에 적합한 조건에서 유지된다. 반응 기체를 또한 사용하여 Mo-함유 층의 형성을 도울 수 있다.
공개된 방법은 또한 기상 증착 공정을 사용하는 기판 위의 2 개의 금속-함유 층의 형성에, 더욱 특히, MoMOx (식 중, M 은 제 2 원소이고, 2 족, 3 족, 4 족, 5 족, 13 족, 14 족, 전이 금속, 란타나이드, 및 그들의 조합으로 이루어지는 군으로부터, 더욱 바람직하게는 Mg, Ca, Sr, Ba, Hf, Nb, Ta, Al, Si, Ge, Y, 또는 란타나이드로부터 선택됨) 층의 증착에 사용될 수 있다. 상기 방법은 하기 단계를 포함한다: 위에 공개된 하나 이상의 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물을 하나 이상의 기판이 내부에 배치된 반응기 내로 도입하고, 제 2 전구체를 반응기 내로 도입하는 단계, 및 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물의 일부 이상 및 제 2 전구체의 일부 이상을 기상 증착 공정을 사용하여 하나 이상의 기판 위로 증착시켜 2 원소-함유 층을 형성하는 단계.
반응기는 내부에서 증착 방법이 실시되는 소자의 임의의 인클로저 (enclosure) 또는 체임버, 예컨대, 제한 없이, 평행-판 유형 반응기, 냉-벽 유형 반응기, 열-벽 유형 반응기, 싱글-웨이퍼 반응기, 멀티-웨이퍼 반응기, 또는 기타 그러한 유형의 증착 시스템일 수 있다. 모든 이들 예시적 반응기는 ALD 또는 CVD 반응기로서의 역할을 할 수 있다. 반응기는 약 0.01 Pa 내지 약 1 x 105 Pa, 바람직하게는 약 0.1 Pa 내지 약 1 x 104 Pa 범위의 압력에서 유지될 수 있다. 또한, 반응기 내의 온도는 약 실온 (20℃) 내지 약 500℃, 바람직하게는 약 330℃ 내지 약 500℃ 범위일 수 있다. 통상의 기술자는 단순한 실험을 통해 온도를 최적화시켜 원하는 결과를 달성할 수 있다는 것을 알 것이다.
반응기의 온도는 기판 홀더 (holder) (냉벽 반응기로 호칭됨) 의 온도를 제어하여 또는 반응기 벽 (열벽 반응기로 호칭됨) 의 온도를 제어하여 또는 2 가지 방법의 조합으로 제어될 수 있다. 기판을 가열하는데 사용되는 소자는 당업계에 알려져 있다.
반응기 벽을 충분한 온도로 가열하여 충분한 성장률로 원하는 물리적 상태 및 조성을 갖는 원하는 필름을 얻을 수 있다. 반응기 벽이 가열될 수 있는 비제한적 예시적 온도 범위는 약 20℃ 내지 약 500℃ 를 포함한다. 플라즈마 증착 공정을 이용할 때, 증착 온도는 약 20℃ 내지 약 500℃ 범위일 수 있다. 대안적으로, 열 공정을 수행할 때, 증착 온도는 약 100℃ 내지 약 500℃ 범위일 수 있다.
대안적으로, 기판을 충분한 온도로 가열하여 충분한 성장률로 원하는 물리적 상태 및 조성을 갖는 원하는 몰리브데넘-함유 층을 얻을 수 있다. 기판이 가열될 수 있는 비제한적 예시적 온도 범위는 100℃ 내지 500℃ 를 포함한다. 바람직하게는, 기판의 온도는 500℃ 이하로 유지된다.
몰리브데넘-함유 층이 위에 증착될 기판의 유형은 의도되는 최종 용도에 따라 달라질 것이다. 일부 구현예에서, 기판은 MIM, DRAM, 또는 FeRam 기술에서 유전 재료로서 사용되는 옥시드 (예를 들어, ZrO2 기반 재료, HfO2 기반 재료, TiO2 기반 재료, 희토류 옥시드 기반 재료, 3원 옥시드 기반 재료 등) 로부터 또는 구리 및 낮은-k 층 사이에서 산소 장벽으로서 사용되는 니트리드-기반 층 (예를 들어, TaN) 으로부터 선택될 수 있다. 기타 기판이 반도체, 광전지, LCD-TFT, 또는 평판 소자의 제조에서 사용될 수 있다. 그러한 기판의 예는 고체 기판 예컨대 구리 및 구리 기반 합금 예컨대 CuMn, 금속 니트리드-함유 기판 (예를 들어, TaN, TiN, WN, TaCN, TiCN, TaSiN, 및 TiSiN); 절연체 (예를 들어, SiO2, Si3N4, SiON, HfO2, Ta2O5, ZrO2, TiO2, Al2O3, 및 바륨 스트론튬 티타네이트); 또는 이들 재료의 임의의 수의 조합을 포함하는 기타 기판을 포함하나, 그에 제한되는 것은 아니다. 플라스틱 기판, 예컨대 폴리(3,4-에틸렌디옥시티오펜)폴리 (스티렌술포네이트) [PEDOT:PSS] 가 또한 사용될 수 있다. 이용되는 실제 기판은 또한 이용되는 특정 화합물 구현예에 따라 달라질 수 있다. 많은 경우에, 이용되는 바람직한 기판은 Si 및 SiO2 기판으로부터 선택될 것이다.
공개된 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물은 순수한 형태로 또는 적합한 용매, 예컨대 에틸 벤젠, 크실렌, 메시틸렌, 데칸, 도데칸과의 블렌드로 공급되어, 전구체 혼합물을 형성할 수 있다. 공개된 화합물은 용매에 다양한 농도로 존재할 수 있다
순수한 화합물 또는 전구체 혼합물 중 하나 이상을 종래의 수단, 예컨대 배관 (tubing) 및/또는 유량계에 의해 반응기 내로 증기 형태로 도입한다. 종래의 기화 단계 예컨대 직접 기화, 증류를 통해, 버블링 (bubbling) 에 의해, 또는 승화기 (sublimator) 예컨대 Xu 등의 PCT 공개 WO2009/087609 에 공개된 것을 사용하여 순수한 화합물 또는 전구체 혼합물을 기화시킴으로써 순수한 화합물 또는 전구체 혼합물의 증기 형태를 생성할 수 있다. 순수한 화합물 또는 전구체 혼합물은 액체 상태로 기화기에 도입되며, 기화기에서 반응기 내로 도입되기 전에 기화된다. 대안적으로, 순수한 화합물 또는 전구체 혼합물을 함유하는 용기 내로 운반 기체를 통과시킴으로써 또는 순수한 화합물 또는 전구체 혼합물 내로 운반 기체를 버블링함으로써 순수한 화합물 또는 전구체 혼합물을 기화시킬 수 있다. 운반 기체는 Ar, He, N2, 및 그들의 혼합물을 포함할 수 있으나, 그게 제한되는 것은 아니다. 그 후 운반 기체 및 화합물은 반응기 내로 증기로서 도입된다.
필요한 경우, 순수한 화합물 또는 전구체 혼합물의 용기는 순수한 화합물 또는 전구체 혼합물이 그것의 액체 상으로 존재하고 충분한 증기 압력을 갖는 것을 허용하는 온도로 가열될 수 있다. 용기는, 예를 들어, 약 0℃ 내지 약 200℃ 범위의 온도에서 유지될 수 있다. 통상의 기술자는 용기의 온도를 공지된 방식으로 조정하여 기화되는 전구체의 양을 제어할 수 있다는 것을 안다.
비스(알킬이미도)-비스(알킬아미도) 몰리브데넘 화합물을 반응기 내로 도입하기 전에 용매, 제 2 전구체, 및 안정화제와 임의로 혼합하는 것에 더하여, 비스(알킬이미도)-비스(알킬아미도) 몰리브데넘 화합물은 반응기 내부의 반응 기체와 혼합될 수 있다. 예시적 반응 기체는 제 2 전구체 예컨대 전이 금속-함유 전구체 (예를 들어, 니오븀), 희토류-함유 전구체, 스트론튬-함유 전구체, 바륨-함유 전구체, 알루미늄-함유 전구체 예컨대 TMA, 및 그들의 임의의 조합을 제한 없이 포함한다. 이들 또는 기타 제 2 전구체는 결과적인 층 내로 소량으로, 도펀트로서, 또는 제 2 또는 제 3 금속으로서 결과적인 층, 예컨대 MoMOx 내에 포함될 수 있다.
반응 기체는 N2, H2, NH3, SiH4, Si2H6, Si3H8, (Me)2SiH2, (C2H5)2SiH2, (CH3)3SiH, (C2H5)3SiH, [N(C2H5)2]2SiH2, N(CH3)3, N(C2H5)3, (SiMe3)2NH, (CH3)HNNH2, (CH3)2NNH2, 페닐 히드라진, B2H6, (SiH3)3N, 이들 환원제의 라디칼 종, 및 이들 환원제의 혼합물로부터 선택되나, 그에 제한되지 않는 환원제를 포함할 수 있다. 바람직하게는, ALD 공정을 수행할 때, 환원성 시약은 H2 이다.
예를 들어 제한 없이, MoOx 및 MoMOx 와 같이, 원하는 몰리브데넘-함유 층이 산소를 또한 함유할 때, 반응 기체는 O2, O3, H2O, H2O2, 아세트산, 포르말린, 파라-포름알데히드, 이들 산화제의 라디칼 종, 및 이들 산화제의 혼합물로부터 선택되나, 그에 제한되지는 않는 산화제를 포함할 수 있다. 바람직하게는, ALD 공정을 수행할 때, 산화성 시약은 H2O 이다.
반응 기체를 그것의 라디칼 형태로 분해하기 위해 반응 기체를 플라즈마에 의해 처리할 수 있다. 플라즈마는 생성되거나 반응 체임버 자체 내에 존재할 수 있다. 대안적으로, 플라즈마는 일반적으로 반응 체임버로부터 떨어져 있는 위치에, 예를 들어, 멀리 위치하는 플라즈마 시스템에 있을 수 있다. 통상의 기술자는 그러한 플라즈마 처리에 적합한 방법 및 장비를 알 것이다.
예를 들어, 반응 기체를 직접 플라즈마 반응기 내로 도입하여, 반응 체임버에서 플라즈마를 생성하여, 반응 체임버에서 플라즈마-처리된 반응 기체를 생성할 수 있다. 예시적 직접 플라즈마 반응기는 Trion Technologies 에 의해 제조된 Titan™ PECVD 시스템을 포함한다. 반응 기체를 플라즈마 가공 전에 반응 체임버 내에 도입하고 유지할 수 있다. 대안적으로, 플라즈마 가공은 반응 기체의 도입과 동시에 일어날 수 있다. 인-시추 (in-situ) 플라즈마는 전형적으로 샤워헤드 및 기판 홀더 사이에서 생성되는 13.56 MHz RF 용량 결합 (capacitively coupled) 플라즈마이다. 기판 또는 샤워헤드는 양이온 충격이 발생하는지 여부에 따라 동력공급되는 전극일 수 있다. 인-시추 플라즈마 생성기에서 전형적인 적용되는 전력은 약 30 W 내지 약 1000 W 이다. 바람직하게는, 공개된 방법에서 약 30 W 내지 약 600 W 의 전력이 사용된다. 더욱 바람직하게는, 전력은 약 100 W 내지 약 500 W 범위이다. 인-시추 플라즈마를 사용하는 반응 기체의 해리는 전형적으로 동일한 전력 입력으로 원격 플라즈마 공급원을 사용하여 달성되는 것보다 적고, 그러므로 반응 기체 해리에서 원격 플라즈마 시스템 (이는 플라즈마에 의해 쉽게 손상되는 기판 위의 몰리브데넘-함유 필름의 증착에 유익할 수 있음) 만큼 효율적이지 않다.
대안적으로, 플라즈마-처리된 반응 기체는 반응 체임버의 외부에서 생성될 수 있다. MKS Instruments' ASTRONi® 반응성 기체 생성기를 사용하여 반응 기체를 반응 체임버 내로 통과시키기 전에 처리할 수 있다. 2.45 GHz, 7kW 플라즈마 전력, 및 약 3 Torr 내지 약 10 Torr 범위의 압력에서 작업할 때, 반응 기체 O2 는 2 개의 O- 라디칼로 분해될 수 있다. 바람직하게는, 원격 플라즈마는 약 1 kW 내지 약 10 kW, 더욱 바람직하게는 약 2.5 kW 내지 약 7.5 kW 범위의 전력으로 생성될 수 있다.
원하는 몰리브데넘-함유 층이 또다른 원소, 예컨대, 예를 들어 제한 없이, Nb, Sr, Ba, Al, Ta, Hf, Nb, Mg, Y, Ca, As, Sb, Bi, Sn, Pb, Mn, 란타나이드 (예컨대 Er), 또는 그들의 조합을 또한 함유할 때, 반응 기체는 금속 알킬, 예컨대 (Me)3Al, 금속 아민, 예컨대 Nb(Cp)(NtBu)(NMe2)3, 및 그들의 임의의 조합으로부터 선택되나, 그에 제한되지 않는 제 2 전구체를 포함할 수 있다.
비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물 및 하나 이상의 반응 기체는 반응기 내로 동시에 (화학적 기상 증착), 순차적으로 (원자 층 증착), 또는 기타 조합으로 도입될 수 있다. 예를 들어, 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물이 하나의 펄스로 도입될 수 있고, 2 가지 부가적 전구체가 별개의 펄스로 함께 도입될 수 있다 [수정된 원자 층 증착]. 대안적으로, 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물의 도입 전에 반응기는 이미 반응 기체를 함유할 수 있다. 대안적으로, 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물이 반응기에 연속적으로 도입될 수 있고, 한편 다른 반응 기체가 펄스에 의해 도입된다 (펄스드-화학적 기상 증착). 반응 기체는 국부적인 또는 반응기로부터 떨어져 있는 플라즈마 시스템을 통과하고, 라디칼로 분해될 수 있다. 각각의 예에서, 펄스 후에 퍼지 (purge) 또는 이베큐에이션 (evacuation) 단계를 실시하여 도입된 성분의 과잉량을 제거할 수 있다. 각각의 예에서, 펄스는 약 0.01 s 내지 약 30 s, 대안적으로 약 0.3 s 내지 약 3 s, 대안적으로 약 0.5 s 내지 약 2 s 범위의 시간 동안 지속될 수 있다. 또다른 대안예에서, 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물 및 하나 이상의 반응 기체는 샤워 헤드로부터 동시에 분무될 수 있으며, 샤워 헤드 아래에서 여러 웨이퍼를 보유하는 서셉터 (susceptor) 가 회전된다 (공간적 ALD).
하나의 비제한적 예시적 원자 층 증착 유형 공정에서, 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물의 증기 상을 반응기 내로 도입하고, 반응기 내에서 그것은 적합한 기판과 접촉된다. 그 후 과잉량의 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물을 반응기의 퍼지 또는 이베큐에이션에 의해 반응기로부터 제거할 수 있다. 산화성 시약을 반응기 내로 도입하고, 반응기 내에서 그것은 흡수된 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 화합물과 자기-제한 방식으로 반응한다. 임의의 과잉량의 산화성 시약을 반응기의 퍼지 및/또는 이베큐에이션에 의해 반응기로부터 제거한다. 원하는 층이 몰리브데넘 옥시드 층인 경우, 이러한 2-단계 공정은 원하는 층 두께를 제공할 수 있고 또는 필수적 두께를 갖는 층이 수득될 때까지 반복될 수 있다.
몰리브데넘 옥시드 박층 (MoOx) 을 추가로 300 내지 1000℃ 범위의 온도에서 환원성 분위기, 예컨대 질소 (N2) 와 혼합된 수소 (H2) 하에 어닐링하여, 전도성 몰리브데넘 디옥시드 층 (MoO2) 을 형성할 수 있으며, 이는 DRAM 커패시터 전극으로서 사용하기에 적합할 수 있다. 산화제 농도 및 펄스 시간은 흡착된 Mo 전구체가 완전히 산화되지 않도록 선택된다. 이는 최종 재료 조성이 MoO2 의 하급 산화물일 것을 보장한다. 대안적으로, Mo 금속의 순수한 층 (즉 산화 펄스가 없음) 을 다수의 MoO2 층 사이에 배치하여 어닐링 후에 최종 재료 조성이 MoO2 의 하급 산화물일 것을 보장할 수 있다.
대안적으로, 원하는 MoO 층이 제 2 원소를 함유하는 경우 (즉, MoMOx), 상기 2-단계 공정 후에 반응기 내로 제 2 전구체의 증기를 도입할 수 있다. 제 2 전구체는 증착되는 MoMOx 층의 성질에 따라 선택될 것이다. 반응기 내로 도입된 후에, 제 2 전구체는 기판과 접촉된다. 임의의 과잉량의 제 2 전구체를 반응기의 퍼지 및/또는 이베큐에이션에 의해 반응기로부터 제거한다. 다시 한번, 산화성 시약을 반응기 내로 도입하여 제 2 전구체와 반응시킬 수 있다. 과잉량의 산화성 시약을 반응기의 퍼지 및/또는 이베큐에이션에 의해 반응기로부터 제거한다. 원하는 층 두께가 달성된 경우, 공정을 종료할 수 있다. 그러나, 더 두꺼운 층을 원하는 경우, 전체 4-단계 공정을 반복할 수 있다. 비스(알킬이미도)-비스(알킬아미도) 몰리브데넘 화합물, 제 2 전구체, 및 산화성 시약의 제공을 교대시킴으로써, 원하는 조성 및 두께의 MoMOx 층을 증착할 수 있다.
예를 들어, 에피택시얼 (epitaxial) 루틸 티타늄 옥시드 (TiO2) 박층을 MoO2 기판 위에 ALD 모드로 제조할 수 있다. 티타늄 전구체, 예컨대 티타늄 펜타메틸 시클로펜타디에닐 트리메톡시 (TiCp*(OMe)3) 의 증기를 반응기 내로 도입하고, 그 후 퍼지, 옥시던트의 증기 도입, 및 퍼지를 실시할 수 있다. 대안적으로, 지르코늄 옥시드 (ZrO2) 박층을 MoO2 기판 위에 ALD 모드로 제조할 수 있다. 지르코늄 전구체, 예컨대 지르코늄 시클로펜타디에닐 트리스 디메틸아미노 (ZrCp(NMe2)3) 의 증기를 반응기 내로 도입하고, 그 후 퍼지, 옥시던트의 증기 도입, 및 퍼지를 실시할 수 있다. MoO2 위에 증착되는 ZrO2 의 성장률은 TiN 위에 증착되는 경우보다 더 높을 수 있다.
부가적으로, 펄스의 수를 변화시킴으로써, 원하는 화학량론적 M:Mo 비율을 갖는 층이 수득될 수 있다. 예를 들어, 비스(알킬이미도)-비스(알킬아미도) 몰리브데넘 화합물의 하나의 펄스 및 제 2 전구체의 하나의 펄스를 갖고, 각각의 펄스 후에 산화성 시약의 펄스가 뒤따름으로써 MoMO2 층이 수득될 수 있다. 그러나, 통상의 기술자는 원하는 층을 얻는데 요구되는 펄스의 수가 결과적인 층의 화학량론적 비율과 동일하지 않을 수 있다는 것을 알 것이다.
위에 공개된 공정으로부터 초래되는 몰리브데넘-함유 층은 순수한 몰리브데넘 (Mo), 몰리브데넘 니트리드 (MokNl), 몰리브데넘 카르비드 (MokCl), 몰리브데넘 카르보니트리드 (MokClNm), 몰리브데넘 실리시드 (MonSim), 또는 몰리브데넘 옥시드 (MonOm) (식 중, k, l, m, 및 n 은 상하한을 포함하여 1 내지 6 범위임) 필름을 포함할 수 있다. 바람직하게는, 몰리브데넘 니트리드 및 몰리브데넘 카르비드는 MokNl 또는 MokCl (식 중, k 및 l 은 각각 0.5 내지 1.5 범위임) 이다. 더욱 바람직하게는 몰리브데넘 니트리드는 Mo1N1 이고, 몰리브데넘 카르비드는 Mo1C1 이다. 바람직하게는 몰리브데넘 옥시드 및 몰리브데넘 실리시드는 MonOm 및 MonSim (식 중, n 은 0.5 내지 1.5 범위이고, m 은 1.5 내지 3.5 범위임) 이다. 더욱 바람직하게는, 몰리브데넘 옥시드는 MoO2 또는 MoO3 이고, 몰리브데넘 실리시드는 MoSi2 이다.
통상의 기술자는 적절한 비스(알킬이미도)-비스(알킬아미도) 몰리브데넘 화합물 및 반응 기체의 선택에 의해, 원하는 Mo-함유 층 조성이 수득될 수 있다는 것을 알 것이다.
Mo 또는 MoN 필름은 50 내지 5000 μΩ·cm-1, 바람직하게는 50 내지 1000 μΩ·cm-1 범위의 저항률을 가질 것이다. Mo 또는 MoN 필름 내의 C 함량은 열 ALD 에 의해 증착된 필름의 경우 약 0.01 원자% 내지 약 10 원자% 이고, PEALD 에 의해 증착된 필름의 경우 약 0.01 원자% 내지 약 4 원자% 범위일 것이다. MoO 필름 내의 C 함량은 약 0.01 원자% 내지 약 2 원자% 범위일 것이다.
원하는 필름 두께를 얻은 후에, 필름을 추가의 가공, 예컨대 열 어닐링, 용광로-어닐링, 신속한 열 어닐링, UV 또는 e-빔 경화, 및/또는 플라즈마 기체 노출에 적용할 수 있다. 통상의 기술자는 이들 부가적 가공 단계를 수행하는데 이용되는 시스템 및 방법을 알 것이다. 예를 들어, 몰리브데넘-함유 필름을 불활성 분위기, H-함유 분위기, N-함유 분위기, O-함유 분위기, 또는 그들의 조합 하에 약 0.1 초 내지 약 7200 초 범위의 시간 동안 약 200℃ 내지 약 1000℃ 범위의 온도에 노출시킬 수 있다. 가장 바람직하게는, 온도는 H-함유 분위기 하에 3600 초 동안 400℃ 이다. 결과적인 필름은 더 적은 불순물을 함유할 수 있고, 그에 따라 개선된 밀도를 가져서 개선된 누설 전류를 초래할 수 있다. 어닐링 단계는 증착 공정이 수행되는 동일한 반응 체임버에서 수행할 수 있다. 대안적으로, 기판을 반응 체임버로부터 제거하여, 어닐링/플래쉬 어닐링 공정을 별도의 장비에서 수행할 수 있다. 상기 후-처리 방법 중 임의의 것, 그러나 특히 열 어닐링은 몰리브데넘-함유 필름의 임의의 탄소 및 질소 오염을 효과적으로 감소시킬 것으로 예상된다. 이는 결국 필름의 저항률을 개선할 것으로 예상된다. 후-처리 이후 MoN 필름의 저항률은 약 50 내지 약 1000 μΩ·cm-1 범위일 수 있다.
또다른 대안예에서, 공개된 비스(알킬이미도)-비스(알킬아미도) 몰리브데넘 화합물은 도핑제 또는 주입제 (implantation agent) 로서 사용될 수 있다. 공개된 비스(알킬이미도)-비스(알킬아미도) 몰리브데넘 화합물의 일부는 도핑되는 필름, 예컨대 인듐 옥시드 (In2O3) 필름, 바나듐 디옥시드 (VO2) 필름, 티타늄 옥시드 필름, 구리 옥시드 필름, 또는 주석 디옥시드 (SnO2) 필름의 상부에 증착될 수 있다. 그 후 몰리브데넘은 어닐링 단계 동안 필름 내로 확산하여 몰리브데넘-도핑된 필름 {(Mo)In2O3, (Mo)VO2, (Mo)TiO, (Mo)CuO, 또는 (Mo)SnO2} 을 형성한다. 예를 들어, Lavoie 등의 US2008/0241575 를 참조하며, 이 문헌의 도핑 방법은 전부 본원에 참조로 포함된다. 대안적으로, 가변 에너지 무선 주파수 4중극 주입기를 사용하는 높은 에너지 이온 주입을 사용하여 비스(알킬이미도)-비스(알킬아미도) 몰리브데넘 화합물의 몰리브데넘을 필름 내로 도핑할 수 있다. 예를 들어, Kensuke el al., JVSTA 16(2) Mar/Apr 1998 을 참조하며, 이 문헌의 주입 방법은 전부 본원에 참조로 포함된다. 또다른 대안예에서, 공개된 비스(알킬이미도)-비스(알킬아미도) 몰리브데넘 화합물을 사용하여 플라즈마 도핑, 펄스드 플라즈마 도핑 또는 플라즈마 침지 이온 주입을 수행할 수 있다. 예를 들어, Felch et al., Plasma doping for the fabrication of ultra-shallow junctions Surface Coatings Technology, 156 (1-3) 2002, pp. 229-236 을 참조하며, 이 문헌의 도핑 방법은 전부 본원에 참조로 포함된다.
실시예
하기 비제한적 실시예는 본 발명의 구현예를 추가로 설명하기 위해 제공된다. 그러나, 실시예는 모든 것을 포함하는 것으로 의도되지 않고, 본원에 기재된 발명의 범위를 제한하는 것으로 의도되지 않는다.
실시예 1: Mo(NtBu)2(NHtBu)2 및 암모니아를 사용하는 MoN 필름의 증착
Mo(NtBu)2(NHtBu)2 를 사용하고, 암모니아를 공반응물로서 사용하여 ALD 모드로 MoN 필름을 증착했다. 몰리브데넘 분자를 캐니스터에 저장하고, 80℃ 에서 가열하고, N2 또는 Ar 버블링 방법에 의해 증기를 반응로에 제공한다. 라인을 100℃ 에서 가열하여 반응물의 축합을 방지한다. 전달 셋업 (set-up) 은 몰리브데넘 전구체 및 암모니아의 증기의 교대 (alternate) 도입을 가능하게 한다. 몰리브데넘 니트리드 필름이 425℃ 에서 ~1.3Å/사이클 의 증착률로 수득된다 (도 2). 이보다 높은 온도에서, 증착률은 극적으로 증가하며, 이는 Mo(NtBu)2(NHtBu)2 가 이보다 높은 온도에서 열 자기 분해를 겪는다는 것을 입증할 수 있다.
ALD 의 포화 모드 특징이 350℃ 및 400℃ 에서 수득되었으며, 전구체의 펄스 시간의 증가는 MoN 필름의 성장률에 영향을 미치지 않았고, 성장률은 일정하게 유지되었다 (도 3). 400℃ 에서, 사이클의 수의 함수로서 필름 성장의 양호한 선형성 (R2=0.9998) 이 수득되었다 (도 4). 400℃ 에서의 고도 등각 (conformal) 필름 성장을 주사 전자 현미경법 (SEM) 에 의해 특성분석했으며, 이는 분자의 높은 안정성이 양호한 단차 피복에 유익하다는 것을 시사한다 (도 5). 필름의 조성을 XPS 에 의해 분석했다 (도 6). 필름은 화학량론적 MoN 이다. C 의 농도는 약 10 원자% 이다. O 의 농도는 약 8 원자% 이다. 이들 낮은 농도는 필름의 양호한 품질을 나타낸다. 필름의 양호한 품질을 MoN 필름의 낮은 저항률에 의해 추가로 확인했다. MoN 필름의 저항률을 큰 윈도우의 증착 온도를 통하여 측정했다 (도 7). 증착 온도가 높을수록, 필름의 저항률이 낮아진다는 것이 관찰된다. 이러한 결과는 이 문헌에 기재된 안정적 분자의 패밀리를 사용하여 가능해진 고온 ALD 공정의 유익을 증명한다.
문헌으로부터의 반례:
Miikkulainen 등은 Chem. Vap. Deposition ((2008) 14, 71-77) 에서 NH3 와 Mo(NtBu)2(NMe2)2 또는 Mo(NtBu)2(NEt2)2 로부터의 MoN ALD 증착의 결과를 공개한다. Miikkulainen 등은 동일한 문헌 72 에서 ALD 이 Mo(NtBu)2(NiPr2)2 에 부적합하며, 이는 그것의 열 불안정성으로 인한 것이라고 공개한다. Miikkulainen 등은 동일한 문헌 73 에서 Mo(NtBu)2(NEt2)2 에 관한 증착 시험 결과가 Mo(NtBu)2(NMe2)2 에 관한 이전에 보고된 결과와 유사했다고 보고하며, 이들 결과는 둘다 300℃ 의 최대 성장 온도 및 0.5Å/사이클 의 성장률을 나타냈다. 또한, 동일한 문헌 74-75 에서 Mo(NtBu)2(NMe2)2 및 Mo(NtBu)2(NEt2)2 의 증착에 의해 생성된 MoN 필름은 하기 유사한 원소 조성을 갖는다: Mo, 37%; N, 41%; C, 8%; O, 14%.
실시예 1 에 기재된 Mo(NtBu)2(NHtBu)2 화합물에 대한 ALD 온도 윈도우는 Mo(NtBu)2(NMe2)2 및 Mo(NtBu)2(NEt2)2 의 경우보다 약 100℃ 더 높다. Mo(NtBu)2(NMe2)2 및 Mo(NtBu)2(NEt2)2 를 사용하여 수득된 성장률은 실시예 1 에 기재된 Mo(NtBu)2(NHtBu)2 화합물로 수득된 성장률의 절반 미만이다. Mo(NtBu)2(NMe2)2 및 Mo(NtBu)2(NEt2)2 에 의해 생성된 MoN 필름에서의 O 의 농도는 실시예 1 의 Mo(NtBu)2(NHtBu)2 화합물에 의해 생성된 MoN 필름에서의 농도의 거의 2 배이다.
Mo(NtBu)2(NHtBu)2 를 사용하는 공정은 Mo(NtBu)2(NMe2)2 및 Mo(NtBu)2(NEt2)2 를 사용하는 공정보다 온도 윈도우, 성장률, 및 O 농도의 면에서 예상외로 우수한 결과를 제공한다.
실시예 2: MoO 증착
실시예 1 에서와 동일한 전구체를 사용할 것이나, NH3 을 오존 (O3) 으로 대체할 것이다. 동일한 ALD 도입 계획을 사용할 것이다. 400℃ 에서 포화가 수득될 것으로 예상된다. 조성 분석은 수득된 필름이 MoO2, MoO3 또는 MoxOy (식 중, x 및 y 는 1 내지 5 로부터 선택됨) 이고, 필름에서의 탄소 함량이 낮다 (0-2 원자%) 는 것을 확인시켜줄 것으로 예상된다. H2/N2 혼합물 분위기 하에 10 분 동안 500℃ 에서 어닐링 후에, 몰리브데넘 옥시드 층은 MoO2 일 것으로 예상된다.
실시예 3: PEALD MoN 증착
실시예 1 에서와 동일한 전구체를 NH3 와 함께 사용했고, 반응 체임버에 ALD 모드 계획으로 제공했다. 이 경우에, NH3 펄스 동안 200W 의 직접 플라즈마 공급원의 스위치를 켰다. 몰리브데넘 니트리드 필름이 450℃ 까지 ~1.0A/사이클 의 증착률로 수득되었다 (도 8). 플라즈마 공급원의 사용은 탄소 및 산소 불순물의 농도를 ~ < 2% 로 감소시키는 것을 허용했다 (도 9). MoN 필름의 저항률을 큰 윈도우의 증착 온도를 통하여 측정했고 (도 10), 필름에서의 낮은 불순물의 결과로서, 저항률은 또한 612 μΩ·cm 로 낮아졌다.
본 발명의 구현예가 제시되고 기재되었지만, 그것은 통상의 기술자에 의해 본 발명의 목적 또는 주제에서 벗어나지 않으면서 변화될 수 있다. 본원에 기재된 구현예는 오직 예시적이고 제한적이 아니다. 조성물 및 방법의 많은 변화 및 수정이 가능하고 본 발명의 범위에 속한다. 따라서 보호 범위는 본원에 기재된 구현예에 제한되지 않고, 오직 이어지는 청구항에 의해 제한되며, 본 발명은 청구항의 주제의 모든 균등물을 포함할 것이다.

Claims (10)

  1. 기판 위에 몰리브데넘-함유 필름을 형성하기 위한 원자 층 증착 방법으로서, 하기 단계를 포함하는 방법:
    몰리브데넘-함유 전구체를 기판을 함유하는 기상 증착 체임버 내로 도입하는 단계로서, 상기 몰리브데넘-함유 전구체는 화학식 Mo(NR)2(NHR')2 를 갖고, 식 중 R 및 R' 는 독립적으로 C1-C4 알킬 기, C1-C4 퍼플루오로알킬 기, 및 알킬실릴 기로 이루어지는 군으로부터 선택되는 단계; 및
    몰리브데넘-함유 전구체의 일부 이상을 기판 위에 원자 층 증착에 의해 증착시켜 몰리브데넘-함유 필름을 형성하는 단계.
  2. 제 1 항에 있어서, 몰리브데넘-함유 전구체가 Mo(NMe)2(NHMe)2, Mo(NMe)2(NHEt)2, Mo(NMe)2(NHPr)2, Mo(NMe)2(NHiPr)2, Mo(NMe)2(NHBu)2, Mo(NMe)2(NHiBu)2, Mo(NMe)2(NHsBu)2, Mo(NMe)2(NHtBu)2, Mo(NEt)2(NHMe)2, Mo(NEt)2(NHEt)2, Mo(NEt)2(NHPr)2, Mo(NEt)2(NHiPr)2, Mo(NEt)2(NHBu)2, Mo(NEt)2(NHiBu)2, Mo(NEt)2(NHsBu)2, Mo(NEt)2(NHtBu)2, Mo(NPr)2(NHMe)2, Mo(NPr)2(NHEt)2, Mo(NPr)2(NHPr)2, Mo(NPr)2(NHiPr)2, Mo(NPr)2(NHBu)2, Mo(NPr)2(NHiBu)2, Mo(NPr)2(NHsBu)2,Mo(NPr)2(NHtBu)2, Mo(NiPr)2(NHMe)2, Mo(NiPr)2(NHEt)2, Mo(NiPr)2(NHPr)2, Mo(NiPr)2(NHiPr)2, Mo(NiPr)2(NHBu)2, Mo(NiPr)2(NHiBu)2, Mo(NiPr)2(NHsBu)2, Mo(NiPr)2(NHtBu)2, Mo(NBu)2(NHMe)2, Mo(NBu)2(NHEt)2, Mo(NBu)2(NHPr)2, Mo(NBu)2(NHiPr)2, Mo(NBu)2(NHBu)2, Mo(NBu)2(NHiBu)2, Mo(NBu)2(NHsBu)2, Mo(NBu)2(NHtBu)2, Mo(NiBu)2(NHMe)2, Mo(NiBu)2(NHEt)2, Mo(NiBu)2(NHPr)2, Mo(NiBu)2(NHiPr)2, Mo(NiBu)2(NHBu)2, Mo(NiBu)2(NHiBu)2, Mo(NiBu)2(NHsBu)2, Mo(NiBu)2(NHtBu)2, Mo(NsBu)2(NHMe)2, Mo(NsBu)2(NHEt)2, Mo(NsBu)2(NHPr)2, Mo(NsBu)2(NHiPr)2, Mo(NsBu)2(NHBu)2, Mo(NsBu)2(NHiBu)2, Mo(NsBu)2(NHsBu)2, Mo(NsBu)2(NHtBu)2, Mo(NtBu)2(NHMe)2, Mo(NtBu)2(NHEt)2, Mo(NtBu)2(NHPr)2, Mo(NtBu)2(NHiPr)2, Mo(NtBu)2(NHBu)2, Mo(NtBu)2(NHiBu)2, Mo(NtBu)2(NHsBu)2, Mo(NtBu)2(NHtBu)2, Mo(NSiMe3)2(NHMe)2, Mo(NSiMe3)2(NHEt)2, Mo(NSiMe3)2(NHPr)2, Mo(NSiMe3)2(NHiPr)2, Mo(NSiMe3)2(NHBu)2, Mo(NSiMe3)2(NHiBu)2, Mo(NSiMe3)2(NHsBu)2, Mo(NSiMe3)2(NHtBu)2, Mo(NCF3)2(NHMe)2, Mo(NCF3)2(NHEt)2, Mo(NCF3)2(NHPr)2, Mo(NCF3)2(NHiPr)2, Mo(NCF3)2(NHBu)2, Mo(NCF3)2(NHiBu)2, Mo(NCF3)2(NHsBu)2, Mo(NCF3)2(NHtBu)2, Mo(NMe)2(NHSiMe3)2, Mo(NEt)2(NHSiMe3)2, Mo(NPr)2(NHSiMe3)2, Mo(NtBu)2(NHSiMe3)2, Mo(NtAmyl)2(NHMe)2, Mo(NtAmyl)2(NHEt)2, Mo(NtAmyl)2(NHPr)2, Mo(NtAmyl)2(NHiPr)2, Mo(NtAmyl)2(NHBu)2, Mo(NtAmyl)2(NHiBu)2, Mo(NtAmyl)2(NHsBu)2, Mo(NtAmyl)2(NHtBu)2, Mo(NtAmyl)2(NHSiMe3)2, 및 Mo(NtBu)(NtAmyl)(NHtBu)2, 바람직하게는 Mo(NtBu)2(NHiPr)2, Mo(NtBu)2(NHtBu)2, Mo(NtAmyl)2(NHiPr)2, 또는 Mo(NtAmyl)2(NHtBu)2 로 이루어지는 군으로부터 선택되는 원자 층 증착 방법.
  3. 제 2 항에 있어서, 몰리브데넘-함유 전구체의 일부 이상이 기판 위에 플라즈마 강화 원자 층 증착에 의해 증착되는 원자 층 증착 방법.
  4. 제 3 항에 있어서, 플라즈마 전력이 약 30 W 내지 약 600 W, 바람직하게는 약 100 W 내지 약 500 W 인 원자 층 증착 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서, 몰리브데넘-함유 전구체의 일부 이상을 환원제와 반응시키는 것을 추가로 포함하는 원자 층 증착 방법.
  6. 제 5 항에 있어서, 환원제가 N2, H2, NH3, N2H4 및 임의의 히드라진 기반 화합물, SiH4, Si2H6, 그들의 라디칼 종, 및 그들의 조합으로 이루어지는 군으로부터 선택되는 원자 층 증착 방법.
  7. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서, 몰리브데넘-함유 전구체의 일부 이상을 산화제와 반응시키는 것을 추가로 포함하는 원자 층 증착 방법.
  8. 제 7 항에 있어서, 산화제가 O2, H2O, O3, H2O2, N2O, NO, 아세트산, 그들의 라디칼 종, 및 그들의 조합으로 이루어지는 군으로부터 선택되는 원자 층 증착 방법.
  9. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서, 약 0.01 Pa 내지 약 1 x 105 Pa, 바람직하게는 약 0.1 Pa 내지 약 1 x 104 Pa 의 압력에서 수행되는 원자 층 증착 방법.
  10. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서, 약 20℃ 내지 약 500℃, 바람직하게는 약 330℃ 내지 약 500℃ 의 온도에서 수행되는 원자 층 증착 방법.
KR1020157024884A 2013-03-15 2014-03-12 몰리브데넘-함유 필름의 증착을 위한 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 분자 KR101627988B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
PCT/IB2013/001038 WO2014140672A1 (en) 2013-03-15 2013-03-15 Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
IBPCT/IB2013/001038 2013-03-15
PCT/IB2014/001034 WO2014140863A2 (en) 2013-03-15 2014-03-12 Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films

Publications (2)

Publication Number Publication Date
KR20150126857A true KR20150126857A (ko) 2015-11-13
KR101627988B1 KR101627988B1 (ko) 2016-06-07

Family

ID=51535926

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157024884A KR101627988B1 (ko) 2013-03-15 2014-03-12 몰리브데넘-함유 필름의 증착을 위한 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 분자

Country Status (6)

Country Link
US (2) US20160040289A1 (ko)
JP (2) JP2016516892A (ko)
KR (1) KR101627988B1 (ko)
SG (1) SG11201507672QA (ko)
TW (1) TWI596099B (ko)
WO (2) WO2014140672A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200056543A (ko) 2018-11-14 2020-05-25 (주)디엔에프 몰리브덴 함유 박막의 제조방법 및 이로부터 제조된 몰리브덴함유 박막
WO2024096493A1 (ko) * 2022-10-31 2024-05-10 주식회사 유피케미칼 몰리브데늄 전구체 화합물, 이의 제조방법, 및 이를 이용한 몰리브데늄-함유 박막의 증착 방법

Families Citing this family (287)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102101644B1 (ko) * 2014-05-12 2020-04-17 엘지디스플레이 주식회사 유기발광소자 및 이의 제조방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10453744B2 (en) 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US20180142345A1 (en) * 2016-11-23 2018-05-24 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102466639B1 (ko) * 2017-04-10 2022-11-11 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US20190067095A1 (en) * 2017-08-30 2019-02-28 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) * 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
TWI784036B (zh) * 2017-08-30 2022-11-21 荷蘭商Asm智慧財產控股公司 層形成方法
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11560625B2 (en) * 2018-01-19 2023-01-24 Entegris, Inc. Vapor deposition of molybdenum using a bis(alkyl-arene) molybdenum precursor
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11021793B2 (en) * 2018-05-31 2021-06-01 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Group 6 transition metal-containing compositions for vapor deposition of group 6 transition metal-containing films
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US20200131628A1 (en) * 2018-10-24 2020-04-30 Entegris, Inc. Method for forming molybdenum films on a substrate
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210110886A (ko) 2019-01-28 2021-09-09 램 리써치 코포레이션 금속 막들의 증착
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021052034A (ja) * 2019-09-20 2021-04-01 東京エレクトロン株式会社 金属酸化物膜の形成方法及び成膜装置
JP2021052033A (ja) * 2019-09-20 2021-04-01 東京エレクトロン株式会社 金属酸化物膜の形成方法及び成膜装置
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210119809A (ko) 2020-03-25 2021-10-06 삼성전자주식회사 몰리브덴 화합물과 이를 이용한 집적회로 소자의 제조 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7433132B2 (ja) * 2020-05-19 2024-02-19 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11886120B2 (en) * 2020-07-21 2024-01-30 Applied Materials, Inc. Deposition of semiconductor integration films
US11562904B2 (en) * 2020-07-21 2023-01-24 Applied Materials, Inc. Deposition of semiconductor integration films
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11390638B1 (en) 2021-01-12 2022-07-19 Applied Materials, Inc. Molybdenum(VI) precursors for deposition of molybdenum films
US11434254B2 (en) 2021-01-12 2022-09-06 Applied Materials, Inc. Dinuclear molybdenum precursors for deposition of molybdenum-containing films
US11459347B2 (en) 2021-01-12 2022-10-04 Applied Materials, Inc. Molybdenum(IV) and molybdenum(III) precursors for deposition of molybdenum films
US11854813B2 (en) 2021-02-24 2023-12-26 Applied Materials, Inc. Low temperature deposition of pure molybenum films
US11760768B2 (en) 2021-04-21 2023-09-19 Applied Materials, Inc. Molybdenum(0) precursors for deposition of molybdenum films
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US20220372053A1 (en) * 2021-05-21 2022-11-24 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Stable bis(alkyl-arene) transition metal complexes and methods of film deposition using the same
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023054066A1 (ja) 2021-10-01 2023-04-06 株式会社Adeka 薄膜形成用原料、薄膜の製造方法、薄膜及びモリブデン化合物

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040033699A1 (en) * 2002-08-16 2004-02-19 Hector Scott Daniel Method of making an integrated circuit using an EUV mask formed by atomic layer deposition
WO2010114386A1 (en) * 2009-03-30 2010-10-07 Universitetet I Oslo Thin films containing molybdenum oxide
KR20100122962A (ko) * 2006-06-02 2010-11-23 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 유전체 필름의 형성 방법, 신규 전구체 및 그의 반도체 제조에서의 용도
WO2012027575A1 (en) * 2010-08-27 2012-03-01 Sigma-Aldrich Co. Llc Molybdenum (iv) amide precursors and use thereof in atomic layer deposition

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5064686A (en) * 1990-10-29 1991-11-12 Olin Corporation Sub-valent molybdenum, tungsten, and chromium amides as sources for thermal chemical vapor deposition of metal-containing films
US6114242A (en) * 1997-12-05 2000-09-05 Taiwan Semiconductor Manufacturing Company MOCVD molybdenum nitride diffusion barrier for Cu metallization
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20090275198A1 (en) * 2008-05-01 2009-11-05 Smuruthi Kamepalli Vapor Phase Methods for Forming Electrodes in Phase Change Memory Devices

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040033699A1 (en) * 2002-08-16 2004-02-19 Hector Scott Daniel Method of making an integrated circuit using an EUV mask formed by atomic layer deposition
KR20100122962A (ko) * 2006-06-02 2010-11-23 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 유전체 필름의 형성 방법, 신규 전구체 및 그의 반도체 제조에서의 용도
WO2010114386A1 (en) * 2009-03-30 2010-10-07 Universitetet I Oslo Thin films containing molybdenum oxide
WO2012027575A1 (en) * 2010-08-27 2012-03-01 Sigma-Aldrich Co. Llc Molybdenum (iv) amide precursors and use thereof in atomic layer deposition

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200056543A (ko) 2018-11-14 2020-05-25 (주)디엔에프 몰리브덴 함유 박막의 제조방법 및 이로부터 제조된 몰리브덴함유 박막
WO2024096493A1 (ko) * 2022-10-31 2024-05-10 주식회사 유피케미칼 몰리브데늄 전구체 화합물, 이의 제조방법, 및 이를 이용한 몰리브데늄-함유 박막의 증착 방법

Also Published As

Publication number Publication date
TWI596099B (zh) 2017-08-21
US20160002786A1 (en) 2016-01-07
WO2014140672A1 (en) 2014-09-18
US20160040289A1 (en) 2016-02-11
JP2018150627A (ja) 2018-09-27
KR101627988B1 (ko) 2016-06-07
TW201504247A (zh) 2015-02-01
JP2016516892A (ja) 2016-06-09
WO2014140863A3 (en) 2015-01-29
WO2014140863A2 (en) 2014-09-18
SG11201507672QA (en) 2015-10-29

Similar Documents

Publication Publication Date Title
KR101627988B1 (ko) 몰리브데넘-함유 필름의 증착을 위한 비스(알킬이미도)-비스(알킬아미도)몰리브데넘 분자
JP6437962B2 (ja) 13族金属又は半金属の窒化物膜の堆積方法
KR102536435B1 (ko) 6족 전이 금속-함유 막의 증착용 6족 막 형성 조성물
JP6337116B2 (ja) 第5族遷移金属含有膜を蒸着させるための第5族遷移金属含有化合物
US10023462B2 (en) Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
US20120308739A1 (en) Methods for deposition of alkaline earth metal fluoride films
JP6317370B2 (ja) コバルト含有化合物、その合成及びコバルト含有膜の堆積におけるその使用
US20170298511A1 (en) Niobium-containing film forming compositions and vapor deposition of niobium-containing films
WO2011127122A2 (en) Titanium-containing precursors for vapor deposition
US9663547B2 (en) Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
US20170018425A1 (en) Heteroleptic diazadienyl group 4 transition metal-containing compounds for vapor deposition of group 4 transition metal-containing films
KR20240008929A (ko) 안정한 비스(알킬-아렌) 전이 금속 착물 및 이를 사용한 필름 증착 방법
WO2014118751A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition
US9790247B2 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
US20160032454A1 (en) Bis(alkylimido)-bis(alkylamido)tungsten molecules for deposition of tungsten-containing films
JP2016513087A (ja) マンガン含有化合物、その合成及びマンガン含有膜の堆積へのその使用

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20190523

Year of fee payment: 4