TWI756959B - 膜或塗層之方法 - Google Patents

膜或塗層之方法 Download PDF

Info

Publication number
TWI756959B
TWI756959B TW109142460A TW109142460A TWI756959B TW I756959 B TWI756959 B TW I756959B TW 109142460 A TW109142460 A TW 109142460A TW 109142460 A TW109142460 A TW 109142460A TW I756959 B TWI756959 B TW I756959B
Authority
TW
Taiwan
Prior art keywords
pyz
tertiary pentyl
ipr
group
ntbu
Prior art date
Application number
TW109142460A
Other languages
English (en)
Other versions
TW202129066A (zh
Inventor
盧沅泰
李柱澔
Original Assignee
法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 filed Critical 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Publication of TW202129066A publication Critical patent/TW202129066A/zh
Application granted granted Critical
Publication of TWI756959B publication Critical patent/TWI756959B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G33/00Compounds of niobium
    • C01G33/006Compounds containing, besides niobium, two or more other elements, with the exception of oxygen or hydrogen
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4417Methods specially adapted for coating powder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01MPROCESSES OR MEANS, e.g. BATTERIES, FOR THE DIRECT CONVERSION OF CHEMICAL ENERGY INTO ELECTRICAL ENERGY
    • H01M4/00Electrodes
    • H01M4/02Electrodes composed of, or comprising, active material
    • H01M4/36Selection of substances as active materials, active masses, active liquids
    • H01M4/362Composites
    • H01M4/366Composites as layered products
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01MPROCESSES OR MEANS, e.g. BATTERIES, FOR THE DIRECT CONVERSION OF CHEMICAL ENERGY INTO ELECTRICAL ENERGY
    • H01M4/00Electrodes
    • H01M4/02Electrodes composed of, or comprising, active material
    • H01M4/62Selection of inactive substances as ingredients for active masses, e.g. binders, fillers
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/01Particle morphology depicted by an image
    • C01P2004/03Particle morphology depicted by an image obtained by SEM
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/80Particles consisting of a mixture of two or more inorganic phases
    • C01P2004/82Particles consisting of a mixture of two or more inorganic phases two phases having the same anion, e.g. both oxidic phases
    • C01P2004/84Particles consisting of a mixture of two or more inorganic phases two phases having the same anion, e.g. both oxidic phases one phase coated with the other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01MPROCESSES OR MEANS, e.g. BATTERIES, FOR THE DIRECT CONVERSION OF CHEMICAL ENERGY INTO ELECTRICAL ENERGY
    • H01M4/00Electrodes
    • H01M4/02Electrodes composed of, or comprising, active material
    • H01M2004/026Electrodes composed of, or comprising, active material characterised by the polarity
    • H01M2004/028Positive electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01MPROCESSES OR MEANS, e.g. BATTERIES, FOR THE DIRECT CONVERSION OF CHEMICAL ENERGY INTO ELECTRICAL ENERGY
    • H01M4/00Electrodes
    • H01M4/02Electrodes composed of, or comprising, active material
    • H01M4/36Selection of substances as active materials, active masses, active liquids
    • H01M4/48Selection of substances as active materials, active masses, active liquids of inorganic oxides or hydroxides
    • H01M4/50Selection of substances as active materials, active masses, active liquids of inorganic oxides or hydroxides of manganese
    • H01M4/505Selection of substances as active materials, active masses, active liquids of inorganic oxides or hydroxides of manganese of mixed oxides or hydroxides containing manganese for inserting or intercalating light metals, e.g. LiMn2O4 or LiMn2OxFy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01MPROCESSES OR MEANS, e.g. BATTERIES, FOR THE DIRECT CONVERSION OF CHEMICAL ENERGY INTO ELECTRICAL ENERGY
    • H01M4/00Electrodes
    • H01M4/02Electrodes composed of, or comprising, active material
    • H01M4/36Selection of substances as active materials, active masses, active liquids
    • H01M4/48Selection of substances as active materials, active masses, active liquids of inorganic oxides or hydroxides
    • H01M4/52Selection of substances as active materials, active masses, active liquids of inorganic oxides or hydroxides of nickel, cobalt or iron
    • H01M4/525Selection of substances as active materials, active masses, active liquids of inorganic oxides or hydroxides of nickel, cobalt or iron of mixed oxides or hydroxides containing iron, cobalt or nickel for inserting or intercalating light metals, e.g. LiNiO2, LiCoO2 or LiCoOxFy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01MPROCESSES OR MEANS, e.g. BATTERIES, FOR THE DIRECT CONVERSION OF CHEMICAL ENERGY INTO ELECTRICAL ENERGY
    • H01M4/00Electrodes
    • H01M4/02Electrodes composed of, or comprising, active material
    • H01M4/36Selection of substances as active materials, active masses, active liquids
    • H01M4/58Selection of substances as active materials, active masses, active liquids of inorganic compounds other than oxides or hydroxides, e.g. sulfides, selenides, tellurides, halogenides or LiCoFy; of polyanionic structures, e.g. phosphates, silicates or borates
    • H01M4/5825Oxygenated metallic salts or polyanionic structures, e.g. borates, phosphates, silicates, olivines

Abstract

用於使用氣相沈積製程形成含第V族元素膜之方法包括:a)使基底暴露於形成含第V族元素膜的組成物的蒸氣;b)使該基底暴露於共反應物;以及c)重複該步驟a)和b)直至所需厚度的該含第V族元素膜沈積在該基底上,其中該形成含第V族元素膜的組成物包含具有下式的先質:
Figure 109142460-A0305-02-0001-1
其中M係選自V、Nb、或Ta的第V(五)族元素;R係H、Me、Et、nPr、iPr、nBu、sBu、iBu、tBu、正戊基、異戊基、新戊基、或三級戊基;R1、R2、R3各自獨立地是H、烷基、或-SiR’3基團,其中每個R’獨立地是H或烷基;並且R11、R12、R13、 R14、R15各自獨立地是H、Me、Et、nPr、iPr、nBu、sBu、iBu、或tBu。揭露了用於在粉末上沈積LiNbO3膜之方法。

Description

一種用於使用氣相沈積製程形成含第V(五)族元素族 膜之方法、一種用於氣相沈積製程的形成含第V(五)族元素族膜的組成物、以及一種藉由ALD製程在基底上形成LiNbO3膜或塗層之方法
本發明關於形成含第V(五)族元素族膜之組成物、合成該組成物之方法、和經由氣相沈積製程使用該組成物在基底上沈積含第V(五)族元素族膜之方法。
對於在各種電子的和光學的裝置中使用,金屬氧化物膜係有前途的候選物(由於它們的高電導率、低電阻率、和高光學透射率之獨特組合)。含第V(五)族元素氧化物膜已經廣泛用於半導體產業之不同領域中。傳統上,該等氧化物已經作為電阻膜施用,該電阻膜用作用於絕緣層之高K材料。例如,預期在兩個ZrO2介電層之間的Nb2O5薄層幫助顯著減小漏電流並且穩定ZrO2的立方/四方相,在DRAM的當前MIM電容器中提供更高k值(Alumina,J.Vac.Sci. Technol A[真空科學與技術雜誌A]4(6),1986和Microelectronic Engineering[微電子工程]86(2009)1789-1795)。
金屬氮化物膜,如氮化鈮(NbN)已經廣泛用於不同技術領域。傳統上,該等氮化物已經作為硬並且裝飾性的塗料施用,但是在過去十年間,它們已經越來越多地用作微電子裝置中的擴散阻擋層和黏附/黏合層(Applied Surface Science[應用表面科學]120(1997)199-212)。例如,已經檢測了將NbCl5作為鈮來源用於NbN的原子層外延生長,但是該製程要求Zn作為還原劑(Applied Surface Science[應用表面科學]82/83(1994)468-474)。也藉由原子層沈積(ALD)使用NbCl5和NH3沈積NbN膜(Thin Solid Films[固體薄膜]491(2005)235-241)。含氯量顯示了強溫度依賴性,因為在500℃下沈積的膜幾乎不含氯,而當沈積溫度低至250℃時,氯含量為8%。NbCl5的高的熔點也使得此先質難以用在氣相沈積製程中。
Gust等人揭露了帶有吡唑配位基的亞胺基鈮和亞胺基鉭的錯合物之合成、結構、以及特性以及它們用於藉由CVD生長氮化鉭膜之潛在用途(Polyhedron[多面體]20(2001)805-813)。
Elorriaga等人揭露了不對稱的胍基鈮作為胺的催化胍基化中的中間體(Dalton Transactions[道耳頓會刊],2013,第42卷,第23期,8223-8230頁)。
Tomson等人揭露了陽離子的Nb和Ta單甲基錯合物[(BDI)MeM(NtBu)][X](BDI=2,6-iPr2C6H3-N-C(Me)CH-C(Me)-N(2,6-iPr2C6H3);X=MeB(C6F5)3或B(C6F5)4)的合成和反應性(Dalton Transactions[道耳頓會刊],2011,第40卷,第30期,7718-7729頁)。
Lansalot-Matras等人的US 10,174,423揭露了使用鈮吡唑化物(pyrazolate)(Nb(=NR)(R`2-Pyz)3先質)形成含Nb膜。
已經研究了用於使用臭氧的Ta2O5的ALD的吡唑化物錯合物Ta(=NtBu)(tBu2-Pyz)3(Journal of American Chemical Society[美國化學學會雜誌],2007,129,12370-12371)。結果顯示了例外的熱穩定性,其導致從300℃至450℃的高ALD窗口,其中生長速率為0.31Å/循環。Ta2O5的分析顯示了低雜質(C、H、N)摻入了形成的膜。然後,發表了含吡唑化物的鈮和鉭化合物M(tBu2-Pyz)2(tBu2-NacNac),但是它們並未報導使用那些化合物的任何沈積(Organometallics[有機金屬化合物],2012,31,5971-5974)。
仍然需要開發液體的或低熔點的(<50℃,在標準壓力下)、高熱穩定的、含金屬的先質分子,尤其是含第V族先質(適用於具有控制的厚度和組成的氣相膜沈積)。
揭露了一種用於使用氣相沈積製程形成含第V(五)族元素族膜之方法,該方法包括以下步驟:a)使基底暴露於形成含第V(五)族元素族膜的組成物的蒸氣;b)使該基底暴露於共反應物;以及c)重複該步驟a)和b)直至所需厚度的該含第V(五)族元素族膜沈積在該基底上,其中該形成含第V(五)族元素族膜的組成物包含具有下式的先質:
Figure 109142460-A0305-02-0007-3
其中M係第V(五)族元素,V、Nb、Ta;R係H、Me、Et、nPr、iPr、nBu、sBu、iBu、tBu、正戊基、異戊基、新戊基、三級戊基;R1、R2、R3各自獨立地是H、烷基、-SiR’3基團,其中每個R’獨立地是H或烷基;並且R11、R12、R13、R14、R15各自獨立地是H、Me、Et、nPr、iPr、nBu、sBu、iBu、或tBu。
另外,揭露了一種藉由ALD製程在基底上形成Li-第V族-O3膜或塗層之方法,該方法包括以下步驟:i)使該基底暴露於形成含第V(五)族元素族膜的組成物的蒸氣;ii)使該基底暴露於氧化劑;iii)使該基底暴露於含鋰先質;iv)使該基底暴露於該氧化劑;以及v)重複步驟i)至iv),直至使用該ALD製程在基底上沈積所需厚度的Li-第V族-O3膜,其中該形成含第V(五)族元素族膜的組成物包含具有下式的先質:
Figure 109142460-A0305-02-0007-4
其中M係第V(五)族元素,V、Nb、Ta;R係H、Me、Et、nPr、iPr、nBu、sBu、iBu、tBu、正戊基、異戊基、新戊基、或三級戊基;R1、R2、R3各自獨立 地是H、烷基、-SiR’3基團,其中每個R’獨立地是H、烷基;並且R11、R12、R13、R14、R15各自獨立地是H、Me、Et、nPr、iPr、nBu、sBu、iBu、或tBu。
在具體實施方式中,該含鋰先質選自由以下組成之群組:LiOtBu;LiN(SiR3)2,其中每個R選自H、C1至C4烷基或烯基;以及Li(DPM)(二三級戊醯甲烷鋰(lithium dipivaloylmethane),也稱為2,2,6,6-四甲基-3,5-庚二酮酸鋰)。
所揭露之方法可以包括以下方面中的一項或多項:●該方法進一步包括以下步驟:分別在步驟a)和b)後引入惰性氣體吹掃,以分開每種暴露;●該方法進一步包括電漿處理共反應物的步驟;●該方法進一步包括分別在步驟i)、ii)、iii)和iv)後引入惰性氣體吹掃的步驟,以分開每種暴露;●該惰性氣體吹掃使用選自N2、Ar、Kr或Xe的惰性氣體;●該惰性氣體係N2或Ar;●該基底係粉末;●該粉末含有粉末材料,該粉末材料包括NMC(鋰鎳錳鈷氧化物)、LCO(鋰鈷氧化物)、LFP(磷酸鐵鋰)、和其他電池陰極材料;●該粉末材料包括任何適合的電池陰極材料;●該粉末材料包括NMC(鋰鎳錳鈷氧化物);●該粉末材料包括LCO(鋰鈷氧化物);●該粉末材料包括LFP(磷酸鐵鋰);●含鋰先質係任何適合的含鋰先質;●含鋰先質係LiOtBu;●含鋰先質係LiN(SiR3)2,其中每個R選自H、C1至C4烷基或烯基; ●該含鋰先質係Li(DPM)(二三級戊醯甲烷鋰,也稱為2,2,6,6-四甲基-3,5-庚二酮酸鋰);●該共反應物選自由以下組成之群組:O2、O3、H2O、H2O2、NO、N2O、NO2、其氧自由基、以及其混合物;●該共反應物選自由以下組成之群組:H2、H2CO、N2H4、NH3、胺、肼N(SiH3)3、其自由基、以及其混合物;●該共反應物係O3;●該共反應物係NH3;●該形成含第V(五)族元素族膜的組成物包含先質Nb(=NtBu)(MeCp)(iPr,H,iPr-Pyz)2;●該形成含第V(五)族元素族膜的組成物包含先質Nb(=NtBu)(MeCp)(Me,H,Me-Pyz)2;●該含第V(五)族元素族膜係Nb2O5;●該含第V(五)族元素族膜係NbN;●該含第V(五)族元素族膜係LiNbO3膜;●該基底係具有圖案之晶圓;●該基底係具有縱橫比大約2:1至大約200:1的孔洞或溝槽之晶圓;●該基底係具有縱橫比大約20:1至大約100:1的孔洞或溝槽之晶圓;●該氣相沈積製程為ALD製程;●該氣相沈積製程為CVD製程;●該氣相沈積製程為PEALD製程;●該氣相沈積製程為空間ALD製程;●沈積溫度範圍係從大約100℃至大約600℃; ●沈積溫度範圍係從大約150℃至大約500℃;另外,揭露了一種用於氣相沈積製程的形成含第V(五)族元素族膜的組成物,該組成物包含具有下式的先質:
Figure 109142460-A0305-02-0010-5
其中M係第V(五)族元素,V、Nb、Ta;R係H、Me、Et、nPr、iPr、nBu、sBu、iBu、tBu、正戊基、異戊基、新戊基、或三級戊基;R1、R2、R3各自獨立地是H、烷基、或SiR’3基團,其中每個R’獨立地是H或烷基;並且R11、R12、R13、R14、R15各自獨立地是H、Me、Et、nPr、iPr、nBu、sBu、iBu、或tBu。
揭露的組成物包括以下方面中的一個或多個:●R、R1、R2、R3各自獨立地是H、Me、Et、nPr、iPr、tBu、sBu、iBu、nBu、三級戊基、SiMe3、SiMe2H、或SiH2Me;●該組成物包含在大約95% w/w與大約100,0% w/w之間的該先質;●該組成物包含在大約0.0% w/w與大約5.0% w/w之間的雜質;●該組成物包含在大約0ppbw與大約500ppbw之間的金屬雜質;●該先質係Nb(=NtBu)(MeCp)(iPr,H,iPr-Pyz)2;●該先質係Nb(=NtBu)(MeCp)(Me,H,Me-Pyz)2;●該先質選自由以下組成之群組:M(=NMe)Cp(H,H,H-Pyz)2、M(=NMe)Cp(Me,H,H-Pyz)2、M(=NMe)Cp(Me,H,Me-Pyz)2、M(=NMe)Cp(Me,Me,Me-Pyz)2、M(=NMe)Cp(Et,H,Et-Pyz)2、 M(=NMe)Cp(nPr,H,nPr-Pyz)2、M(=NMe)Cp(iPr,H,iPr-Pyz)2、M(=NMe)Cp(tBu,H,tBu-Pyz)2、M(=NMe)Cp(iBu,H,iBu-Pyz)2、M(=NMe)Cp(nBu,H,nBu-Pyz)2、M(=NMe)Cp(sBu,H,sBu-Pyz)2、M(=NMe)Cp(三級戊基,H,三級戊基-Pyz)2、M(=NMe)Cp(iPr,H,tBu-Pyz)2、M(=NMe)Cp(iPr,H,Me-Pyz)2、M(=NMe)Cp(iPr,H,Et-Pyz)2、M(=NMe)Cp(TMS,H,TMS-Pyz)2、M(=NMe)Cp(DMS,H,DMS-Pyz)2、M(=NMe)Cp(MMS,H,MMS-Pyz)2、M(=NiPr)Cp(H,H,H-Pyz)2、M(=NiPr)Cp(Me,H,H-Pyz)2、M(=NiPr)Cp(Me,H,Me-Pyz)2、M(=NiPr)Cp(Me,Me,Me-Pyz)2、M(=NiPr)Cp(Et,H,Et-Pyz)2、M(=NiPr)Cp(nPr,H,nPr-Pyz)2、M(=NiPr)Cp(iPr,H,iPr-Pyz)2、M(=NiPr)Cp(tBu,H,tBu-Pyz)2、M(=NiPr)Cp(iBu,H,iBu-Pyz)2、M(=NiPr)Cp(nBu,H,nBu-Pyz)2、M(=NiPr)Cp(sBu,H,sBu-Pyz)2、M(=NiPr)Cp(三級戊基,H,三級戊基-Pyz)2、M(=NiPr)Cp(iPr,H,tBu-Pyz)2、M(=NiPr)Cp(iPr,H,Me-Pyz)2、M(=NiPr)Cp(iPr,H,Et-Pyz)2、M(=NiPr)Cp(TMS,H,TMS-Pyz)2、M(=NiPr)Cp(DMS,H,DMS-Pyz)2、M(=NiPr)Cp(MMS,H,MMS-Pyz)2、M(=NtBu)Cp(H,H,H-Pyz)2、M(=NtBu)Cp(Me,H,H-Pyz)2、M(=NtBu)Cp(Me,H,Me-Pyz)2、M(=NtBu)Cp(Me,Me,Me-Pyz)2、M(=NtBu)Cp(Et,H,Et-Pyz)2、M(=NtBu)Cp(nPr,H,nPr-Pyz)2、M(=NtBu)Cp(iPr,H,iPr-Pyz)2、M(=NtBu)Cp(tBu,H,tBu-Pyz)2、M(=NtBu)Cp(iBu,H,iBu-Pyz)2、M(=NtBu)Cp(nBu,H,nBu-Pyz)2、M(=NtBu)Cp(sBu,H,sBu-Pyz)2、M(=NtBu)Cp(三級戊基,H,三級戊基-Pyz)2、M(=NtBu)Cp(iPr,H,tBu-Pyz)2、M(=NtBu)Cp(iPr,H,Me-Pyz)2、M(=NtBu)Cp(iPr,H,Et-Pyz)2、M(=NtBu)Cp(TMS,H,TMS-Pyz)2、M(=NtBu)Cp(DMS,H,DMS-Pyz)2、M(=NtBu)Cp(MMS,H,MMS-Pyz)2、M(=N三級戊基)Cp(H,H,H-Pyz)2、M(=N三級戊基)Cp(Me,H,H-Pyz)2、M(=N三級戊基)Cp(Me,H,Me-Pyz)2、M(=N三級戊基)Cp(Me,Me,Me-Pyz)2、M(=N三級戊 基)Cp(Et,H,Et-Pyz)2、M(=N三級戊基)Cp(nPr,H,nPr-Pyz)2、M(=N三級戊基)Cp(iPr,H,iPr-Pyz)2、M(=N三級戊基)Cp(tBu,H,tBu-Pyz)2、M(=N三級戊基)Cp(iBu,H,iBu-Pyz)2、M(=N三級戊基)Cp(nBu,H,nBu-Pyz)2、M(=N三級戊基)Cp(sBu,H,sBu-Pyz)2、M(=N三級戊基)Cp(三級戊基,H,三級戊基-Pyz)2、M(=N三級戊基)Cp(iPr,H,tBu-Pyz)2、M(=N三級戊基)Cp(iPr,H,Me-Pyz)2、M(=N三級戊基)Cp(iPr,H,Et-Pyz)2、M(=N三級戊基)Cp(TMS,H,TMS-Pyz)2、M(=N三級戊基)Cp(DMS,H,DMS-Pyz)2、M(=N三級戊基)Cp(MMS,H,MMS-Pyz)2、M(=NMe)(MeCp)(H,H,H-Pyz)2、M(=NMe)(MeCp)(Me,H,H-Pyz)2、M(=NMe)(MeCp)(Me,H,Me-Pyz)2、M(=NMe)(MeCp)(Me,Me,Me-Pyz)2、M(=NMe)(MeCp)(Et,H,Et-Pyz)2、M(=NMe)(MeCp)(nPr,H,nPr-Pyz)2、M(=NMe)(MeCp)(iPr,H,iPr-Pyz)2、M(=NMe)(MeCp)(tBu,H,tBu-Pyz)2、M(=NMe)(MeCp)(iBu,H,iBu-Pyz)2、M(=NMe)(MeCp)(nBu,H,nBu-Pyz)2、M(=NMe)(MeCp)(sBu,H,sBu-Pyz)2、M(=NMe)(MeCp)(三級戊基,H,三級戊基-Pyz)2、M(=NMe)(MeCp)(iPr,H,tBu-Pyz)2、M(=NMe)(MeCp)(iPr,H,Me-Pyz)2、M(=NMe)(MeCp)(iPr,H,Et-Pyz)2、M(=NMe)(MeCp)(TMS,H,TMS-Pyz)2、M(=NMe)(MeCp)(DMS,H,DMS-Pyz)2、M(=NMe)(MeCp)(MMS,H,MMS-Pyz)2、M(=NiPr)(MeCp)(H,H,H-Pyz)2、M(=NiPr)(MeCp)(Me,H,H-Pyz)2、M(=NiPr)(MeCp)(Me,H,Me-Pyz)2、M(=NiPr)(MeCp)(Me,Me,Me-Pyz)2、M(=NiPr)(MeCp)(Et,H,Et-Pyz)2、M(=NiPr)(MeCp)(nPr,H,nPr-Pyz)2、M(=NiPr)(MeCp)(iPr,H,iPr-Pyz)2、M(=NiPr)(MeCp)(tBu,H,tBu-Pyz)2、M(=NiPr)(MeCp)(iBu,H,iBu-Pyz)2、M(=NiPr)(MeCp)(nBu,H,nBu-Pyz)2、M(=NiPr)(MeCp)(sBu,H,sBu-Pyz)2、M(=NiPr)(MeCp)(三級戊基,H,三級戊基-Pyz)2、M(=NiPr)(MeCp)(iPr,H,tBu-Pyz)2、M(=NiPr)(MeCp)(iPr,H,Me-Pyz)2、M(=NiPr)(MeCp)(iPr,H,Et-Pyz)2、M(=NiPr)(MeCp)(TMS,H,TMS-Pyz)2、 M(=NiPr)(MeCp)(DMS,H,DMS-Pyz)2、M(=NiPr)(MeCp)(MMS,H,MMS-Pyz)2、M(=NtBu)(MeCp)(H,H,H-Pyz)2、M(=NtBu)(MeCp)(Me,H,H-Pyz)2、M(=NtBu)(MeCp)(Me,H,Me-Pyz)2、M(=NtBu)(MeCp)(Me,Me,Me-Pyz)2、M(=NtBu)(MeCp)(Et,H,Et-Pyz)2、M(=NtBu)(MeCp)(nPr,H,nPr-Pyz)2、M(=NtBu)(MeCp)(iPr,H,iPr-Pyz)2、M(=NtBu)(MeCp)(tBu,H,tBu-Pyz)2、M(=NtBu)(MeCp)(iBu,H,iBu-Pyz)2、M(=NtBu)(MeCp)(nBu,H,nBu-Pyz)2、M(=NtBu)(MeCp)(sBu,H,sBu-Pyz)2、M(=NtBu)(MeCp)(三級戊基,H,三級戊基-Pyz)2、M(=NtBu)(MeCp)(iPr,H,tBu-Pyz)2、M(=NtBu)(MeCp)(iPr,H,Me-Pyz)2、M(=NtBu)(MeCp)(iPr,H,Et-Pyz)2、M(=NtBu)(MeCp)(TMS,H,TMS-Pyz)2、M(=NtBu)(MeCp)(DMS,H,DMS-Pyz)2、M(=NtBu)(MeCp)(MMS,H,MMS-Pyz)2、M(=N三級戊基)(MeCp)(H,H,H-Pyz)2、M(=N三級戊基)(MeCp)(Me,H,H-Pyz)2、M(=N三級戊基)(MeCp)(Me,H,Me-Pyz)2、M(=N三級戊基)(MeCp)(Me,Me,Me-Pyz)2、M(=N三級戊基)(MeCp)(Et,H,Et-Pyz)2、M(=N三級戊基)(MeCp)(nPr,H,nPr-Pyz)2、M(=N三級戊基)(MeCp)(iPr,H,iPr-Pyz)2、M(=N三級戊基)(MeCp)(tBu,H,tBu-Pyz)2、M(=N三級戊基)(MeCp)(iBu,H,iBu-Pyz)2、M(=N三級戊基)(MeCp)(nBu,H,nBu-Pyz)2、M(=N三級戊基)(MeCp)(sBu,H,sBu-Pyz)2、M(=N三級戊基)(MeCp)(三級戊基,H,三級戊基-Pyz)2、M(=N三級戊基)(MeCp)(iPr,H,tBu-Pyz)2、M(=N三級戊基)(MeCp)(iPr,H,Me-Pyz)2、M(=N三級戊基)(MeCp)(iPr,H,Et-Pyz)2、M(=N三級戊基)(MeCp)(TMS,H,TMS-Pyz)2、M(=N三級戊基)(MeCp)(DMS,H,DMS-Pyz)2、M(=N三級戊基)(MeCp)(MMS,H,MMS-Pyz)2、M(=NMe)(iPrCp)(H,H,H-Pyz)2、M(=NMe)(iPrCp)(Me,H,H-Pyz)2、M(=NMe)(iPrCp)(Me,H,Me-Pyz)2、M(=NMe)(iPrCp)(Me,Me,Me-Pyz)2、M(=NMe)(iPrCp)(Et,H,Et-Pyz)2、M(=NMe)(iPrCp)(nPr,H,nPr-Pyz)2、M(=NMe)(iPrCp)(iPr,H,iPr-Pyz)2、M(=NMe)(iPrCp)(tBu,H,tBu-Pyz)2、 M(=NMe)(iPrCp)(iBu,H,iBu-Pyz)2、M(=NMe)(iPrCp)(nBu,H,nBu-Pyz)2、M(=NMe)(iPrCp)(sBu,H,sBu-Pyz)2、M(=NMe)(iPrCp)(三級戊基,H,三級戊基-Pyz)2、M(=NMe)(iPrCp)(iPr,H,tBu-Pyz)2、M(=NMe)(iPrCp)(iPr,H,Me-Pyz)2、M(=NMe)(iPrCp)(iPr,H,Et-Pyz)2、M(=NMe)(iPrCp)(TMS,H,TMS-Pyz)2、M(=NMe)(iPrCp)(DMS,H,DMS-Pyz)2、M(=NMe)(iPrCp)(MMS,H,MMS-Pyz)2、M(=NiPr)(iPrCp)(H,H,H-Pyz)2、M(=NiPr)(iPrCp)(Me,H,H-Pyz)2、M(=NiPr)(iPrCp)(Me,H,Me-Pyz)2、M(=NiPr)(iPrCp)(Me,Me,Me-Pyz)2、M(=NiPr)(iPrCp)(Et,H,Et-Pyz)2、M(=NiPr)(iPrCp)(nPr,H,nPr-Pyz)2、M(=NiPr)(iPrCp)(iPr,H,iPr-Pyz)2、M(=NiPr)(iPrCp)(tBu,H,tBu-Pyz)2、M(=NiPr)(iPrCp)(iBu,H,iBu-Pyz)2、M(=NiPr)(iPrCp)(nBu,H,nBu-Pyz)2、M(=NiPr)(iPrCp)(sBu,H,sBu-Pyz)2、M(=NiPr)(iPrCp)(三級戊基,H,三級戊基-Pyz)2、M(=NiPr)(iPrCp)(iPr,H,tBu-Pyz)2、M(=NiPr)(iPrCp)(iPr,H,Me-Pyz)2、M(=NiPr)(iPrCp)(iPr,H,Et-Pyz)2、M(=NiPr)(iPrCp)(TMS,H,TMS-Pyz)2、M(=NiPr)(iPrCp)(DMS,H,DMS-Pyz)2、M(=NiPr)(iPrCp)(MMS,H,MMS-Pyz)2、M(=NtBu)(iPrCp)(H,H,H-Pyz)2、M(=NtBu)(iPrCp)(Me,H,H-Pyz)2、M(=NtBu)(iPrCp)(Me,H,Me-Pyz)2、M(=NtBu)(iPrCp)(Me,Me,Me-Pyz)2、M(=NtBu)(iPrCp)(Et,H,Et-Pyz)2、M(=NtBu)(iPrCp)(nPr,H,nPr-Pyz)2、M(=NtBu)(iPrCp)(iPr,H,iPr-Pyz)2、M(=NtBu)(iPrCp)(tBu,H,tBu-Pyz)2、M(=NtBu)(iPrCp)(iBu,H,iBu-Pyz)2、M(=NtBu)(iPrCp)(nBu,H,nBu-Pyz)2、M(=NtBu)(iPrCp)(sBu,H,sBu-Pyz)2、M(=NtBu)(iPrCp)(三級戊基,H,三級戊基-Pyz)2、M(=NtBu)(iPrCp)(iPr,H,tBu-Pyz)2、M(=NtBu)(iPrCp)(iPr,H,Me-Pyz)2、M(=NtBu)(iPrCp)(iPr,H,Et-Pyz)2、M(=NtBu)(iPrCp)(TMS,H,TMS-Pyz)2、M(=NtBu)(iPrCp)(DMS,H,DMS-Pyz)2、M(=NtBu)(iPrCp)(MMS,H,MMS-Pyz)2、M(=N三級戊基)(iPrCp)(H,H,H-Pyz)2、M(=N三級戊基)(iPrCp)(Me,H,H-Pyz)2、 M(=N三級戊基)(iPrCp)(Me,H,Me-Pyz)2、M(=N三級戊基)(iPrCp)(Me,Me,Me-Pyz)2、M(=N三級戊基)(iPrCp)(Et,H,Et-Pyz)2、M(=N三級戊基)(iPrCp)(nPr,H,nPr-Pyz)2、M(=N三級戊基)(iPrCp)(iPr,H,iPr-Pyz)2、M(=N三級戊基)(iPrCp)(tBu,H,tBu-Pyz)2、M(=N三級戊基)(iPrCp)(iBu,H,iBu-Pyz)2、M(=N三級戊基)(iPrCp)(nBu,H,nBu-Pyz)2、M(=N三級戊基)(iPrCp)(sBu,H,sBu-Pyz)2、M(=N三級戊基)(iPrCp)(三級戊基,H,三級戊基-Pyz)2、M(=N三級戊基)(iPrCp)(iPr,H,tBu-Pyz)2、M(=N三級戊基)(iPrCp)(iPr,H,Me-Pyz)2、M(=N三級戊基)(iPrCp)(iPr,H,Et-Pyz)2、M(=N三級戊基)(iPrCp)(TMS,H,TMS-Pyz)2、M(=N三級戊基)(iPrCp)(DMS,H,DMS-Pyz)2、M(=N三級戊基)(iPrCp)(MMS,H,MMS-Pyz)2、M(=NMe)(tBuCp)(H,H,H-Pyz)2、M(=NMe)(tBuCp)(Me,H,H-Pyz)2、M(=NMe)(tBuCp)(Me,H,Me-Pyz)2、M(=NMe)(tBuCp)(Me,Me,Me-Pyz)2、M(=NMe)(tBuCp)(Et,H,Et-Pyz)2、M(=NMe)(tBuCp)(nPr,H,nPr-Pyz)2、M(=NMe)(tBuCp)(iPr,H,iPr-Pyz)2、M(=NMe)(tBuCp)(tBu,H,tBu-Pyz)2、M(=NMe)(tBuCp)(iBu,H,iBu-Pyz)2、M(=NMe)(tBuCp)(nBu,H,nBu-Pyz)2、M(=NMe)(tBuCp)(sBu,H,sBu-Pyz)2、M(=NMe)(tBuCp)(三級戊基,H,三級戊基-Pyz)2、M(=NMe)(tBuCp)(iPr,H,tBu-Pyz)2、M(=NMe)(tBuCp)(iPr,H,Me-Pyz)2、M(=NMe)(tBuCp)(iPr,H,Et-Pyz)2、M(=NMe)(tBuCp)(TMS,H,TMS-Pyz)2、M(=NMe)(tBuCp)(DMS,H,DMS-Pyz)2、M(=NMe)(tBuCp)(MMS,H,MMS-Pyz)2、M(=NiPr)(tBuCp)(H,H,H-Pyz)2、M(=NiPr)(tBuCp)(Me,H,H-Pyz)2、M(=NiPr)(tBuCp)(Me,H,Me-Pyz)2、M(=NiPr)(tBuCp)(Me,Me,Me-Pyz)2、M(=NiPr)(tBuCp)(Et,H,Et-Pyz)2、M(=NiPr)(tBuCp)(nPr,H,nPr-Pyz)2、M(=NiPr)(tBuCp)(iPr,H,iPr-Pyz)2、M(=NiPr)(tBuCp)(tBu,H,tBu-Pyz)2、M(=NiPr)(tBuCp)(iBu,H,iBu-Pyz)2、M(=NiPr)(tBuCp)(nBu,H,nBu-Pyz)2、M(=NiPr)(tBuCp)(sBu,H,sBu-Pyz)2、M(=NiPr)(tBuCp)(三級戊基,H,三級戊基- Pyz)2、M(=NiPr)(tBuCp)(iPr,H,tBu-Pyz)2、M(=NiPr)(tBuCp)(iPr,H,Me-Pyz)2、M(=NiPr)(tBuCp)(iPr,H,Et-Pyz)2、M(=NiPr)(tBuCp)(TMS,H,TMS-Pyz)2、M(=NiPr)(tBuCp)(DMS,H,DMS-Pyz)2、M(=NiPr)(tBuCp)(MMS,H,MMS-Pyz)2、M(=NtBu)(tBuCp)(H,H,H-Pyz)2、M(=NtBu)(tBuCp)(Me,H,H-Pyz)2、M(=NtBu)(tBuCp)(Me,H,Me-Pyz)2、M(=NtBu)(tBuCp)(Me,Me,Me-Pyz)2、M(=NtBu)(tBuCp)(Et,H,Et-Pyz)2、M(=NtBu)(tBuCp)(nPr,H,nPr-Pyz)2、M(=NtBu)(tBuCp)(iPr,H,iPr-Pyz)2、M(=NtBu)(tBuCp)(tBu,H,tBu-Pyz)2、M(=NtBu)(tBuCp)(iBu,H,iBu-Pyz)2、M(=NtBu)(tBuCp)(nBu,H,nBu-Pyz)2、M(=NtBu)(tBuCp)(sBu,H,sBu-Pyz)2、M(=NtBu)(tBuCp)(三級戊基,H,三級戊基-Pyz)2、M(=NtBu)(tBuCp)(iPr,H,tBu-Pyz)2、M(=NtBu)(tBuCp)(iPr,H,Me-Pyz)2、M(=NtBu)(tBuCp)(iPr,H,Et-Pyz)2、M(=NtBu)(tBuCp)(TMS,H,TMS-Pyz)2、M(=NtBu)(tBuCp)(DMS,H,DMS-Pyz)2、M(=NtBu)(tBuCp)(MMS,H,MMS-Pyz)2、M(=N三級戊基)(tBuCp)(H,H,H-Pyz)2、M(=N三級戊基)(tBuCp)(Me,H,H-Pyz)2、M(=N三級戊基)(tBuCp)(Me,H,Me-Pyz)2、M(=N三級戊基)(tBuCp)(Me,Me,Me-Pyz)2、M(=N三級戊基)(tBuCp)(Et,H,Et-Pyz)2、M(=N三級戊基)(tBuCp)(nPr,H,nPr-Pyz)2、M(=N三級戊基)(tBuCp)(iPr,H,iPr-Pyz)2、M(=N三級戊基)(tBuCp)(tBu,H,tBu-Pyz)2、M(=N三級戊基)(tBuCp)(iBu,H,iBu-Pyz)2、M(=N三級戊基)(tBuCp)(nBu,H,nBu-Pyz)2、M(=N三級戊基)(tBuCp)(sBu,H,sBu-Pyz)2、M(=N三級戊基)(tBuCp)(三級戊基,H,三級戊基-Pyz)2、M(=N三級戊基)(tBuCp)(iPr,H,tBu-Pyz)2、M(=N三級戊基)(tBuCp)(iPr,H,Me-Pyz)2、M(=N三級戊基)(tBuCp)(iPr,H,Et-Pyz)2、M(=N三級戊基)(tBuCp)(TMS,H,TMS-Pyz)2、M(=N三級戊基)(tBuCp)(DMS,H,DMS-Pyz)2、M(=N三級戊基)(tBuCp)(MMS,H,MMS-Pyz)2、M(=NMe)(三級戊基Cp)(H,H,H-Pyz)2、M(=NMe)(三級戊基Cp)(Me,H,H-Pyz)2、M(=NMe)(三級戊基Cp)(Me,H,Me-Pyz)2、 M(=NMe)(三級戊基Cp)(Me,Me,Me-Pyz)2、M(=NMe)(三級戊基Cp)(Et,H,Et-Pyz)2、M(=NMe)(三級戊基Cp)(nPr,H,nPr-Pyz)2、M(=NMe)(三級戊基Cp)(iPr,H,iPr-Pyz)2、M(=NMe)(三級戊基Cp)(tBu,H,tBu-Pyz)2、M(=NMe)(三級戊基Cp)(iBu,H,iBu-Pyz)2、M(=NMe)(三級戊基Cp)(nBu,H,nBu-Pyz)2、M(=NMe)(三級戊基Cp)(sBu,H,sBu-Pyz)2、M(=NMe)(三級戊基Cp)(三級戊基,H,三級戊基-Pyz)2、M(=NMe)(三級戊基Cp)(iPr,H,tBu-Pyz)2、M(=NMe)(三級戊基Cp)(iPr,H,Me-Pyz)2、M(=NMe)(三級戊基Cp)(iPr,H,Et-Pyz)2、M(=NMe)(三級戊基Cp)(TMS,H,TMS-Pyz)2、M(=NMe)(三級戊基Cp)(DMS,H,DMS-Pyz)2、M(=NMe)(三級戊基Cp)(MMS,H,MMS-Pyz)2、M(=NiPr)(三級戊基Cp)(H,H,H-Pyz)2、M(=NiPr)(三級戊基Cp)(Me,H,H-Pyz)2、M(=NiPr)(三級戊基Cp)(Me,H,Me-Pyz)2、M(=NiPr)(三級戊基Cp)(Me,Me,Me-Pyz)2、M(=NiPr)(三級戊基Cp)(Et,H,Et-Pyz)2、M(=NiPr)(三級戊基Cp)(nPr,H,nPr-Pyz)2、M(=NiPr)(三級戊基Cp)(iPr,H,iPr-Pyz)2、M(=NiPr)(三級戊基Cp)(tBu,H,tBu-Pyz)2、M(=NiPr)(三級戊基Cp)(iBu,H,iBu-Pyz)2、M(=NiPr)(三級戊基Cp)(nBu,H,nBu-Pyz)2、M(=NiPr)(三級戊基Cp)(sBu,H,sBu-Pyz)2、M(=NiPr)(三級戊基Cp)(三級戊基,H,三級戊基-Pyz)2、M(=NiPr)(三級戊基Cp)(iPr,H,tBu-Pyz)2、M(=NiPr)(三級戊基Cp)(iPr,H,Me-Pyz)2、M(=NiPr)(三級戊基Cp)(iPr,H,Et-Pyz)2、M(=NiPr)(三級戊基Cp)(TMS,H,TMS-Pyz)2、M(=NiPr)(三級戊基Cp)(DMS,H,DMS-Pyz)2、M(=NiPr)(三級戊基Cp)(MMS,H,MMS-Pyz)2、M(=NtBu)(三級戊基Cp)(H,H,H-Pyz)2、M(=NtBu)(三級戊基Cp)(Me,H,H-Pyz)2、M(=NtBu)(三級戊基Cp)(Me,H,Me-Pyz)2、M(=NtBu)(三級戊基Cp)(Me,Me,Me-Pyz)2、M(=NtBu)(三級戊基Cp)(Et,H,Et-Pyz)2、M(=NtBu)(三級戊基Cp)(nPr,H,nPr-Pyz)2、M(=NtBu)(三級戊基Cp)(iPr,H,iPr-Pyz)2、M(=NtBu)(三級戊基Cp)(tBu,H,tBu-Pyz)2、M(=NtBu)(三級戊基Cp)(iBu,H,iBu-Pyz)2、M(=NtBu)(三級戊基Cp)(nBu,H,nBu-Pyz)2、M(=NtBu)(三級 戊基Cp)(sBu,H,sBu-Pyz)2、M(=NtBu)(三級戊基Cp)(三級戊基,H,三級戊基-Pyz)2、M(=NtBu)(三級戊基Cp)(iPr,H,tBu-Pyz)2、M(=NtBu)(三級戊基Cp)(iPr,H,Me-Pyz)2、M(=NtBu)(三級戊基Cp)(iPr,H,Et-Pyz)2、M(=NtBu)(三級戊基Cp)(TMS,H,TMS-Pyz)2、M(=NtBu)(三級戊基Cp)(DMS,H,DMS-Pyz)2、M(=NtBu)(三級戊基Cp)(MMS,H,MMS-Pyz)2、M(=N三級戊基)(三級戊基Cp)(H,H,H-Pyz)2、M(=N三級戊基)(三級戊基Cp)(Me,H,H-Pyz)2、M(=N三級戊基)(三級戊基Cp)(Me,H,Me-Pyz)2、M(=N三級戊基)(三級戊基Cp)(Me,Me,Me-Pyz)2、M(=N三級戊基)(三級戊基Cp)(Et,H,Et-Pyz)2、M(=N三級戊基)(三級戊基Cp)(nPr,H,nPr-Pyz)2、M(=N三級戊基)(三級戊基Cp)(iPr,H,iPr-Pyz)2、M(=N三級戊基)(三級戊基Cp)(tBu,H,tBu-Pyz)2、M(=N三級戊基)(三級戊基Cp)(iBu,H,iBu-Pyz)2、M(=N三級戊基)(三級戊基Cp)(nBu,H,nBu-Pyz)2、M(=N三級戊基)(三級戊基Cp)(sBu,H,sBu-Pyz)2、M(=N三級戊基)(三級戊基Cp)(三級戊基,H,三級戊基-Pyz)2、M(=N三級戊基)(三級戊基Cp)(iPr,H,tBu-Pyz)2、M(=N三級戊基)(三級戊基Cp)(iPr,H,Me-Pyz)2、M(=N三級戊基)(三級戊基Cp)(iPr,H,Et-Pyz)2、M(=N三級戊基)(三級戊基Cp)(TMS,H,TMS-Pyz)2、M(=N三級戊基)(三級戊基Cp)(DMS,H,DMS-Pyz)2、和M(=N三級戊基)(三級戊基Cp)(MMS,H,MMS-Pyz)2,其中M係Nb、Ta或V。
符號和命名法
以下詳細說明和申請專利範圍利用了本領域中通常眾所周知的許多縮寫、符號和術語。
如本文所使用,不定冠詞「一個/一種(a或an)」意指一個/一種或多個/多種。
如本文所使用,在正文或申請專利範圍中的「約(about)」或「大約(around或approximately)」意指該值的±10%。
本文中所述之任何及所有範圍包括其端點(即,x=1至4或x在從1至4的範圍內包括x=1、x=4及x=其間的任何數目),不論是否使用術語「包括性」。
本文中使用元素週期表的元素的標準縮寫。應理解,可以藉由該等縮寫提及元素(例如,Nb係指鈮,N係指氮,C係指碳等)。
如本文所使用,當被用於描述R基團的上下文中時,術語「獨立地」應理解為表示對象R基團不僅相對於帶有相同或不同下標或上標的其他R基團獨立地選擇,而且相對於同樣的R基團的任何附加種類獨立地選擇。例如,在式MR1 x(NR2R3)(4-x)中,在x係2或3之情況下,兩個或三個R1基團可以但是不必是彼此或與R2或與R3相同的。進一步地,應理解,除非另外確切地指明,否則當用於不同式中時,R基團的值彼此獨立。
如本文所使用,術語「烷基」係指僅含有碳和氫原子的飽和官能基。另外,術語「烷基」指直鏈、支鏈或環狀烷基。直鏈烷基的實例包括但不限於甲基、乙基、丙基、丁基等。支鏈烷基的實例包括但不限於三級丁基。環烷基的實例包括但不限於,環丙基、環戊基、環己基等。
如在此所使用,縮寫「Me」係指甲基;縮寫「Et」係指乙基;縮寫「Pr」係指丙基;縮寫「nPr」係指「正」或直鏈丙基;縮寫「iPt」係指異丙基;縮寫「Bu」係指丁基;縮寫「nBu」係指「正」或直鏈丁基;縮寫「tBu」係指三級丁基,也稱為1,1-二甲基乙基;縮寫「sBu」係指二級丁基,也稱為1-甲基丙基;縮寫「iBu」係指異丁基,也稱為2-甲基丙基;縮寫「amyl」係指戊基(amyl)或戊基(pentyl group);縮寫「三級戊基(tAmyl)」係指三級戊基,也稱為1,1-二甲基丙基。
如本文所使用,縮寫「IMS」係指三甲基矽基(Me3Si-);縮寫「DMS」係指二甲基矽基(Me2HSi-);縮寫「MMS」係指單甲基矽基(MeH2Si-);縮寫「py」係指吡啶;並且縮寫R1,R2,R3-Pyr係指具有以下結構的吡唑基配位基:
Figure 109142460-A0305-02-0020-6
請注意,所沈積的膜或層(如氧化鈮或氮化鈮)可以在整個說明書和申請專利範圍中在不提及其適當化學計量(例如,NbO=Nb2O5)之情況下列舉。該等層還可含有氫,典型地從0原子%至15原子%。然而,因為不是常規測量的,所以給出的任何膜組成都忽略它們的H含量,除非另外明確地指明。
本發明之其他方面、特徵、和優點將從以下詳細描述、所附申請專利範圍、和附圖變得更加完全清楚,在附圖中,類似的附圖標記標識類似或相同之元件。
[圖1]係Nb(=NtBu)(MeCp)(Me,H,Me-pyz)2之熱重量分析(TGA)曲線;[圖2]係Nb(=NtBu)(MeCp)(Me,H,Me-pyz)2之蒸氣壓(VP)曲線;[圖3]係Nb(=NtBu)(MeCp)(Me,H,Me-pyz)21H NMR;[圖4]係Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2之TGA曲線;[圖5]係Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2之VP曲線;[圖6]係Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)21H NMR圖;[圖7]係使用臭氧的Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2之ALD溫度窗口;[圖8]係使用臭氧藉由Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2沈積的Nb2O5之X射線繞射(XRD);以及 [圖9]係使用臭氧藉由Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2按圖案化結構沈積的Nb2O5之掃描電子顯微術(SEM)。
揭露了形成含第V(五)族元素膜的組成物,該形成含第V(五)族元素膜的組成物包含具有下式的先質:
Figure 109142460-A0305-02-0021-7
其中M係第V(五)族元素,釩(V)、鈮(Nb)、或鉭(Ta);R係H、Me、Et、nPr、iPr、nBu、sBu、t-Bu、正戊基、異戊基、新戊基、或三級戊基;R1、R2、和R3各自獨立地是H、烷基、或SiR’3基團,其中每個R’獨立地是H或烷基;R11、R12、R13、R14、R15各自獨立地是H、Me、Et、nPr、iPr、nBu、sBu、iBu、或tBu。較佳的是,R1、R2、R3各自獨立地是H、Me、Et、nPr、iPr、tBu、sBu、iBu、nBu、三級戊基、SiMe3、SiMe2H、或SiH2Me。如在上式中所示,氮原子可以鍵合至中心第V(五)族原子M,產生四配位M(V)中心。所得幾何結構可以是偽四面體,其中在每個3,5-二烷基吡唑化物部分中的氮-氮鍵的中心被認為係單齒配位基。吡唑基配位基中的碳原子可以是sp2雜化的,導致單陰離子配位基上的非定域電荷,其中可以認為M係由吡唑化物環中的兩個氮原子配位的。
示例性含有第V(五)族元素M的先質包括:M(=NMe)Cp(H,H,H-Pyz)2、M(=NMe)Cp(Me,H,H-Pyz)2、M(=NMe)Cp(Me,H,Me-Pyz)2、M(=NMe)Cp(Me,Me,Me-Pyz)2、 M(=NMe)Cp(Et,H,Et-Pyz)2、M(=NMe)Cp(nPr,H,nPr-Pyz)2、M(=NMe)Cp(iPr,H,iPr-Pyz)2、M(=NMe)Cp(tBu,H,tBu-Pyz)2、M(=NMe)Cp(iBu,H,iBu-Pyz)2、M(=NMe)Cp(nBu,H,nBu-Pyz)2、M(=NMe)Cp(sBu,H,sBu-Pyz)2、M(=NMe)Cp(三級戊基,H,三級戊基-Pyz)2、M(=NMe)Cp(iPr,H,tBu-Pyz)2、M(=NMe)Cp(iPr,H,Me-Pyz)2、M(=NMe)Cp(iPr,H,Et-Pyz)2、M(=NMe)Cp(TMS,H,TMS-Pyz)2、M(=NMe)Cp(DMS,H,DMS-Pyz)2、M(=NMe)Cp(MMS,H,MMS-Pyz)2、M(=NiPr)Cp(H,H,H-Pyz)2、M(=NiPr)Cp(Me,H,H-Pyz)2、M(=NiPr)Cp(Me,H,Me-Pyz)2、M(=NiPr)Cp(Me,Me,Me-Pyz)2、M(=NiPr)Cp(Et,H,Et-Pyz)2、M(=NiPr)Cp(nPr,H,nPr-Pyz)2、M(=NiPr)Cp(iPr,H,iPr-Pyz)2、M(=NiPr)Cp(tBu,H,tBu-Pyz)2、M(=NiPr)Cp(iBu,H,iBu-Pyz)2、M(=NiPr)Cp(nBu,H,nBu-Pyz)2、M(=NiPr)Cp(sBu,H,sBu-Pyz)2、M(=NiPr)Cp(三級戊基,H,三級戊基-Pyz)2、M(=NiPr)Cp(iPr,H,tBu-Pyz)2、M(=NiPr)Cp(iPr,H,Me-Pyz)2、M(=NiPr)Cp(iPr,H,Et-Pyz)2、M(=NiPr)Cp(TMS,H,TMS-Pyz)2、M(=NiPr)Cp(DMS,H,DMS-Pyz)2、M(=NiPr)Cp(MMS,H,MMS-Pyz)2、M(=NtBu)Cp(H,H,H-Pyz)2、M(=NtBu)Cp(Me,H,H-Pyz)2、M(=NtBu)Cp(Me,H,Me-Pyz)2、M(=NtBu)Cp(Me,Me,Me-Pyz)2、M(=NtBu)Cp(Et,H,Et-Pyz)2、M(=NtBu)Cp(nPr,H,nPr-Pyz)2、M(=NtBu)Cp(iPr,H,iPr-Pyz)2、M(=NtBu)Cp(tBu,H,tBu-Pyz)2、M(=NtBu)Cp(iBu,H,iBu-Pyz)2、M(=NtBu)Cp(nBu,H,nBu-Pyz)2、M(=NtBu)Cp(sBu,H,sBu-Pyz)2、M(=NtBu)Cp(三級戊基,H,三級戊基-Pyz)2、M(=NtBu)Cp(iPr,H,tBu-Pyz)2、M(=NtBu)Cp(iPr,H,Me-Pyz)2、M(=NtBu)Cp(iPr,H,Et-Pyz)2、M(=NtBu)Cp(TMS,H,TMS-Pyz)2、M(=NtBu)Cp(DMS,H,DMS-Pyz)2、M(=NtBu)Cp(MMS,H,MMS-Pyz)2、M(=N三級戊基)Cp(H,H,H-Pyz)2、M(=N三級戊基)Cp(Me,H,H-Pyz)2、M(=N三級戊基)Cp(Me,H,Me-Pyz)2、M(=N三級戊基)Cp(Me,Me,Me-Pyz)2、M(=N三級 戊基)Cp(Et,H,Et-Pyz)2、M(=N三級戊基)Cp(nPr,H,nPr-Pyz)2、M(=N三級戊基)Cp(iPr,H,iPr-Pyz)2、M(=N三級戊基)Cp(tBu,H,tBu-Pyz)2、M(=N三級戊基)Cp(iBu,H,iBu-Pyz)2、M(=N三級戊基)Cp(nBu,H,nBu-Pyz)2、M(=N三級戊基)Cp(sBu,H,sBu-Pyz)2、M(=N三級戊基)Cp(三級戊基,H,三級戊基-Pyz)2、M(=N三級戊基)Cp(iPr,H,tBu-Pyz)2、M(=N三級戊基)Cp(iPr,H,Me-Pyz)2、M(=N三級戊基)Cp(iPr,H,Et-Pyz)2、M(=N三級戊基)Cp(TMS,H,TMS-Pyz)2、M(=N三級戊基)Cp(DMS,H,DMS-Pyz)2、M(=N三級戊基)Cp(MMS,H,MMS-Pyz)2、M(=NMe)(MeCp)(H,H,H-Pyz)2、M(=NMe)(MeCp)(Me,H,H-Pyz)2、M(=NMe)(MeCp)(Me,H,Me-Pyz)2、M(=NMe)(MeCp)(Me,Me,Me-Pyz)2、M(=NMe)(MeCp)(Et,H,Et-Pyz)2、M(=NMe)(MeCp)(nPr,H,nPr-Pyz)2、M(=NMe)(MeCp)(iPr,H,iPr-Pyz)2、M(=NMe)(MeCp)(tBu,H,tBu-Pyz)2、M(=NMe)(MeCp)(iBu,H,iBu-Pyz)2、M(=NMe)(MeCp)(nBu,H,nBu-Pyz)2、M(=NMe)(MeCp)(sBu,H,sBu-Pyz)2、M(=NMe)(MeCp)(三級戊基,H,三級戊基-Pyz)2、M(=NMe)(MeCp)(iPr,H,tBu-Pyz)2、M(=NMe)(MeCp)(iPr,H,Me-Pyz)2、M(=NMe)(MeCp)(iPr,H,Et-Pyz)2、M(=NMe)(MeCp)(TMS,H,TMS-Pyz)2、M(=NMe)(MeCp)(DMS,H,DMS-Pyz)2、M(=NMe)(MeCp)(MMS,H,MMS-Pyz)2、M(=NiPr)(MeCp)(H,H,H-Pyz)2、M(=NiPr)(MeCp)(Me,H,H-Pyz)2、M(=NiPr)(MeCp)(Me,H,Me-Pyz)2、M(=NiPr)(MeCp)(Me,Me,Me-Pyz)2、M(=NiPr)(MeCp)(Et,H,Et-Pyz)2、M(=NiPr)(MeCp)(nPr,H,nPr-Pyz)2、M(=NiPr)(MeCp)(iPr,H,iPr-Pyz)2、M(=NiPr)(MeCp)(tBu,H,tBu-Pyz)2、M(=NiPr)(MeCp)(iBu,H,iBu-Pyz)2、M(=NiPr)(MeCp)(nBu,H,nBu-Pyz)2、M(=NiPr)(MeCp)(sBu,H,sBu-Pyz)2、M(=NiPr)(MeCp)(三級戊基,H,三級戊基-Pyz)2、M(=NiPr)(MeCp)(iPr,H,tBu-Pyz)2、M(=NiPr)(MeCp)(iPr,H,Me-Pyz)2、 M(=NiPr)(MeCp)(iPr,H,Et-Pyz)2、M(=NiPr)(MeCp)(TMS,H,TMS-Pyz)2、M(=NiPr)(MeCp)(DMS,H,DMS-Pyz)2、M(=NiPr)(MeCp)(MMS,H,MMS-Pyz)2、M(=NtBu)(MeCp)(H,H,H-Pyz)2、M(=NtBu)(MeCp)(Me,H,H-Pyz)2、M(=NtBu)(MeCp)(Me,H,Me-Pyz)2、M(=NtBu)(MeCp)(Me,Me,Me-Pyz)2、M(=NtBu)(MeCp)(Et,H,Et-Pyz)2、M(=NtBu)(MeCp)(nPr,H,nPr-Pyz)2、M(=NtBu)(MeCp)(iPr,H,iPr-Pyz)2、M(=NtBu)(MeCp)(tBu,H,tBu-Pyz)2、M(=NtBu)(MeCp)(iBu,H,iBu-Pyz)2、M(=NtBu)(MeCp)(nBu,H,nBu-Pyz)2、M(=NtBu)(MeCp)(sBu,H,sBu-Pyz)2、M(=NtBu)(MeCp)(三級戊基,H,三級戊基-Pyz)2、M(=NtBu)(MeCp)(iPr,H,tBu-Pyz)2、M(=NtBu)(MeCp)(iPr,H,Me-Pyz)2、M(=NtBu)(MeCp)(iPr,H,Et-Pyz)2、M(=NtBu)(MeCp)(TMS,H,TMS-Pyz)2、M(=NtBu)(MeCp)(DMS,H,DMS-Pyz)2、M(=NtBu)(MeCp)(MMS,H,MMS-Pyz)2、M(=N三級戊基)(MeCp)(H,H,H-Pyz)2、M(=N三級戊基)(MeCp)(Me,H,H-Pyz)2、M(=N三級戊基)(MeCp)(Me,H,Me-Pyz)2、M(=N三級戊基)(MeCp)(Me,Me,Me-Pyz)2、M(=N三級戊基)(MeCp)(Et,H,Et-Pyz)2、M(=N三級戊基)(MeCp)(nPr,H,nPr-Pyz)2、M(=N三級戊基)(MeCp)(iPr,H,iPr-Pyz)2、M(=N三級戊基)(MeCp)(tBu,H,tBu-Pyz)2、M(=N三級戊基)(MeCp)(iBu,H,iBu-Pyz)2、M(=N三級戊基)(MeCp)(nBu,H,nBu-Pyz)2、M(=N三級戊基)(MeCp)(sBu,H,sBu-Pyz)2、M(=N三級戊基)(MeCp)(三級戊基,H,三級戊基-Pyz)2、M(=N三級戊基)(MeCp)(iPr,H,tBu-Pyz)2、M(=N三級戊基)(MeCp)(iPr,H,Me-Pyz)2、M(=N三級戊基)(MeCp)(iPr,H,Et-Pyz)2、M(=N三級戊基)(MeCp)(TMS,H,TMS-Pyz)2、M(=N三級戊基)(MeCp)(DMS,H,DMS-Pyz)2、M(=N三級戊基)(MeCp)(MMS,H,MMS-Pyz)2、M(=NMe)(iPrCp)(H,H,H-Pyz)2、M(=NMe)(iPrCp)(Me,H,H-Pyz)2、M(=NMe)(iPrCp)(Me,H,Me-Pyz)2、M(=NMe)(iPrCp)(Me,Me,Me-Pyz)2、 M(=NMe)(iPrCp)(Et,H,Et-Pyz)2、M(=NMe)(iPrCp)(nPr,H,nPr-Pyz)2、M(=NMe)(iPrCp)(iPr,H,iPr-Pyz)2、M(=NMe)(iPrCp)(tBu,H,tBu-Pyz)2、M(=NMe)(iPrCp)(iBu,H,iBu-Pyz)2、M(=NMe)(iPrCp)(nBu,H,nBu-Pyz)2、M(=NMe)(iPrCp)(sBu,H,sBu-Pyz)2、M(=NMe)(iPrCp)(三級戊基,H,三級戊基-Pyz)2、M(=NMe)(iPrCp)(iPr,H,tBu-Pyz)2、M(=NMe)(iPrCp)(iPr,H,Me-Pyz)2、M(=NMe)(iPrCp)(iPr,H,Et-Pyz)2、M(=NMe)(iPrCp)(TMS,H,TMS-Pyz)2、M(=NMe)(iPrCp)(DMS,H,DMS-Pyz)2、M(=NMe)(iPrCp)(MMS,H,MMS-Pyz)2,M(=NiPr)(iPrCp)(H,H,H-Pyz)2、M(=NiPr)(iPrCp)(Me,H,H-Pyz)2、M(=NiPr)(iPrCp)(Me,H,Me-Pyz)2、M(=NiPr)(iPrCp)(Me,Me,Me-Pyz)2、M(=NiPr)(iPrCp)(Et,H,Et-Pyz)2、M(=NiPr)(iPrCp)(nPr,H,nPr-Pyz)2、M(=NiPr)(iPrCp)(iPr,H,iPr-Pyz)2、M(=NiPr)(iPrCp)(tBu,H,tBu-Pyz)2、M(=NiPr)(iPrCp)(iBu,H,iBu-Pyz)2、M(=NiPr)(iPrCp)(nBu,H,nBu-Pyz)2、M(=NiPr)(iPrCp)(sBu,H,sBu-Pyz)2、M(=NiPr)(iPrCp)(三級戊基,H,三級戊基-Pyz)2、M(=NiPr)(iPrCp)(iPr,H,tBu-Pyz)2、M(=NiPr)(iPrCp)(iPr,H,Me-Pyz)2、M(=NiPr)(iPrCp)(iPr,H,Et-Pyz)2、M(=NiPr)(iPrCp)(TMS,H,TMS-Pyz)2、M(=NiPr)(iPrCp)(DMS,H,DMS-Pyz)2、M(=NiPr)(iPrCp)(MMS,H,MMS-Pyz)2、M(=NtBu)(iPrCp)(H,H,H-Pyz)2、M(=NtBu)(iPrCp)(Me,H,H-Pyz)2、M(=NtBu)(iPrCp)(Me,H,Me-Pyz)2、M(=NtBu)(iPrCp)(Me,Me,Me-Pyz)2、M(=NtBu)(iPrCp)(Et,H,Et-Pyz)2、M(=NtBu)(iPrCp)(nPr,H,nPr-Pyz)2、M(=NtBu)(iPrCp)(iPr,H,iPr-Pyz)2、M(=NtBu)(iPrCp)(tBu,H,tBu-Pyz)2、M(=NtBu)(iPrCp)(iBu,H,iBu-Pyz)2、M(=NtBu)(iPrCp)(nBu,H,nBu-Pyz)2、M(=NtBu)(iPrCp)(sBu,H,sBu-Pyz)2、M(=NtBu)(iPrCp)(三級戊基,H,三級戊基-Pyz)2、M(=NtBu)(iPrCp)(iPr,H,tBu-Pyz)2、M(=NtBu)(iPrCp)(iPr,H,Me-Pyz)2、 M(=NtBu)(iPrCp)(iPr,H,Et-Pyz)2、M(=NtBu)(iPrCp)(TMS,H,TMS-Pyz)2、M(=NtBu)(iPrCp)(DMS,H,DMS-Pyz)2、M(=NtBu)(iPrCp)(MMS,H,MMS-Pyz)2、M(=N三級戊基)(iPrCp)(H,H,H-Pyz)2、M(=N三級戊基)(iPrCp)(Me,H,H-Pyz)2、M(=N三級戊基)(iPrCp)(Me,H,Me-Pyz)2、M(=N三級戊基)(iPrCp)(Me,Me,Me-Pyz)2、M(=N三級戊基)(iPrCp)(Et,H,Et-Pyz)2、M(=N三級戊基)(iPrCp)(nPr,H,nPr-Pyz)2、M(=N三級戊基)(iPrCp)(iPr,H,iPr-Pyz)2、M(=N三級戊基)(iPrCp)(tBu,H,tBu-Pyz)2、M(=N三級戊基)(iPrCp)(iBu,H,iBu-Pyz)2、M(=N三級戊基)(iPrCp)(nBu,H,nBu-Pyz)2、M(=N三級戊基)(iPrCp)(sBu,H,sBu-Pyz)2、M(=N三級戊基)(iPrCp)(三級戊基,H,三級戊基-Pyz)2、M(=N三級戊基)(iPrCp)(iPr,H,tBu-Pyz)2、M(=N三級戊基)(iPrCp)(iPr,H,Me-Pyz)2、M(=N三級戊基)(iPrCp)(iPr,H,Et-Pyz)2、M(=N三級戊基)(iPrCp)(TMS,H,TMS-Pyz)2、M(=N三級戊基)(iPrCp)(DMS,H,DMS-Pyz)2、M(=N三級戊基)(iPrCp)(MMS,H,MMS-Pyz)2、M(=NMe)(tBuCp)(H,H,H-Pyz)2、M(=NMe)(tBuCp)(Me,H,H-Pyz)2、M(=NMe)(tBuCp)(Me,H,Me-Pyz)2、M(=NMe)(tBuCp)(Me,Me,Me-Pyz)2、M(=NMe)(tBuCp)(Et,H,Et-Pyz)2、M(=NMe)(tBuCp)(nPr,H,nPr-Pyz)2、M(=NMe)(tBuCp)(iPr,H,iPr-Pyz)2、M(=NMe)(tBuCp)(tBu,H,tBu-Pyz)2、M(=NMe)(tBuCp)(iBu,H,iBu-Pyz)2、M(=NMe)(tBuCp)(nBu,H,nBu-Pyz)2、M(=NMe)(tBuCp)(sBu,H,sBu-Pyz)2、M(=NMe)(tBuCp)(三級戊基,H,三級戊基-Pyz)2、M(=NMe)(tBuCp)(iPr,H,tBu-Pyz)2、M(=NMe)(tBuCp)(iPr,H,Me-Pyz)2、M(=NMe)(tBuCp)(iPr,H,Et-Pyz)2、M(=NMe)(tBuCp)(TMS,H,TMS-Pyz)2、M(=NMe)(tBuCp)(DMS,H,DMS-Pyz)2、M(=NMe)(tBuCp)(MMS,H,MMS-Pyz)2,M(=NiPr)(tBuCp)(H,H,H-Pyz)2、M(=NiPr)(tBuCp)(Me,H,H-Pyz)2、M(=NiPr)(tBuCp)(Me,H,Me-Pyz)2、M(=NiPr)(tBuCp)(Me,Me,Me-Pyz)2、M(=NiPr)(tBuCp)(Et,H,Et-Pyz)2、M(=NiPr)(tBuCp)(nPr,H,nPr-Pyz)2、 M(=NiPr)(tBuCp)(iPr,H,iPr-Pyz)2、M(=NiPr)(tBuCp)(tBu,H,tBu-Pyz)2、M(=NiPr)(tBuCp)(iBu,H,iBu-Pyz)2、M(=NiPr)(tBuCp)(nBu,H,nBu-Pyz)2、M(=NiPr)(tBuCp)(sBu,H,sBu-Pyz)2、M(=NiPr)(tBuCp)(三級戊基,H,三級戊基-Pyz)2、M(=NiPr)(tBuCp)(iPr,H,tBu-Pyz)2、M(=NiPr)(tBuCp)(iPr,H,Me-Pyz)2、M(=NiPr)(tBuCp)(iPr,H,Et-Pyz)2、M(=NiPr)(tBuCp)(TMS,H,TMS-Pyz)2、M(=NiPr)(tBuCp)(DMS,H,DMS-Pyz)2、M(=NiPr)(tBuCp)(MMS,H,MMS-Pyz)2,M(=NtBu)(tBuCp)(H,H,H-Pyz)2、M(=NtBu)(tBuCp)(Me,H,H-Pyz)2、M(=NtBu)(tBuCp)(Me,H,Me-Pyz)2、M(=NtBu)(tBuCp)(Me,Me,Me-Pyz)2、M(=NtBu)(tBuCp)(Et,H,Et-Pyz)2、M(=NtBu)(tBuCp)(nPr,H,nPr-Pyz)2、M(=NtBu)(tBuCp)(iPr,H,iPr-Pyz)2、M(=NtBu)(tBuCp)(tBu,H,tBu-Pyz)2、M(=NtBu)(tBuCp)(iBu,H,iBu-Pyz)2、M(=NtBu)(tBuCp)(nBu,H,nBu-Pyz)2、M(=NtBu)(tBuCp)(sBu,H,sBu-Pyz)2、M(=NtBu)(tBuCp)(三級戊基,H,三級戊基-Pyz)2、M(=NtBu)(tBuCp)(iPr,H,tBu-Pyz)2、M(=NtBu)(tBuCp)(iPr,H,Me-Pyz)2、M(=NtBu)(tBuCp)(iPr,H,Et-Pyz)2、M(=NtBu)(tBuCp)(TMS,H,TMS-Pyz)2、M(=NtBu)(tBuCp)(DMS,H,DMS-Pyz)2、M(=NtBu)(tBuCp)(MMS,H,MMS-Pyz)2,M(=N三級戊基)(tBuCp)(H,H,H-Pyz)2、M(=N三級戊基)(tBuCp)(Me,H,H-Pyz)2、M(=N三級戊基)(tBuCp)(Me,H,Me-Pyz)2、M(=N三級戊基)(tBuCp)(Me,Me,Me-Pyz)2、M(=N三級戊基)(tBuCp)(Et,H,Et-Pyz)2、M(=N三級戊基)(tBuCp)(nPr,H,nPr-Pyz)2、M(=N三級戊基)(tBuCp)(iPr,H,iPr-Pyz)2、M(=N三級戊基)(tBuCp)(tBu,H,tBu-Pyz)2、M(=N三級戊基)(tBuCp)(iBu,H,iBu-Pyz)2、M(=N三級戊基)(tBuCp)(nBu,H,nBu-Pyz)2、M(=N三級戊基)(tBuCp)(sBu,H,sBu-Pyz)2、M(=N三級戊基)(tBuCp)(三級戊基,H,三級戊基-Pyz)2、M(=N三級戊基)(tBuCp)(iPr,H,tBu-Pyz)2、M(=N三級戊基)(tBuCp)(iPr,H,Me-Pyz)2、M(=N三級戊基)(tBuCp)(iPr,H,Et-Pyz)2、M(=N三級戊基)(tBuCp)(TMS,H,TMS-Pyz)2、 M(=N三級戊基)(tBuCp)(DMS,H,DMS-Pyz)2、M(=N三級戊基)(tBuCp)(MMS,H,MMS-Pyz)2,M(=NMe)(三級戊基Cp)(H,H,H-Pyz)2、M(=NMe)(三級戊基Cp)(Me,H,H-Pyz)2、M(=NMe)(三級戊基Cp)(Me,H,Me-Pyz)2、M(=NMe)(三級戊基Cp)(Me,Me,Me-Pyz)2、M(=NMe)(三級戊基Cp)(Et,H,Et-Pyz)2、M(=NMe)(三級戊基Cp)(nPr,H,nPr-Pyz)2、M(=NMe)(三級戊基Cp)(iPr,H,iPr-Pyz)2、M(=NMe)(三級戊基Cp)(tBu,H,tBu-Pyz)2、M(=NMe)(三級戊基Cp)(iBu,H,iBu-Pyz)2、M(=NMe)(三級戊基Cp)(nBu,H,nBu-Pyz)2、M(=NMe)(三級戊基Cp)(sBu,H,sBu-Pyz)2、M(=NMe)(三級戊基Cp)(三級戊基,H,三級戊基-Pyz)2、M(=NMe)(三級戊基Cp)(iPr,H,tBu-Pyz)2、M(=NMe)(三級戊基Cp)(iPr,H,Me-Pyz)2、M(=NMe)(三級戊基Cp)(iPr,H,Et-Pyz)2、M(=NMe)(三級戊基Cp)(TMS,H,TMS-Pyz)2、M(=NMe)(三級戊基Cp)(DMS,H,DMS-Pyz)2、M(=NMe)(三級戊基Cp)(MMS,H,MMS-Pyz)2,M(=NiPr)(三級戊基Cp)(H,H,H-Pyz)2、M(=NiPr)(三級戊基Cp)(Me,H,H-Pyz)2、M(=NiPr)(三級戊基Cp)(Me,H,Me-Pyz)2、M(=NiPr)(三級戊基Cp)(Me,Me,Me-Pyz)2、M(=NiPr)(三級戊基Cp)(Et,H,Et-Pyz)2、M(=NiPr)(三級戊基Cp)(nPr,H,nPr-Pyz)2、M(=NiPr)(三級戊基Cp)(iPr,H,iPr-Pyz)2、M(=NiPr)(三級戊基Cp)(tBu,H,tBu-Pyz)2、M(=NiPr)(三級戊基Cp)(iBu,H,iBu-Pyz)2、M(=NiPr)(三級戊基Cp)(nBu,H,nBu-Pyz)2、M(=NiPr)(三級戊基Cp)(sBu,H,sBu-Pyz)2、M(=NiPr)(三級戊基Cp)(三級戊基,H,三級戊基-Pyz)2、M(=NiPr)(三級戊基Cp)(iPr,H,tBu-Pyz)2、M(=NiPr)(三級戊基Cp)(iPr,H,Me-Pyz)2、M(=NiPr)(三級戊基Cp)(iPr,H,Et-Pyz)2、M(=NiPr)(三級戊基Cp)(TMS,H,TMS-Pyz)2、M(=NiPr)(三級戊基Cp)(DMS,H,DMS-Pyz)2、M(=NiPr)(三級戊基Cp)(MMS,H,MMS-Pyz)2,M(=NtBu)(三級戊基Cp)(H,H,H-Pyz)2、M(=NtBu)(三級戊基Cp)(Me,H,H-Pyz)2、M(=NtBu)(三級戊基Cp)(Me,H,Me-Pyz)2、M(=NtBu)(三級戊基 Cp)(Me,Me,Me-Pyz)2、M(=NtBu)(三級戊基Cp)(Et,H,Et-Pyz)2、M(=NtBu)(三級戊基Cp)(nPr,H,nPr-Pyz)2、M(=NtBu)(三級戊基Cp)(iPr,H,iPr-Pyz)2、M(=NtBu)(三級戊基Cp)(tBu,H,tBu-Pyz)2、M(=NtBu)(三級戊基Cp)(iBu,H,iBu-Pyz)2、M(=NtBu)(三級戊基Cp)(nBu,H,nBu-Pyz)2、M(=NtBu)(三級戊基Cp)(sBu,H,sBu-Pyz)2、M(=NtBu)(三級戊基Cp)(三級戊基,H,三級戊基-Pyz)2、M(=NtBu)(三級戊基Cp)(iPr,H,tBu-Pyz)2、M(=NtBu)(三級戊基Cp)(iPr,H,Me-Pyz)2、M(=NtBu)(三級戊基Cp)(iPr,H,Et-Pyz)2、M(=NtBu)(三級戊基Cp)(TMS,H,TMS-Pyz)2、M(=NtBu)(三級戊基Cp)(DMS,H,DMS-Pyz)2,、M(=NtBu)(三級戊基Cp)(MMS,H,MMS-Pyz)2、M(=N三級戊基)(三級戊基Cp)(H,H,H-Pyz)2、M(=N三級戊基)(三級戊基Cp)(Me,H,H-Pyz)2、M(=N三級戊基)(三級戊基Cp)(Me,H,Me-Pyz)2、M(=N三級戊基)(三級戊基Cp)(Me,Me,Me-Pyz)2、M(=N三級戊基)(三級戊基Cp)(Et,H,Et-Pyz)2、M(=N三級戊基)(三級戊基Cp)(nPr,H,nPr-Pyz)2、M(=N三級戊基)(三級戊基Cp)(iPr,H,iPr-Pyz)2、M(=N三級戊基)(三級戊基Cp)(tBu,H,tBu-Pyz)2、M(=N三級戊基)(三級戊基Cp)(iBu,H,iBu-Pyz)2、M(=N三級戊基)(三級戊基Cp)(nBu,H,nBu-Pyz)2、M(=N三級戊基)(三級戊基Cp)(sBu,H,sBu-Pyz)2、M(=N三級戊基)(三級戊基Cp)(三級戊基,H,三級戊基-Pyz)2、M(=N三級戊基)(三級戊基Cp)(iPr,H,tBu-Pyz)2、M(=N三級戊基)(三級戊基Cp)(iPr,H,Me-Pyz)2、M(=N三級戊基)(三級戊基Cp)(iPr,H,Et-Pyz)2、M(=N三級戊基)(三級戊基Cp)(TMS,H,TMS-Pyz)2、M(=N三級戊基)(三級戊基Cp)(DMS,H,DMS-Pyz)2和M(=N三級戊基)(三級戊基Cp)(MMS,H,MMS-Pyz)2,其中M係V、Nb或Ta。
更較佳的是,揭露的先質係Nb(=NtBu)(MeCp)(Me,H,Me-pyz)2、或Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2。可以藉由使Nb(=NtBu)(MeCp)(NEt2)2與2當量的(R,H,R-pyz)H(R=Me,iPr)在甲苯中反應來合成該等先質。
揭露的先質具有以下優點。揭露的先質具有高熱穩定性,使得預期高沈積溫度和寬ALD窗口。此外,揭露的先質係液體先質,並且因此更易於氣化和蒸氣遞送。
揭露的形成含第V(五)族元素族膜的組成物的純度大於95% w/w(即,95,0% w/w至100,0% w/w)、較佳的是大於98% w/w(即,98,0% w/w至100,0% w/w)、並且更較佳的是大於99% w/w(即,99,0% w/w至100,0% w/w)。熟悉該項技術者將認識到純度可藉由H NMR和氣液層析法連同質譜分析法確定。揭露的形成含第V(五)族元素族膜的組成物可含有下列雜質中任何一種:吡唑;吡啶;烷基胺;烷基亞胺;THF;醚;戊烷;環己烷;庚烷;苯;甲苯;氯化的金屬化合物;吡唑基鋰、吡唑基鈉、吡唑基鉀。該等雜質的總量較佳的是低於5% w/w(即,0,0% w/w至5,0% w/w)、較佳的是低於2% w/w(即,0,0% w/w至2,0% w/w)、並且更較佳的是低於1% w/w(即,0,0% w/w至1,0% w/w)。該組成物可藉由重結晶、昇華、蒸餾和/或使氣體液體藉由合適的吸附劑(如4Å分子篩)純化。
揭露的形成含第V(五)族元素族膜的組成物的純化還可能導致在0ppbw至1ppmw、較佳的是0-500ppbw(十億分率重量)水平下的金屬雜質。該等金屬雜質可以包括但不限於鋁(Al)、砷(As)、鋇(Ba)、鈹(Be)、鉍(Bi)、鎘(Cd)、鈣(Ca)、鉻(Cr)、鈷(Co)、銅(Cu)、鎵(Ga)、鍺(Ge)、鉿(Hf)、鋯(Zr)、銦(In)、鐵(Fe)、鉛(Pb)、鋰(Li)、鎂(Mg)、錳(Mn)、鎢(W)、鎳(Ni)、鉀(K)、鈉(Na)、鍶(Sr)、釷(Th)、錫(Sn)、鈦(Ti)、鈾(U)、及鋅(Zn)。
還揭露了用於使用氣相沈積製程在基底上形成含第V(五)族層之方法。申請人相信、並且在以下沈積實例中展示了揭露的形成含第V(五)族元素族膜的組成物適於原子層沈積(ALD)。更具體地,揭露的形成含第V(五)族元素族膜的組成物能夠表面飽和,每循環自限制生長,並且在範圍從大約2:1至大約200:1、並且較佳的是從大約20:1至大約100:1的縱橫比上完美階梯覆蓋。此外,揭露的形成含第V(五)族元素族膜的組成物具有高的分解溫度,表明良好的熱穩定性以便能夠進行ALD。高的分解溫度允許在較高溫度下的ALD,使得膜具有較高的純度。
揭露之方法可用於製造半導體、光伏、LCD-TFT、平板型裝置。揭露的形成含第V(五)族元素族膜的組成物可用於使用熟悉該項技術者已知的任何沈積方法沈積含第V(五)族元素族膜。合適的氣相沈積方法的實例包括化學氣相沈積(CVD)、原子層沈積(ALD)。示例性CVD方法包括熱CVD、電漿增強的CVD(PECVD)、脈衝CVD(PCVD)、低壓CVD(LPCVD)、低於大氣壓的CVD(SACVD)、大氣壓CVD(APCVD)、熱絲CVD(HWCVD,還被稱為cat-CVD,其中熱絲充當用於沈積製程的能源),自由基結合的CVD、以及其組合。示例性ALD方法包括熱ALD、電漿增強的ALD(PEALD)、空間ALD、熱絲ALD(HWALD)、自由基結合的ALD、及其組合,還可以使用超臨界流體沈積。沈積方法較佳的是ALD、PE-ALD、空間ALD,以便提供合適的階梯覆蓋和膜厚度控制。
揭露的形成含第V(五)族元素族膜的組成物可以以純淨形式或以與合適的溶劑如乙苯、二甲苯、均三甲苯、萘烷、癸烷、十二烷的共混物形式供應。所揭露的先質可以以不同的濃度存在於溶劑中。
藉由常規器件如管道系統和/或流量計將純的或共混的形成含第V(五)族元素族膜的組成物以蒸氣形式引入反應器內。蒸氣形式可以藉由經由 常規氣化步驟(如直接氣化,蒸餾)氣化純淨的或共混的組成物,藉由鼓泡、或藉由使用昇華器(如授予Xu等人的PCT公開WO 2009/087609中揭露的昇華器)來產生。純淨的或共混的組成物可以以液態進料到氣化器中,在氣化器中將其氣化,然後將其引入到反應器中。可替代地,可藉由將載氣傳送至含有組成物的容器內,藉由將載氣鼓泡進組成物內使純淨的或共混的組成物氣化。該載氣可包括但不限於Ar、He、N2及其混合物。用載氣鼓泡還可去除純淨的或共混的組成物中存在的任何溶解氧。載氣和組成物然後作為蒸氣被引入到反應器中。
如果必要,含有揭露的形成含第V(五)族元素族膜的組成物的容器可以被加熱到允許組成物處於其液相並具有足夠的蒸氣壓的溫度。可以將容器維持在例如大約0℃至大約200℃的範圍內的溫度下。熟悉該項技術者認識到,可以以已知方式調整容器的溫度,以控制所氣化的先質的量。
反應器可以是其中沈積方法發生的裝置內的任何附件腔室,如但不限於:平行板型反應器、冷壁型反應器、熱壁型反應器、單晶圓反應器、多晶圓反應器、在適於引起化合物反應並形成層的條件下的其他類型的沈積系統。熟悉該項技術者將認識到,任何該等反應器均可以用於ALD或CVD沈積製程。
反應器含有一個或多個其上將沈積膜的基底。基底一般定義為在其上進行製程的材料。基底可以是在半導體、光伏、平板、LCD-TFT裝置製造中使用的任何適合的基底。合適的基底的實例包括晶圓,如矽、二氧化矽、玻璃、GaAs晶圓。晶圓可以具有從先前的製造步驟沈積在其上的一層或多層不同材料。例如,晶圓可以包括介電層。此外,晶圓可包括矽層(結晶、非晶形、多孔等)、氧化矽層、氮化矽層、氮氧化矽層、碳摻雜的氧化矽(SiCOH)層、金屬、金屬氧化物、金屬氮化物層(Ti、Ru、Ta等)、其組合。此外,晶圓可包括銅層、貴金屬層(例如鉑、鈀、銠、金)。晶圓可以包括阻擋層,如錳、氧化錳等。也可以使用塑膠層,如聚(3,4-伸乙基二氧基噻吩)聚(苯乙烯磺酸酯)[PEDOT:PSS]。 該等層可以是平面的或圖案化的。揭露的製程可以將含第V(五)族元素層直接沈積在晶圓上,或直接沈積在晶圓的頂部上的一個或多個層上(當圖案化之層形成基底時)。此外,熟悉該項技術者將認識到,本文所用的術語「膜」、「層」係指放置、鋪展在表面上的一定厚度的一些材料並且該表面可為溝槽或線。在整個說明書和申請專利範圍中,晶圓及其上的任何相關層被稱為基底。例如,可以將氧化鈮膜沈積在金屬氧化物層(如ZrO2層、HfO2層、MoO2層)上。在後續加工中,可以將另一種金屬氧化物層沈積在氧化鈮層上以形成疊層;例如,ZrO2/Nb2O5/ZrO2疊層介電堆疊物典型地為DRAM高-k堆疊物。可以將導電的金屬氮化物層(如氮化鈮層或氮化鈦層)在最後的金屬氧化物層上之前沈積,以分別形成底部和頂部電極。所得NbN/ZrO2O5/ZrO2/NbN堆疊物可以用在DRAM電容器中。其他的導電的膜,如RuO、Ru、Pt、Ir、WN、WNC,也可以用作底部、頂部電極(單獨地,除了NbN或TaN層之外)。
基底還可以是粉末,如用於可再充電電池技術中的粉末。非限制數量的粉末材料包括NMC(鋰鎳錳鈷氧化物)、LCO(鋰鈷氧化物)、LFP(磷酸鐵鋰)、和其他電池陰極材料。
反應器內的溫度和壓力保持在適用於ALD的條件下。換言之,在將氣化的揭露的組成物引入腔室內之後,該腔室內的條件係使得至少部分的先質沈積到基底上以形成含第V(五)族元素層。例如,如根據沈積參數所需,反應器內的壓力或沈積壓力可保持在約10-3托與約100托之間,更較佳的是在約10-2與100托之間。同樣,反應器中的溫度或沈積溫度可以保持在約100℃與約600℃之間,較佳的是在約150℃與約500℃之間。熟悉該項技術者將認識到,「使至少部分的先質沈積」意指一些或全部先質與基底反應、黏附至基底。
可藉由控制基底固定器的溫度或控制反應器壁的溫度來控制反應器的溫度。用於加熱基底的裝置係本領域中已知的。反應器壁被加熱至足夠溫 度以便以足夠生長速率並且以所需的物理狀態和組成獲得所需的膜。非限制性示例性溫度範圍(可以將反應器壁加熱到該溫度範圍)包括從大約50℃至大約600℃。當利用電漿沈積製程時,沈積溫度範圍可以是從大約150℃至大約500℃。可替代地,當進行熱製程時,沈積溫度範圍可以是從大約100℃至大約600℃。
除揭露的形成含第V(五)族元素族膜的組成物之外,還可將共反應物引入到反應器中。當目標係導電的膜時,該共反應物可以是H2、H2CO、N2H4、NH3、一級胺、二級胺、三級胺、三矽基胺、其自由基、以及其混合物。較佳的是,該共反應物係H2、NH3
可替代地,當目標係介電膜時,該共反應物可以是氧化氣體,如O2、O3、H2O、H2O2、NO、N2O、NO2、含氧自由基(如O-、OH-)、羧酸、甲酸、乙酸、丙酸、以及其混合物之一。較佳的是,氧化氣體選自由以下組成之群組:O3、H2O2、H2O。
可以藉由電漿處理共反應物,以便將反應物分解為其自由基形式,當用電漿處理時,N2也可以用作氮源氣體。例如,可以用範圍從約10W至約1000W、較佳的是從約50W至約500W的功率產生電漿。電漿可產生、存在於反應器本身內。可替代地,電漿通常可以位於遠離反應器的位置,例如,在遠端定位的電漿系統中。熟悉該項技術者將認識到適用於此種電漿處理之方法及設備。
例如,該共反應物可以被引入到直接電漿反應器(反應器在反應腔室中產生電漿)中,以在反應腔室中產生電漿處理過的反應物。示例性的直接電漿反應器包括由垂恩技術公司(Trion Technologies)生產的TitanTM PECVD系統。該共反應物可以在電漿加工之前被引入並保持在反應腔室中。可替代地,電漿加工可以與引入反應物同時發生。原位電漿典型地是在噴淋頭與基底固定器 之間產生的13.56MHz RF電感耦合電漿。根據是否發生正離子碰撞,基底或噴淋頭可以是被供電電極。原位電漿產生器中典型的施加的功率為從大約30W至大約1000W。較佳的是,在所揭露之方法中使用從大約30W至大約600W的功率。更較佳的是,功率範圍從大約100W至大約500W。使用原位電漿的共反應物的解離典型地小於對於相同功率輸入使用遠端電漿源實現的,並且因此在反應物解離中不如遠端電漿系統有效,這可能有利於將含第V(五)族元素族膜沈積在容易被電漿損壞的基底上。
可替代地,可以在反應腔室外產生電漿處理過的共反應物,例如,在通入反應腔室之前,遠端電漿處理共反應物。
在腔室內的ALD條件允許揭露的形成含第V(五)族元素族膜的組成物吸附、化學吸附在基底表面上,以在基底上反應並且形成含第V(五)族元素族膜。在一些實施方式中,申請人認為電漿處理共反應物可以對共反應物提供與揭露的形成含第V(五)族元素族膜的組成物反應所需之能量。
根據所需沈積哪種類型的膜,可以將另外的先質化合物引入到反應器中。該另外的先質可用於對含第V(五)族元素族膜提供另外的元素。該另外的元素可以包括第I族元素(鋰、鈉、鉀)、鑭系元素(鐿、鉺、鏑、釓、鐠、鈰、鑭、釔)、第IV族元素(鋯、鈦、鉿)、主族元素(鍺、矽、鋁)、另外的不同第V族元素、及其混合物。當利用另外的先質化合物時,所得的沈積在基底上的膜含有與另外的元素組合的第V族金屬。當在多於一個ALD超循環序列中使用另外的先質和第V族先質時,獲得奈米疊層膜。例如,當使用另外的含Li先質時,含第V(五)族元素族膜將含有Li,例如鈮酸鋰(LiNbO3)膜。熟悉該項技術者將認識到,可以藉由ALD在任何類型的基底(包括粉末)上形成含有Li的含第V(五)族元素族膜。
可以將形成含第V(五)族元素族膜的組成物和共反應物順序地引入反應器中(原子層沈積)。在引入每種形成含第V(五)族元素族膜的組成物、任何另外的先質、以及共反應物之間,可以用惰性氣體吹掃反應器。另一個實例係連續地引入共反應物並且藉由脈衝引入形成含第V(五)族元素族膜的組成物,同時用電漿順序地活化共反應物,其先質係在腔室溫度和壓力條件(CW PEALD)下形成含第V(五)族元素族膜的組成物和未活化的共反應物不實質性地反應。
揭露的形成含第V(五)族元素族膜的組成物的每次脈衝可持續範圍從約0,01秒至約120秒、可替代地從約1秒至約80秒、可替代地從約5秒至約30秒的一段時間。也可將共反應物脈衝至反應器中,在此類實施方式中,每種共反應物的脈衝可以持續範圍從約0,01秒至約120秒、可替代地從約1秒至約30秒、可替代地從約2秒至約20秒的一段時間。在另一個替代方案中,可以同時地從噴淋頭的不同部分噴射氣化的形成含第V(五)族元素族膜的組成物和共反應物(沒有混合組成物和反應物),保持若干晶圓的基座在該噴淋頭下旋轉(空間ALD)。
根據具體的製程參數,沈積可能進行不同的時間長度。通常,可使沈積繼續所需的一樣長(產生具有必需特性的膜所必需的)。根據具體的沈積製程,典型的膜厚度可以從幾埃到幾百微米、並且典型地從2至100nm變化。沈積製程也可以進行與獲得所需的膜所必需的一樣很多次。
在一種非限制性示例性ALD製程中,將揭露的形成含第V(五)族元素族膜的組成物的蒸氣相引入至反應器內,在該反應器中使其與適合的基底接觸。然後可藉由吹掃和/或排空反應器從反應器中去除過量的組成物。將共反應物(例如O3)引入到反應器中,在該反應器中使其以自限制的方式與吸收的形成含第V(五)族元素族膜的組成物反應。藉由吹掃和/或排空反應器從該 反應器中去除任何過量的共反應物。如果所需的膜係含第V(五)元素氧化物,此兩步製程可以提供所需的膜厚度,可以重複直到獲得具有必需厚度的膜。
可替代地,如果所需的膜含有第V(五)族過渡金屬和第二種元素,例如Li,則可以在以上兩步製程之後將另外的先質化合物的蒸氣引入反應器中。另外的先質化合物將基於沈積的含第V(五)族元素族膜的性質來選擇。在引入到反應器中之後,使該另外的先質化合物與基底接觸。藉由吹掃和/或排空反應器從該反應器中去除任何過量的先質化合物。再次,可以將共反應物引入到反應器中以與先質化合物反應。藉由吹掃和/或排空反應器從該反應器中去除過量共反應物。如果已經實現了所需的膜厚度,則可終止該製程。然而,如果較厚的膜係所需的,則可重複整個四步驟製程。藉由交替提供形成含第V(五)族元素族膜的組成物、另外的先質化合物和共反應物,可以沈積具有所需的組成和厚度的膜。在一種非限制性示例性ALD製程中,將揭露的形成含第V(五)族元素族膜的組成物中的一種(例如(三級丁基亞胺基)(甲基環戊二烯基)雙(異丙基吡唑化物)鈮(V)(Nb(=NtBu)(MeCp)(iPr-pyz)2))的蒸氣相引入反應器中,在該反應器中,該蒸氣相與粉末基底接觸。然後可藉由吹掃和/或排空反應器從反應器中去除過量的組成物。將共反應物(例如,O3)引入到反應器中,在該反應器中使其以自限制的方式與吸收的Nb(=NtBu)(MeCp)(iPr-pyz)2反應以形成Nb氧化物膜。藉由吹掃和/或排空反應器從該反應器中去除任何過量的O3氣體。然後可以使含Li先質暴露於該粉末基底和/或Nb氧化物膜以形成Li和Nb氧化物膜LiNbO3。例如,LiOtBu可以用作Li先質。然後可以在粉末上重複這種使用Nb(=NtBu)(MeCp)(iPr-pyz)2、O3和LiOtBu的上述非限制性示例性ALD製程,直至將所需厚度的LiNbO3膜沈積在粉末上。所得LiNbO3膜可以用於可再充電電池應用。熟悉該項技術者將認識到,適合的鋰先質包括但不限於LiOtBu;LiN(SiR3)2,其中每個R選自H、C1至C4烷基或烯基;Li(DPM)(二三級戊醯甲 烷鋰,也稱為2,2,6,6-四甲基-3,5-庚二酮酸鋰)或任何其他的適合的鋰ALD先質。
當在此示例性ALD製程中的共反應物用電漿處理時,示例性ALD製程變成示例性的PEALD製程。共反應物可以在引入腔室之前或之後用電漿進行處理。
在第二非限制性示例性ALD製程中,將揭露的形成含第V(五)族元素族膜的組成物中的一種(例如(三級丁基亞胺基)(甲基環戊二烯基)雙(異丙基吡唑化物)鈮(V)(Nb(=NtBu)(MeCp)(iPr-pyz)2))的蒸氣相引入反應器中,在該反應器中,該蒸氣相與Si基底接觸。然後可藉由吹掃和/或排空反應器從反應器中去除過量的組成物。將共反應物(例如O3)引入到反應器中,在該反應器中使其以自限制之方式與吸收的形成含第V(五)族元素族膜之組成物反應,以形成含第V(五)族元素氧化物膜。藉由吹掃和/或排空反應器從反應器中去除任何過量的O3氣體。可以重複這兩個步驟,直至含第V(五)族元素氧化物膜獲得所需厚度,典型地大約10埃,ZrO2可以然後沈積在含第V(五)族元素氧化物膜上,例如ZrCp(NMe2)3可以用作Zr先質。然後可以在ZrO2層上重複以上描述的使用Nb(=NtBu)(MeCp)(iPr-pyz)2和O3的第二非限制性示例性ALD製程。所得Nb2O5/ZrO2/Nb2O5堆疊物可以用在DRAM電容器中。
在另一種示例性ALD製程中,可以在一個或幾個ALD超循環(例如含O共反應物/含第V(五)族元素先質/含O共反應物)之間順序地引入另一種先質,以便沈積含第V(五)族元素MO膜、含第V(五)族元素O/MO奈米疊層,M選自第IV族元素、不同的第V(五)族元素、矽、鍺、鋁、或任何鑭 系元素。所選擇的M先質較佳的是在所選擇的形成含第V(五)族元素族膜的組成物展示出的相同溫度窗口內經受ALD生長。
從以上討論的製程產生的含第V(五)族元素族膜可以包含Nb、NbkSil、NbnOm、NboNp、NboNpOq,其中k、l、m、n、o、p、和q可以各自獨立地範圍從1至6。示例性膜包括NbO2、Nb2O5、NbN、和NbON,熟悉該項技術者將認識到,藉由適當選擇形成含第V(五)族元素族膜的組成物和共反應物,可以獲得所需的膜組成。含第V(五)族元素族膜可以為DRAM中的電容器電極,3D快閃記憶體裝置中的柵極金屬,相變記憶體中的加熱元件、電遷移阻擋層,柵極金屬和邏輯裝置中的接觸層提供合適的階梯覆蓋。
獲得所需的膜厚度後,可以使該膜經受進一步的加工,如熱退火、爐退火、快速熱退火、UV、電子束固化、和/或電漿氣體暴露。熟悉該項技術者認識到用於進行該等另外的加工步驟的系統和方法。例如,在惰性氣氛、含O氣氛、其組合下,Nb2O5膜可暴露於範圍從大約200℃至大約1000℃的溫度持續範圍從大約0,1秒至大約7200秒的時間。最較佳的是,在惰性氣氛或含O氣氛下,溫度為400℃持續3600秒。所得到的膜可含有較少的雜質,並且因此可具有改善的密度,導致改善的洩漏電流。退火步驟可以在進行沈積製程的同一反應腔室中進行。可替代地,可以從反應腔室中移除基底,其中在單獨的設備中進行退火/快速退火製程。已經發現任何以上後處理方法、但尤其是熱退火有效地減少該Nb2O5膜的碳和氮污染。這進而傾向於改善膜的電阻率。
在退火之後,藉由任何所揭露的製程沈積的含第V(五)族元素族膜在室溫下可以具有大約50μohm,cm至大約1,000μohm,cm的體電阻率。根據季節,室溫為大約20℃至大約28℃。體電阻率也稱為體積電阻率。熟悉該項技術者將認識到,在室溫下在典型地為大約50nm厚的含第V(五)族元素族膜 上測量體電阻率。由於電子傳輸機制的變化,對於較薄的膜,體電阻率典型地增加。在較高溫度下,體電阻率也增加。
在另一個替代方案中,所揭露的組成物可用作摻雜植入劑。可以將揭露的形成含第V(五)族元素族膜的組成物的一部分沈積在待摻雜的膜的頂部上,該膜例如氧化銦(In2O3)膜、二氧化鉭(TaO2)、二氧化釩(VO2)膜、氧化鈦膜、氧化銅膜或二氧化錫(SnO2)膜。然後,第V(五)族元素,例如鈮,在退火步驟期間擴散到膜中,以形成摻雜第V(五)族元素的膜,例如{(Nb)In2O3、(Nb)VO2、(Nb)TiO、(Nb)CuO、(Nb)SnO2}。參見例如,授予Lavoie等人的US 2008/0241575,其摻雜方法藉由引用以其整體併入本文。
實例
提供以下非限制性實例以進一步說明本發明之實施方式。然而,該等實例不旨在是包括一切的,並且不旨在限制本文所述發明之範圍。
實例1.Nb(=NtBu)(MeCp)(Me,H,Me-pyz)2的合成
在室溫下,將(Me,H,Me-pyz)H(1g,10.40mmol)緩慢添加至Nb(=NtBu)(MeCp)(NEt2)2(2g,5.16mmol)在約60mL甲苯中之溶液中。在室溫下攪拌過夜後,在真空下去除溶劑和揮發物,以獲得非常黏的黃色油狀物,其隨後變成結晶固體。然後將該結晶固體用無水戊烷洗滌並且乾燥,以獲得黃色晶體Nb(=NtBu)(MeCp)(Me,H,Me-pyz)2。產率=1.35g。
合成的Nb(=NtBu)(MeCp)(Me,H,Me-pyz)2的TGA示於圖1中。TGA圖顯示完全蒸發,在溫度大約300℃下具有低(<4.37%)剩餘的殘餘物。另外,在TGA之後,TG盤中沒有剩餘材料。圖2係Nb(=NtBu)(MeCp)(Me,H,Me-pyz)2的蒸氣壓圖。在1托下的蒸氣壓是大約190℃。在圖3中提供了NMR 1H譜。
實例2.Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2的合成
在室溫下,將(iPr,H,iPr-pyz)H(34g,0.22mol)在甲苯中的溶液緩慢添加至Nb(=NtBu)(MeCp)(NEt2)2(43g,0.11mol)在約300mL甲苯中之溶液中。在室溫下攪拌過夜後,在真空下去除溶劑和揮發物,以獲得非常黏的黃色油狀物。然後使其經受真空蒸餾,以獲得黃色油狀物,Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2。產率=34g。
合成的Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2的TGA示於圖4中。TGA圖示出完全蒸發,在大約320℃下具有低(<2.52%)剩餘的殘餘物。另外,在TGA之後,TG盤中沒有剩餘材料。圖5係Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2的蒸氣壓圖。在1托下之蒸氣壓係大約190℃並且在5托下之蒸氣壓係大約238℃。在圖6中提供了NMR 1H譜。
實例3.使用Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2的Nb2O5膜的ALD
在Si基底上進行使用先質Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2和共反應物O3之ALD沈積。將含有Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2的罐保持在95℃下。ALD腔室壓力設置為1托。ALD製程溫度設置在範圍從大約275℃至大約400℃之溫度下。該等結果示於圖7中,該圖係顯示使用Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2的情況下,形成的Nb2O5膜生長速率隨腔室溫度變化的圖。ALD沈積發生在範圍從大約275℃至大約350℃的溫度下,其中不均勻性低。圖8係使用臭氧藉由Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2沈積的Nb2O5之X射線繞射(XRD)。圖9係使用O3藉由Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2按圖案化的結構沈積的Nb2O5膜之SEM的照片。沈積的Nb2O5膜之厚度係大約11.9nm。
雖然本文所述主題可以在說明性實施的背景下描述為處理一種或多種計算應用特徵/操作用於具有使用者互動式元件的計算應用,但是該主題 並不限於該等具體實施方式。相反,本文所述技術可以應用於任何適合類型的使用者互動式元件執行管理方法、系統、平臺、和/或設備。
應當理解,可由熟悉該項技術者在如所附申請專利範圍中所表述的本發明之原則和範圍內做出本文已經描述且說明以便解釋本發明之本質的細節、材料、步驟和零件佈置上的許多另外的改變。因此,本發明不旨在限於上面給出的實例和/或附圖中之特定實施方式。
儘管已示出且描述了本發明之實施方式,但熟悉該項技術者可在不脫離本發明之精神或傳授內容之情況下對其進行修改。在此所述之實施方式只是示例性的且是非限制性的。組成和方法的許多變化和修改係可能的且在本發明之範圍內。因此,保護範圍不限於在此所描述的實施方式,而僅受隨後的申請專利範圍所限定,其範圍應包括該等請求項的主題的所有等效物。

Claims (20)

  1. 一種用於使用氣相沈積製程形成含第V(五)族元素族膜之方法,該方法包括以下步驟:a)使基底暴露於形成含第V(五)族元素族膜的組成物的蒸氣;b)使該基底暴露於共反應物;以及c)重複該步驟a)和b)直至所需厚度的該含第V(五)族元素族膜沈積在該基底上,其中該形成含第V(五)族元素族膜的組成物包含具有下式的先質:
    Figure 109142460-A0305-02-0043-8
    其中M係選自V、Nb、或Ta的第V(五)族元素;R係H、甲基、乙基、正丙基、異丙基、正丁基、二級丁基、異丁基、三級丁基、正戊基、異戊基、新戊基、或三級戊基;R1、R2、R3各自獨立地是H、烷基、或-SiR’3基團,其中每個R’獨立地是H或烷基;並且R11、R12、R13、R14、R15各自獨立地是H、甲基、乙基、正丙基、異丙基、正丁基、二級丁基、異丁基、或三級丁基。
  2. 如請求項1所述之方法,其進一步包括以下步驟:分別在該步驟a)和b)後引入惰性氣體吹掃,以分開每種暴露,其中該惰性氣體吹掃使用選自N2、Ar、Kr、或Xe的惰性氣體。
  3. 如請求項1所述之方法,其進一步包括電漿處理該共反應物的步驟。
  4. 如請求項1所述之方法,其中,該共反應物選自由以下組成之群組:O2、O3、H2O、H2O2、NO、N2O、NO2、前述分子的氧自由基、以及前述分子的混合物。
  5. 如請求項1所述之方法,其中,該共反應物選自由以下組成之群組:H2、H2CO、N2H4、NH3、胺、肼N(SiH3)3、前述分子的自由基、以及前述分子的混合物。
  6. 如請求項1所述之方法,其中,該共反應物係NH3或O3
  7. 如請求項1所述之方法,其中,該先質係Nb(=NtBu)(MeCp)(iPr,H,iPr-Pyz)2
  8. 如請求項1所述之方法,其中,該先質係Nb(=NtBu)(MeCp)(Me,H,Me-Pyz)2
  9. 如請求項1所述之方法,其中,該含第V(五)族元素族膜係Nb2O5膜或NbN膜。
  10. 如請求項1所述之方法,其中,該氣相沈積製程係ALD製程或CVD製程。
  11. 如請求項1所述之方法,其中,該氣相沈積製程係PEALD製程或空間ALD製程。
  12. 如請求項1所述之方法,其中,沈積溫度範圍係從大約100℃至大約600℃。
  13. 一種用於氣相沈積製程的形成含第V(五)族元素族膜的組成物,該組成物包含具有下式的先質:
    Figure 109142460-A0305-02-0045-9
    其中M係選自V、Nb、或Ta的第V(五)族元素;R係H、甲基、乙基、正丙基、異丙基、正丁基、二級丁基、異丁基、三級丁基、正戊基、異戊基、新戊基、或三級戊基;R1、R2、R3各自獨立地是H、烷基、或SiR’3基團,其中每個R’獨立地是H或烷基;並且R11、R12、R13、R14、R15各自獨立地是H、甲基、乙基、正丙基、異丙基、正丁基、二級丁基、異丁基、或三級丁基。
  14. 如請求項13所述之形成含第V(五)族元素族膜的組成物,其中,R、R1、R2、R3各自獨立地是H、甲基、乙基、正丙基、異丙基、三級丁基、二級丁基、異丁基、正丁基、三級戊基、SiMe3、SiMe2H、或SiH2Me。
  15. 如請求項13所述之形成含第V(五)族元素族膜的組成物,其中,該先質係Nb(=NtBu)(MeCp)(iPr,H,iPr-Pyz)2或Nb(=NtBu)(MeCp)(Me,H,Me-Pyz)2
  16. 如請求項13所述之形成含第V(五)族元素族膜的組成物,其中,該形成含第V(五)族元素族膜的組成物包含在大約95% w/w與大約100.0% w/w之間的該先質。
  17. 一種藉由ALD製程在基底上形成LiNbO3膜或塗層之方法,該方法包括以下步驟:a)使該基底暴露於形成含第V(五)族元素族膜的組成物的蒸氣;b)使該基底暴露於氧化劑; c)使該基底暴露於含鋰先質;d)使該基底暴露於該氧化劑;以及e)重複該步驟a)至d),直至使用該ALD製程在該基底上沈積所需厚度的該LiNbO3膜,其中該形成含第V(五)族元素族膜的組成物包含具有下式的先質:
    Figure 109142460-A0305-02-0046-11
    其中M係Nb;R係H、甲基、乙基、正丙基、異丙基、正丁基、二級丁基、異丁基、三級丁基、正戊基、異戊基、新戊基、或三級戊基;R1、R2、R3各自獨立地是H、烷基、或-SiR’3基團,其中每個R’獨立地是H或烷基;並且R11、R12、R13、R14、R15各自獨立地是H、甲基、乙基、正丙基、異丙基、正丁基、二級丁基、異丁基、或三級丁基,其中該含鋰先質選自由以下組成之群組:LiOtBu;LiN(SiR3)2,其中每個R選自H、C1至C4烷基或烯基;以及Li(DPM)(二三級戊醯甲烷鋰,也稱為2,2,6,6-四甲基-3,5-庚二酮酸鋰)。
  18. 如請求項17所述之方法,其進一步包括以下步驟:分別在該步驟a)、b)、c)和d)後引入惰性氣體吹掃,以分開每種暴露。
  19. 如請求項17所述之方法,其中,該基底係粉末。
  20. 如請求項19所述之方法,其中,該粉末包含NMC(鋰鎳錳鈷氧化物)、LCO(鋰鈷氧化物)、LFP(磷酸鐵鋰)、和其他電池陰極材料中的一種或多種。
TW109142460A 2019-12-19 2020-12-02 膜或塗層之方法 TWI756959B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/720,537 2019-12-19
US16/720,537 US20210189145A1 (en) 2019-12-19 2019-12-19 Group v element-containing film forming compositions and vapor deposition of group v element-containing film

Publications (2)

Publication Number Publication Date
TW202129066A TW202129066A (zh) 2021-08-01
TWI756959B true TWI756959B (zh) 2022-03-01

Family

ID=76437911

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109142460A TWI756959B (zh) 2019-12-19 2020-12-02 膜或塗層之方法

Country Status (4)

Country Link
US (1) US20210189145A1 (zh)
KR (1) KR20220116524A (zh)
TW (1) TWI756959B (zh)
WO (1) WO2021127467A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202247469A (zh) * 2021-02-08 2022-12-01 美商應用材料股份有限公司 以偶極膜工程化的mosfet閘極
WO2023200429A1 (en) * 2022-04-12 2023-10-19 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Niobium vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200948818A (en) * 2008-01-24 2009-12-01 Praxair Technology Inc Organometallic compounds, processes and methods of use
CN104884417A (zh) * 2012-12-28 2015-09-02 东曹株式会社 第5族金属氧代-烷氧代络合物、其制造方法以及第5族金属氧化物膜的制作方法
US10023462B2 (en) * 2015-11-30 2018-07-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
US10174423B2 (en) * 2017-06-28 2019-01-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-containing film forming compositions and vapor deposition of Niobium-containing films
CN110088357A (zh) * 2016-12-30 2019-08-02 乔治洛德方法研究和开发液化空气有限公司 锆前体、铪前体、钛前体及使用其沉积含第4族的膜

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6491978B1 (en) * 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
WO2010010538A2 (en) * 2008-07-24 2010-01-28 L'air Liquide-Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Heteroleptic cyclopentadienyl transition metal precursors for deposition of transition metal-containing films

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200948818A (en) * 2008-01-24 2009-12-01 Praxair Technology Inc Organometallic compounds, processes and methods of use
CN104884417A (zh) * 2012-12-28 2015-09-02 东曹株式会社 第5族金属氧代-烷氧代络合物、其制造方法以及第5族金属氧化物膜的制作方法
US10023462B2 (en) * 2015-11-30 2018-07-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
CN110088357A (zh) * 2016-12-30 2019-08-02 乔治洛德方法研究和开发液化空气有限公司 锆前体、铪前体、钛前体及使用其沉积含第4族的膜
US10174423B2 (en) * 2017-06-28 2019-01-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-containing film forming compositions and vapor deposition of Niobium-containing films

Also Published As

Publication number Publication date
KR20220116524A (ko) 2022-08-23
US20210189145A1 (en) 2021-06-24
WO2021127467A1 (en) 2021-06-24
TW202129066A (zh) 2021-08-01

Similar Documents

Publication Publication Date Title
KR101659725B1 (ko) 휘발성 디하이드로피라지닐 및 디하이드로피라진 금속 착화합물
US10174423B2 (en) Niobium-containing film forming compositions and vapor deposition of Niobium-containing films
KR101304760B1 (ko) 증착용 티타늄 함유 전구체
JP6337116B2 (ja) 第5族遷移金属含有膜を蒸着させるための第5族遷移金属含有化合物
US20160002786A1 (en) Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
TWI444497B (zh) 用於沉積含金屬膜的金屬-烯醇化物前驅物
US10023462B2 (en) Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
TWI756959B (zh) 膜或塗層之方法
KR20140116852A (ko) 니켈-함유 필름의 증착을 위한 니켈 알릴 아미디네이트 전구체
TW201335417A (zh) 用於ald沈積金屬矽酸鹽膜之含矽化合物
KR20160124025A (ko) V 족-함유 필름 형성 조성물 및 니오븀-함유 필름의 증착
KR101721294B1 (ko) 증착을 위한 하프늄-함유 또는 지르코늄-함유 전구체
US9790591B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US20220205099A1 (en) Group iv element containing precursors and deposition of group iv element containing films
US20230323530A1 (en) Niobium, vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same
JP7426538B2 (ja) ヘテロアルキルシクロペンタジエニルインジウム含有前駆体及びインジウム含有層の堆積のためのその使用方法
WO2023200429A1 (en) Niobium vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same
TWI518199B (zh) 用於氣相沉積之含鉿或含鋯前驅物