KR20220116524A - V족 원소 함유 막 형성 조성물 및 v족 원소 함유 막의 기상 증착 - Google Patents

V족 원소 함유 막 형성 조성물 및 v족 원소 함유 막의 기상 증착 Download PDF

Info

Publication number
KR20220116524A
KR20220116524A KR1020227024772A KR20227024772A KR20220116524A KR 20220116524 A KR20220116524 A KR 20220116524A KR 1020227024772 A KR1020227024772 A KR 1020227024772A KR 20227024772 A KR20227024772 A KR 20227024772A KR 20220116524 A KR20220116524 A KR 20220116524A
Authority
KR
South Korea
Prior art keywords
pyz
group
ipr
ntbu
mecp
Prior art date
Application number
KR1020227024772A
Other languages
English (en)
Inventor
원태 노
주호 이
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드, 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20220116524A publication Critical patent/KR20220116524A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G33/00Compounds of niobium
    • C01G33/006Compounds containing, besides niobium, two or more other elements, with the exception of oxygen or hydrogen
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4417Methods specially adapted for coating powder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01MPROCESSES OR MEANS, e.g. BATTERIES, FOR THE DIRECT CONVERSION OF CHEMICAL ENERGY INTO ELECTRICAL ENERGY
    • H01M4/00Electrodes
    • H01M4/02Electrodes composed of, or comprising, active material
    • H01M4/36Selection of substances as active materials, active masses, active liquids
    • H01M4/362Composites
    • H01M4/366Composites as layered products
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01MPROCESSES OR MEANS, e.g. BATTERIES, FOR THE DIRECT CONVERSION OF CHEMICAL ENERGY INTO ELECTRICAL ENERGY
    • H01M4/00Electrodes
    • H01M4/02Electrodes composed of, or comprising, active material
    • H01M4/62Selection of inactive substances as ingredients for active masses, e.g. binders, fillers
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/01Particle morphology depicted by an image
    • C01P2004/03Particle morphology depicted by an image obtained by SEM
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/80Particles consisting of a mixture of two or more inorganic phases
    • C01P2004/82Particles consisting of a mixture of two or more inorganic phases two phases having the same anion, e.g. both oxidic phases
    • C01P2004/84Particles consisting of a mixture of two or more inorganic phases two phases having the same anion, e.g. both oxidic phases one phase coated with the other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01MPROCESSES OR MEANS, e.g. BATTERIES, FOR THE DIRECT CONVERSION OF CHEMICAL ENERGY INTO ELECTRICAL ENERGY
    • H01M4/00Electrodes
    • H01M4/02Electrodes composed of, or comprising, active material
    • H01M2004/026Electrodes composed of, or comprising, active material characterised by the polarity
    • H01M2004/028Positive electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01MPROCESSES OR MEANS, e.g. BATTERIES, FOR THE DIRECT CONVERSION OF CHEMICAL ENERGY INTO ELECTRICAL ENERGY
    • H01M4/00Electrodes
    • H01M4/02Electrodes composed of, or comprising, active material
    • H01M4/36Selection of substances as active materials, active masses, active liquids
    • H01M4/48Selection of substances as active materials, active masses, active liquids of inorganic oxides or hydroxides
    • H01M4/50Selection of substances as active materials, active masses, active liquids of inorganic oxides or hydroxides of manganese
    • H01M4/505Selection of substances as active materials, active masses, active liquids of inorganic oxides or hydroxides of manganese of mixed oxides or hydroxides containing manganese for inserting or intercalating light metals, e.g. LiMn2O4 or LiMn2OxFy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01MPROCESSES OR MEANS, e.g. BATTERIES, FOR THE DIRECT CONVERSION OF CHEMICAL ENERGY INTO ELECTRICAL ENERGY
    • H01M4/00Electrodes
    • H01M4/02Electrodes composed of, or comprising, active material
    • H01M4/36Selection of substances as active materials, active masses, active liquids
    • H01M4/48Selection of substances as active materials, active masses, active liquids of inorganic oxides or hydroxides
    • H01M4/52Selection of substances as active materials, active masses, active liquids of inorganic oxides or hydroxides of nickel, cobalt or iron
    • H01M4/525Selection of substances as active materials, active masses, active liquids of inorganic oxides or hydroxides of nickel, cobalt or iron of mixed oxides or hydroxides containing iron, cobalt or nickel for inserting or intercalating light metals, e.g. LiNiO2, LiCoO2 or LiCoOxFy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01MPROCESSES OR MEANS, e.g. BATTERIES, FOR THE DIRECT CONVERSION OF CHEMICAL ENERGY INTO ELECTRICAL ENERGY
    • H01M4/00Electrodes
    • H01M4/02Electrodes composed of, or comprising, active material
    • H01M4/36Selection of substances as active materials, active masses, active liquids
    • H01M4/58Selection of substances as active materials, active masses, active liquids of inorganic compounds other than oxides or hydroxides, e.g. sulfides, selenides, tellurides, halogenides or LiCoFy; of polyanionic structures, e.g. phosphates, silicates or borates
    • H01M4/5825Oxygenated metallic salts or polyanionic structures, e.g. borates, phosphates, silicates, olivines

Abstract

V족 함유 막을 형성하는 방법은, a) V족 함유 막 형성 조성물의 증기에 기판을 노출시키는 단계; b) 기판을 공반응물에 노출시키는 단계; 및 c) 기상 증착 공정을 사용하여 원하는 두께의 V족 함유 막이 기판 상에 증착될 때까지 a) 및 b) 단계를 반복하는 단계를 포함한다.

Description

V족 원소 함유 막 형성 조성물 및 V족 원소 함유 막의 기상 증착
관련 출원에 대한 상호 참조
본 출원은 미국 특허 출원 번호 16/720,537(출원일: 2019년 12월 19일, 전체 내용이 본 명세서에 포함됨)의 35 U.S.C.§119(a) 및 (b) 하의 우선권을 주장한다.
기술 분야
본 발명은 V족(5족) 원소 함유 막 형성 조성물, 이의 합성 방법, 및 이를 사용하여 기상 증착 공정을 통해 기판 상에 V족(5족) 원소 함유 막을 증착하는 방법에 관한 것이다.
금속 산화물 막은 높은 전기 전도성, 낮은 전기 저항률 및 높은 광 투과율의 독특한 조합으로 인해 모든 종류의 전자 및 광학 디바이스에 사용하기에 유망한 후보이다. V족(5족) 원소 함유 산화물 막은 반도체 산업의 다양한 분야에서 광범위하게 활용되고 있다. 전통적으로 이러한 산화물은 절연층을 위한 높은-k 재료로 사용되는 저항성 막으로 적용되었다. 예를 들어, 두 개의 ZrO2 유전체 층 사이의 Nb2O5 박막은 누설 전류를 크게 줄이고 ZrO2의 입방정계/정방정계 상을 안정화시켜 DRAM의 현재 MIM 커패시터에서 더 높은 k 값을 제공하는 데 도움을 줄 것으로 예상된다(Alumina, J. Vac. Sci. Technol A 4 (6), 1986 및 Microelectronic Engineering 86 (2009) 1789-1795).
니오븀 질화물(NbN)과 같은 금속 질화물 막은 다양한 기술 분야에서 광범위하게 활용되었다. 전통적으로 이러한 질화물은 단단하고 장식용 코팅으로 적용되었지만 지난 10년 동안 마이크로전자 디바이스에서 확산 장벽 및 접착/접합 층으로 점점 더 많이 사용되었다(Applied Surface Science 120 (1997) 199-212). 예를 들어 NbCl5는 NbN의 원자층 에피택셜 성장을 위한 니오븀 소스로 조사되었지만 이 공정에는 환원제로서 Zn이 필요했다(Applied Surface Science 82/83 (1994) 468-474). NbN 막은 또한 NbCl5 및 NH3(Thin Solid Films 491 (2005) 235-241)을 사용하여 원자층 증착(ALD)에 의해 증착되었다. 염소 함량은 500℃에서 증착된 막에는 거의 염소가 없었기 때문에 강한 온도 의존성을 나타낸 반면, 증착 온도가 250℃만큼 낮았을 때에는 염소 함량이 8%이었다. NbCl5의 높은 융점은 또한 이 전구체를 기상 증착 공정에서 사용하기 어렵게 만든다.
Gust 등은 피라졸라토 리간드를 함유한 니오븀 및 탄탈륨 이미도 착물의 합성, 구조 및 특성과, CVD에 의한 탄탈륨 질화물 막의 성장을 위한 잠재적 사용을 개시한다(Polyhedron 20 (2001) 805-813).
Elorriaga 등은 아민의 촉매적 구아닐화에서 중간체로서 비대칭 니오븀 구아니디네이트를 개시한다(Dalton Transactions, 2013, Vol. 42, Issue 23 pp. 8223-8230).
Tomson 등은 양이온성 Nb 및 Ta 모노메틸 착물의 합성 및 반응성을 개시한다[(BDI)MeM(NtBu)][X](BDI=2,6-iPr2C6H3-N-C(Me)CH-C(Me)-N(2,6-iPr2C6H3), X=MeB(C6F5)3 또는 B(C6F5)4)(Dalton Transactions 2011 Vol. 40, Issue 30, pp. 7718-7729).
US10,174,423(Lansalot-Matras 등)은 니오븀 피라졸레이트, Nb(=NR)(R`2-Pyz)3 전구체를 사용하여 Nb 함유 막을 형성하는 것을 개시한다.
오존이 있는 상태에서 Ta2O5의 ALD을 위한 피라졸레이트 착물 Ta(=NtBu)(tBu2-Pyz)3이 연구되었다(Journal of American Chemical Society, 2007, 129, 12370 - 12371). 그 결과는 0.31 Å/사이클의 성장률로 300℃ 내지 450℃의 높은 ALD 창으로 이어지는 탁월한 열 안정성을 보여준다. Ta2O5를 분석한 결과 형성된 막에 혼입된 불순물(C, H, N)이 낮은 것을 보여주었다. 이후 니오븀과 탄탈륨 화합물을 함유한 피라졸레이트 M(tBu2-Pyz)2(tBu2-NacNac)가 발표되었지만, 이들 화합물을 사용한 증착은 보고되지 않았다(Organometallics, 2012, 31,5971-5974).
액체 또는 낮은 융점(표준 압력에서 <50℃), 열적으로 매우 안정한 금속 함유 전구체 분자, 특히 제어된 두께 및 조성을 갖는 기상 막 증착에 적합한 V족 함유 전구체를 개발하는 필요성이 존재한다.
V족(5족) 원소 함유 막을 형성하는 방법으로서,
a) V족(5족) 원소 함유 막 형성 조성물의 증기에 기판을 노출시키는 단계;
b) 기판을 공반응물에 노출시키는 단계; 및
c) 기상 증착 공정을 사용하여 기판 상에 원하는 두께의 V족(5족) 원소 함유 막이 증착될 때까지 a) 및 b) 단계를 반복하는 단계
를 포함하고, V족(5족) 원소 함유 막 형성 조성물은 하기 구조식, 즉
Figure pct00001
을 갖는 전구체를 포함하고, M은 V족(5족) 원소, V, Nb, Ta이고; R은 H, Me, Et, nPr, iPr, nBu, sBu, iBu, tBu, n-펜틸, i-펜틸, 네오-펜틸, tert-아밀이고; 각각의 R1, R2, R3은 독립적으로 H, 알킬 기, -SiR'3 기이고, 각각의 R'는 독립적으로 H 또는 알킬기이고; 각각의 R11, R12, R13, R14, R15는 독립적으로 H, Me, Et, nPr, iPr, nBu, sBu, iBu, 또는 tBu인, 방법이 개시된다.
또한, ALD 공정에 의해 기판 상에 Li-V족-O3 막 또는 코팅을 형성하는 방법으로서,
i) V족(5족) 원소 함유 막 형성 조성물의 증기에 기판을 노출시키는 단계;
ii) 기판을 산화제에 노출시키는 단계;
iii) 기판을 리튬 함유 전구체에 노출시키는 단계;
iV) 기판을 산화제에 노출시키는 단계; 및
V) ALD 공정을 사용하여 기판 상에 원하는 두께의 Li-V족 V-O3 막이 증착될 때까지 a) 내지 d)의 단계를 반복하는 단계
를 포함하고, V족(5족) 원소 함유 막 형성 조성물은 하기 구조식, 즉
Figure pct00002
을 갖는 전구체를 포함하고, M은 V족(5족) 원소, V, Nb, Ta이고; R은 H, Me, Et, nPr, iPr, nBu, sBu, iBu, tBu, n-펜틸, i-펜틸, 네오-펜틸 또는 tert-아밀이고; 각각의 R1, R2, R3은 독립적으로 H, 알킬기, -SiR'3 기이고, 각각의 R'는 독립적으로 H 알킬기이고; 각각의 R11, R12, R13, R14, R15는 독립적으로 H, Me, Et, nPr, iPr, nBu, sBu, iBu, 또는 tBu인, 방법이 개시된다.
특정 실시형태에서 리튬 함유 전구체는 LiOtBu, LiN(SiR3)2로 이루어진 군 중에서 선택되고, 여기서 각각의 R은 H, C1 내지 C4 알킬 또는 알케닐 기, 및 Li(DPM)(리튬 디피발로일메탄, 일명 리튬 2,2,6,6-테트라메틸-3,5-헵탄디오네이트) 중에서 선택된다.
개시된 방법은 다음 양태 중 하나 이상을 포함할 수 있다:
Figure pct00003
방법은,
각각의 노출을 분리하기 위해 단계 a) 및 b) 후에 불활성 기체 퍼지를 도입하는 단계를 더 포함한다;
Figure pct00004
방법은 공반응물을 플라즈마 처리하는 단계를 더 포함한다;
Figure pct00005
방법은 각각의 노출을 분리하기 위해 각각 단계 i), ii), iii) 및 iv) 후에 불활성 기체 퍼지를 도입하는 단계를 더 포함한다;
Figure pct00006
불활성 기체 퍼지는 N2, Ar, Kr 또는 Xe 중에서 선택된 불활성 기체를 사용한다;
Figure pct00007
불활성 기체는 N2 또는 Ar이다;
Figure pct00008
기판은 분말이다;
Figure pct00009
분말은 NMC(리튬 니켈 망간 코발트 산화물), LCO(리튬 코발트 산화물), LFP(리튬 철 인산염) 및 기타 배터리 캐소드 재료를 포함한 분말 재료를 함유한다;
Figure pct00010
분말 재료는 임의의 적절한 배터리 캐소드 재료를 포함한다;
Figure pct00011
분말 재료는 NMC(리튬 니켈 망간 코발트 산화물)를 포함한다;
Figure pct00012
분말 재료는 LCO(리튬 코발트 산화물)를 포함한다;
Figure pct00013
분말 재료는 LFP(리튬 철 인산염)를 포함한다;
Figure pct00014
리튬 함유 전구체는 임의의 적합한 리튬 함유 전구체이다;
Figure pct00015
리튬 함유 전구체는 LiOtBu이다;
Figure pct00016
리튬 함유 전구체는 LiN(SiR3)2이고, 여기서 각각의 R은 H, C1 내지 C4 알킬 또는 알케닐 기 중에서 선택된다;
Figure pct00017
리튬 함유 전구체는 Li(DPM)(리튬 디피발로일메탄, 일명 리튬 2,2,6,6-테트라메틸-3,5-헵탄디오네이트)이다;
Figure pct00018
공반응물은 O2, O3, H2O, H2O2, NO, N2O, NO2, 이들의 산소 라디칼, 및 이들의 혼합물로 이루어진 군 중에서 선택된다;
Figure pct00019
공반응물은 H2, H2CO, N2H4, NH3, 아민, 히드라진 N(SiH3)3, 이들의 라디칼, 및 이들의 혼합물로 이루어진 군 중에서 선택된다;
Figure pct00020
공반응물은 O3이다;
Figure pct00021
공반응물은 NH3이다;
Figure pct00022
V족(5족) 원소 함유 막 형성 조성물은 전구체 Nb(=NtBu)(MeCp)(iPr,H,iPr-Pyz)2를 포함한다;
Figure pct00023
V족(5족) 원소 함유 막 형성 조성물은 전구체 Nb(=NtBu)(MeCp)(Me,H,Me-Pyz)2를 포함한다;
Figure pct00024
V족(5족) 원소 함유 막은 Nb2O5이다;
Figure pct00025
V족(5족) 원소 함유 막은 NbN이다;
Figure pct00026
V족(5족) 원소 함유 막은 LiNbO3 막이다;
Figure pct00027
기판은 패턴을 갖는 웨이퍼이다;
Figure pct00028
기판은 대략 2:1 내지 대략 200:1의 종횡비를 갖는 구멍 또는 트렌치를 갖는 웨이퍼이다;
Figure pct00029
기판이 대략 20:1 내지 대략 100:1의 종횡비를 갖는 구멍 또는 트렌치를 갖는 웨이퍼이다;
Figure pct00030
기상 증착 공정은 ALD 공정이다;
Figure pct00031
기상 증착 공정은 CVD 공정이다;
Figure pct00032
기상 증착 공정은 PEALD 공정이다;
Figure pct00033
기상 증착 공정은 공간 ALD 공정이다;
Figure pct00034
증착 온도는 대략 100℃ 내지 대략 600℃ 범위이다;
Figure pct00035
증착 온도는 대략 150℃ 내지 대략 500℃ 범위이다.
또한, 기상 증착 공정용 V족(5족) 원소 함유 막 형성 조성물로서, 하기 구조식, 즉
Figure pct00036
을 갖는 전구체를 포함하고, 여기서 M은 V족(5족) 원소, V, Nb, Ta이고; R은 H, Me, Et, nPr, iPr, nBu, sBu, iBu, tBu, n-펜틸, i-펜틸, 네오-펜틸 또는 tert-아밀이고; 각각의 R1, R2, R3은 독립적으로 H, 알킬기 또는 SiR'3 기이고, 각각의 R'는 독립적으로 H 또는 알킬기이고; 각각의 R11, R12, R13, R14, R15는 독립적으로 H, Me, Et, nPr, iPr, nBu, sBu, iBu, 또는 tBu인, 막 형성 조성물이 개시된다.
개시된 조성물은 하기 양태 중 하나 이상을 포함한다:
Figure pct00037
각각의 R, R1, R2, R3은 독립적으로 H, Me, Et, nPr, iPr, tBu, sBu, iBu, nBu, tAmyl, SiMe3, SiMe2H, 또는 SiH2Me이다;
Figure pct00038
조성물은 대략 95% w/w 내지 대략 100.0% w/w의 전구체를 포함한다;
Figure pct00039
조성물은 대략 0.0% w/w 내지 대략 5.0% w/w 불순물을 포함한다;
Figure pct00040
조성물은 대략 0 ppbw 내지 대략 500 ppbw의 금속 불순물을 포함한다;
Figure pct00041
전구체는 Nb(=NtBu)(MeCp)(iPr,H,iPr-Pyz)2이다;
Figure pct00042
전구체는 Nb(=NtBu)(MeCp)(Me,H,Me-Pyz)2이다;
Figure pct00043
전구체는,
M(=NMe)Cp(H,H,H-Pyz)2, M(=NMe)Cp(Me,H,H-Pyz)2,M(=NMe)Cp(Me,H,Me-Pyz)2,M(=NMe)Cp(Me,Me,Me-Pyz)2,M(=NMe)Cp(Et,H,Et-Pyz)2,M(=NMe)Cp(nPr,H,nPr-Pyz)2,M(=NMe)Cp(iPr,H,iPr-Pyz)2,M(=NMe)Cp(tBu,H,tBu-Pyz)2,M(=NMe)Cp(iBu,H,iBu-Pyz)2,M(=NMe)Cp(nBu,H,nBu-Pyz)2,M(=NMe)Cp(sBu,H,sBu-Pyz)2,M(=NMe)Cp(tAmyl,H,tAmyl-Pyz)2,M(=NMe)Cp(iPr,H,tBu-Pyz)2,M(=NMe)Cp(iPr,H,Me-Pyz)2,M(=NMe)Cp(iPr,H,Et-Pyz)2,M(=NMe)Cp(TMS,H,TMS-Pyz)2,M(=NMe)Cp(DMS,H,DMS-Pyz)2,M(=NMe)Cp(MMS,H,MMS-Pyz)2,M(=NiPr)Cp(H,H,H-Pyz)2,M(=NiPr)Cp(Me,H,H-Pyz)2,M(=NiPr)Cp(Me,H,Me-Pyz)2,M(=NiPr)Cp(Me,Me,Me-Pyz)2,M(=NiPr)Cp(Et,H,Et-Pyz)2,M(=NiPr)Cp(nPr,H,nPr-Pyz)2,M(=NiPr)Cp(iPr,H,iPr-Pyz)2,M(=NiPr)Cp(tBu,H,tBu-Pyz)2,M(=NiPr)Cp(iBu,H,iBu-Pyz)2,M(=NiPr)Cp(nBu,H,nBu-Pyz)2,M(=NiPr)Cp(sBu,H,sBu-Pyz)2,M(=NiPr)Cp(tAmyl,H,tAmyl-Pyz)2,M(=NiPr)Cp(iPr,H,tBu-Pyz)2,M(=NiPr)Cp(iPr,H,Me-Pyz)2,M(=NiPr)Cp(iPr,H,Et-Pyz)2,M(=NiPr)Cp(TMS,H,TMS-Pyz)2,M(=NiPr)Cp(DMS,H,DMS-Pyz)2,
M(=NiPr)Cp(MMS,H,MMS-Pyz)2,M(=NtBu)Cp(H,H,H-Pyz)2,M(=NtBu)Cp(Me,H,H-Pyz)2,M(=NtBu)Cp(Me,H,Me-Pyz)2,M(=NtBu)Cp(Me,Me,Me-Pyz)2,M(=NtBu)Cp(Et,H,Et-Pyz)2,M(=NtBu)Cp(nPr,H,nPr-Pyz)2,M(=NtBu)Cp(iPr,H,iPr-Pyz)2,M(=NtBu)Cp(tBu,H,tBu-Pyz)2,M(=NtBu)Cp(iBu,H,iBu-Pyz)2,M(=NtBu)Cp(nBu,H,nBu-Pyz)2,M(=NtBu)Cp(sBu,H,sBu-Pyz)2,M(=NtBu)Cp(tAmyl,H,tAmyl-Pyz)2,M(=NtBu)Cp(iPr,H,tBu-Pyz)2,M(=NtBu)Cp(iPr,H,Me-Pyz)2,M(=NtBu)Cp(iPr,H,Et-Pyz)2,M(=NtBu)Cp(TMS,H,TMS-Pyz)2,M(=NtBu)Cp(DMS,H,DMS-Pyz)2,M(=NtBu)Cp(MMS,H,MMS-Pyz)2,M(=NtAmyl)Cp(H,H,H-Pyz)2,M(=NtAmyl)Cp(Me,H,H-Pyz)2,M(=NtAmyl)Cp(Me,H,Me-Pyz)2,M(=NtAmyl)Cp(Me,Me,Me-Pyz)2,M(=NtAmyl)Cp(Et,H,Et-Pyz)2,M(=NtAmyl)Cp(nPr,H,nPr-Pyz)2,M(=NtAmyl)Cp(iPr,H,iPr-Pyz)2,M(=NtAmyl)Cp(tBu,H,tBu-Pyz)2,M(=NtAmyl)Cp(iBu,H,iBu-Pyz)2,M(=NtAmyl)Cp(nBu,H,nBu-Pyz)2,M(=NtAmyl)Cp(sBu,H,sBu-Pyz)2,
M(=NtAmyl)Cp(tAmyl,H,tAmyl-Pyz)2,M(=NtAmyl)Cp(iPr,H,tBu-Pyz)2,M(=NtAmyl)Cp(iPr,H,Me-Pyz)2,M(=NtAmyl)Cp(iPr,H,Et-Pyz)2,M(=NtAmyl)Cp(TMS,H,TMS-Pyz)2,M(=NtAmyl)Cp(DMS,H,DMS-Pyz)2,M(=NtAmyl)Cp(MMS,H,MMS-Pyz)2,M(=NMe)(MeCp)(H,H,H-Pyz)2,M(=NMe)(MeCp)(Me,H,H-Pyz)2,M(=NMe)(MeCp)(Me,H,Me-Pyz)2,M(=NMe)(MeCp)(Me,Me,Me-Pyz)2,M(=NMe)(MeCp)(Et,H,Et-Pyz)2,M(=NMe)(MeCp)(nPr,H,nPr-Pyz)2,M(=NMe)(MeCp)(iPr,H,iPr-Pyz)2,M(=NMe)(MeCp)(tBu,H,tBu-Pyz)2,M(=NMe)(MeCp)(iBu,H,iBu-Pyz)2,M(=NMe)(MeCp)(nBu,H,nBu-Pyz)2,M(=NMe)(MeCp)(sBu,H,sBu-Pyz)2,M(=NMe)(MeCp)(tAmyl,H,tAmyl-Pyz)2,M(=NMe)(MeCp)(iPr,H,tBu-Pyz)2,M(=NMe)(MeCp)(iPr,H,Me-Pyz)2,M(=NMe)(MeCp)(iPr,H,Et-Pyz)2,M(=NMe)(MeCp)(TMS,H,TMS-Pyz)2,M(=NMe)(MeCp)(DMS,H,DMS-Pyz)2,M(=NMe)(MeCp)(MMS,H,MMS-Pyz)2,M(=NiPr)(MeCp)(H,H,H-Pyz)2,M(=NiPr)(MeCp)(Me,H,H-Pyz)2,M(=NiPr)(MeCp)(Me,H,Me-Pyz)2,M(=NiPr)(MeCp)(Me,Me,Me-Pyz)2,M(=NiPr)(MeCp)(Et,H,Et-Pyz)2,M(=NiPr)(MeCp)(nPr,H,nPr-Pyz)2,M(=NiPr)(MeCp)(iPr,H,iPr-Pyz)2,M(=NiPr)(MeCp)(tBu,H,tBu-Pyz)2,M(=NiPr)(MeCp)(iBu,H,iBu-Pyz)2,M(=NiPr)(MeCp)(nBu,H,nBu-Pyz)2,M(=NiPr)(MeCp)(sBu,H,sBu-Pyz)2,M(=NiPr)(MeCp)(tAmyl,H,tAmyl-Pyz)2,M(=NiPr)(MeCp)(iPr,H,tBu-Pyz)2,M(=NiPr)(MeCp)(iPr,H,Me-Pyz)2,M(=NiPr)(MeCp)(iPr,H,Et-Pyz)2,
M(=NiPr)(MeCp)(TMS,H,TMS-Pyz)2,M(=NiPr)(MeCp)(DMS,H,DMS-Pyz)2,M(=NiPr)(MeCp)(MMS,H,MMS-Pyz)2,M(=NtBu)(MeCp)(H,H,H-Pyz)2,M(=NtBu)(MeCp)(Me,H,H-Pyz)2,M(=NtBu)(MeCp)(Me,H,Me-Pyz)2,M(=NtBu)(MeCp)(Me,Me,Me-Pyz)2,M(=NtBu)(MeCp)(Et,H,Et-Pyz)2,M(=NtBu)(MeCp)(nPr,H,nPr-Pyz)2,M(=NtBu)(MeCp)(iPr,H,iPr-Pyz)2,M(=NtBu)(MeCp)(tBu,H,tBu-Pyz)2,M(=NtBu)(MeCp)(iBu,H,iBu-Pyz)2,M(=NtBu)(MeCp)(nBu,H,nBu-Pyz)2,M(=NtBu)(MeCp)(sBu,H,sBu-Pyz)2,M(=NtBu)(MeCp)(tAmyl,H,tAmyl-Pyz)2,M(=NtBu)(MeCp)(iPr,H,tBu-Pyz)2,M(=NtBu)(MeCp)(iPr,H,Me-Pyz)2,M(=NtBu)(MeCp)(iPr,H,Et-Pyz)2,M(=NtBu)(MeCp)(TMS,H,TMS-Pyz)2,M(=NtBu)(MeCp)(DMS,H,DMS-Pyz)2,M(=NtBu)(MeCp)(MMS,H,MMS-Pyz)2,M(=NtAmyl)(MeCp)(H,H,H-Pyz)2,M(=NtAmyl)(MeCp)(Me,H,H-Pyz)2,M(=NtAmyl)(MeCp)(Me,H,Me-Pyz)2,M(=NtAmyl)(MeCp)(Me,Me,Me-Pyz)2,M(=NtAmyl)(MeCp)(Et,H,Et-Pyz)2,M(=NtAmyl)(MeCp)(nPr,H,nPr-Pyz)2,M(=NtAmyl)(MeCp)(iPr,H,iPr-Pyz)2,M(=NtAmyl)(MeCp)(tBu,H,tBu-Pyz)2,M(=NtAmyl)(MeCp)(iBu,H,iBu-Pyz)2,
M(=NtAmyl)(MeCp)(nBu,H,nBu-Pyz)2,M(=NtAmyl)(MeCp)(sBu,H,sBu-Pyz)2,M(=NtAmyl)(MeCp)(tAmyl,H,tAmyl-Pyz)2,M(=NtAmyl)(MeCp)(iPr,H,tBu-Pyz)2,M(=NtAmyl)(MeCp)(iPr,H,Me-Pyz)2,M(=NtAmyl)(MeCp)(iPr,H,Et-Pyz)2,M(=NtAmyl)(MeCp)(TMS,H,TMS-Pyz)2,M(=NtAmyl)(MeCp)(DMS,H,DMS-Pyz)2,M(=NtAmyl)(MeCp)(MMS,H,MMS-Pyz)2,M(=NMe)(iPrCp)(H,H,H-Pyz)2,M(=NMe)(iPrCp)(Me,H,H-Pyz)2,M(=NMe)(iPrCp)(Me,H,Me-Pyz)2,M(=NMe)(iPrCp)(Me,Me,Me-Pyz)2,M(=NMe)(iPrCp)(Et,H,Et-Pyz)2,M(=NMe)(iPrCp)(nPr,H,nPr-Pyz)2,M(=NMe)(iPrCp)(iPr,H,iPr-Pyz)2,M(=NMe)(iPrCp)(tBu,H,tBu-Pyz)2,M(=NMe)(iPrCp)(iBu,H,iBu-Pyz)2,M(=NMe)(iPrCp)(nBu,H,nBu-Pyz)2,M(=NMe)(iPrCp)(sBu,H,sBu-Pyz)2,M(=NMe)(iPrCp)(tAmyl,H,tAmyl-Pyz)2,M(=NMe)(iPrCp)(iPr,H,tBu-Pyz)2,M(=NMe)(iPrCp)(iPr,H,Me-Pyz)2,M(=NMe)(iPrCp)(iPr,H,Et-Pyz)2,M(=NMe)(iPrCp)(TMS,H,TMS-Pyz)2,M(=NMe)(iPrCp)(DMS,H,DMS-Pyz)2,M(=NMe)(iPrCp)(MMS,H,MMS-Pyz)2,M(=NiPr)(iPrCp)(H,H,H-Pyz)2,M(=NiPr)(iPrCp)(Me,H,H-Pyz)2,M(=NiPr)(iPrCp)(Me,H,Me-Pyz)2,M(=NiPr)(iPrCp)(Me,Me,Me-Pyz)2,M(=NiPr)(iPrCp)(Et,H,Et-Pyz)2,M(=NiPr)(iPrCp)(nPr,H,nPr-Pyz)2,M(=NiPr)(iPrCp)(iPr,H,iPr-Pyz)2,M(=NiPr)(iPrCp)(tBu,H,tBu-Pyz)2,M(=NiPr)(iPrCp)(iBu,H,iBu-Pyz)2,
M(=NiPr)(iPrCp)(nBu,H,nBu-Pyz)2,M(=NiPr)(iPrCp)(sBu,H,sBu-Pyz)2,M(=NiPr)(iPrCp)(tAmyl,H,tAmyl-Pyz)2,M(=NiPr)(iPrCp)(iPr,H,tBu-Pyz)2,M(=NiPr)(iPrCp)(iPr,H,Me-Pyz)2,M(=NiPr)(iPrCp)(iPr,H,Et-Pyz)2,M(=NiPr)(iPrCp)(TMS,H,TMS-Pyz)2,M(=NiPr)(iPrCp)(DMS,H,DMS-Pyz)2,M(=NiPr)(iPrCp)(MMS,H,MMS-Pyz)2,M(=NtBu)(iPrCp)(H,H,H-Pyz)2,M(=NtBu)(iPrCp)(Me,H,H-Pyz)2,M(=NtBu)(iPrCp)(Me,H,Me-Pyz)2,M(=NtBu)(iPrCp)(Me,Me,Me-Pyz)2,M(=NtBu)(iPrCp)(Et,H,Et-Pyz)2,M(=NtBu)(iPrCp)(nPr,H,nPr-Pyz)2,M(=NtBu)(iPrCp)(iPr,H,iPr-Pyz)2,M(=NtBu)(iPrCp)(tBu,H,tBu-Pyz)2,M(=NtBu)(iPrCp)(iBu,H,iBu-Pyz)2,M(=NtBu)(iPrCp)(nBu,H,nBu-Pyz)2,M(=NtBu)(iPrCp)(sBu,H,sBu-Pyz)2,M(=NtBu)(iPrCp)(tAmyl,H,tAmyl-Pyz)2,M(=NtBu)(iPrCp)(iPr,H,tBu-Pyz)2,M(=NtBu)(iPrCp)(iPr,H,Me-Pyz)2,M(=NtBu)(iPrCp)(iPr,H,Et-Pyz)2,M(=NtBu)(iPrCp)(TMS,H,TMS-Pyz)2,M(=NtBu)(iPrCp)(DMS,H,DMS-Pyz)2,M(=NtBu)(iPrCp)(MMS,H,MMS-Pyz)2,M(=NtAmyl)(iPrCp)(H,H,H-Pyz)2,M(=NtAmyl)(iPrCp)(Me,H,H-Pyz)2,M(=NtAmyl)(iPrCp)(Me,H,Me-Pyz)2,M(=NtAmyl)(iPrCp)(Me,Me,Me-Pyz)2,M(=NtAmyl)(iPrCp)(Et,H,Et-Pyz)2,M(=NtAmyl)(iPrCp)(nPr,H,nPr-Pyz)2,M(=NtAmyl)(iPrCp)(iPr,H,iPr-Pyz)2,
M(=NtAmyl)(iPrCp)(tBu,H,tBu-Pyz)2,M(=NtAmyl)(iPrCp)(iBu,H,iBu-Pyz)2,M(=NtAmyl)(iPrCp)(nBu,H,nBu-Pyz)2,M(=NtAmyl)(iPrCp)(sBu,H,sBu-Pyz)2,M(=NtAmyl)(iPrCp)(tAmyl,H,tAmyl-Pyz)2,M(=NtAmyl)(iPrCp)(iPr,H,tBu-Pyz)2,M(=NtAmyl)(iPrCp)(iPr,H,Me-Pyz)2,M(=NtAmyl)(iPrCp)(iPr,H,Et-Pyz)2,M(=NtAmyl)(iPrCp)(TMS,H,TMS-Pyz)2,M(=NtAmyl)(iPrCp)(DMS,H,DMS-Pyz)2,M(=NtAmyl)(iPrCp)(MMS,H,MMS-Pyz)2,M(=NMe)(tBuCp)(H,H,H-Pyz)2,M(=NMe)(tBuCp)(Me,H,H-Pyz)2,M(=NMe)(tBuCp)(Me,H,Me-Pyz)2,M(=NMe)(tBuCp)(Me,Me,Me-Pyz)2,M(=NMe)(tBuCp)(Et,H,Et-Pyz)2,M(=NMe)(tBuCp)(nPr,H,nPr-Pyz)2,M(=NMe)(tBuCp)(iPr,H,iPr-Pyz)2,M(=NMe)(tBuCp)(tBu,H,tBu-Pyz)2,M(=NMe)(tBuCp)(iBu,H,iBu-Pyz)2,M(=NMe)(tBuCp)(nBu,H,nBu-Pyz)2,M(=NMe)(tBuCp)(sBu,H,sBu-Pyz)2,M(=NMe)(tBuCp)(tAmyl,H,tAmyl-Pyz)2,M(=NMe)(tBuCp)(iPr,H,tBu-Pyz)2,M(=NMe)(tBuCp)(iPr,H,Me-Pyz)2,M(=NMe)(tBuCp)(iPr,H,Et-Pyz)2,M(=NMe)(tBuCp)(TMS,H,TMS-Pyz)2,M(=NMe)(tBuCp)(DMS,H,DMS-Pyz)2,M(=NMe)(tBuCp)(MMS,H,MMS-Pyz)2,M(=NiPr)(tBuCp)(H,H,H-Pyz)2,M(=NiPr)(tBuCp)(Me,H,H-Pyz)2,M(=NiPr)(tBuCp)(Me,H,Me-Pyz)2,M(=NiPr)(tBuCp)(Me,Me,Me-Pyz)2,M(=NiPr)(tBuCp)(Et,H,Et-Pyz)2,M(=NiPr)(tBuCp)(nPr,H,nPr-Pyz)2,M(=NiPr)(tBuCp)(iPr,H,iPr-Pyz)2,
M(=NiPr)(tBuCp)(tBu,H,tBu-Pyz)2,M(=NiPr)(tBuCp)(iBu,H,iBu-Pyz)2,M(=NiPr)(tBuCp)(nBu,H,nBu-Pyz)2,M(=NiPr)(tBuCp)(sBu,H,sBu-Pyz)2,M(=NiPr)(tBuCp)(tAmyl,H,tAmyl-Pyz)2,M(=NiPr)(tBuCp)(iPr,H,tBu-Pyz)2,M(=NiPr)(tBuCp)(iPr,H,Me-Pyz)2,M(=NiPr)(tBuCp)(iPr,H,Et-Pyz)2,M(=NiPr)(tBuCp)(TMS,H,TMS-Pyz)2,M(=NiPr)(tBuCp)(DMS,H,DMS-Pyz)2,M(=NiPr)(tBuCp)(MMS,H,MMS-Pyz)2,M(=NtBu)(tBuCp)(H,H,H-Pyz)2,M(=NtBu)(tBuCp)(Me,H,H-Pyz)2,M(=NtBu)(tBuCp)(Me,H,Me-Pyz)2,M(=NtBu)(tBuCp)(Me,Me,Me-Pyz)2,M(=NtBu)(tBuCp)(Et,H,Et-Pyz)2,M(=NtBu)(tBuCp)(nPr,H,nPr-Pyz)2,M(=NtBu)(tBuCp)(iPr,H,iPr-Pyz)2,M(=NtBu)(tBuCp)(tBu,H,tBu-Pyz)2,M(=NtBu)(tBuCp)(iBu,H,iBu-Pyz)2,M(=NtBu)(tBuCp)(nBu,H,nBu-Pyz)2,M(=NtBu)(tBuCp)(sBu,H,sBu-Pyz)2,M(=NtBu)(tBuCp)(tAmyl,H,tAmyl-Pyz)2,M(=NtBu)(tBuCp)(iPr,H,tBu-Pyz)2,M(=NtBu)(tBuCp)(iPr,H,Me-Pyz)2,M(=NtBu)(tBuCp)(iPr,H,Et-Pyz)2,M(=NtBu)(tBuCp)(TMS,H,TMS-Pyz)2,M(=NtBu)(tBuCp)(DMS,H,DMS-Pyz)2,M(=NtBu)(tBuCp)(MMS,H,MMS-Pyz)2,M(=NtAmyl)(tBuCp)(H,H,H-Pyz)2,M(=NtAmyl)(tBuCp)(Me,H,H-Pyz)2,M(=NtAmyl)(tBuCp)(Me,H,Me-Pyz)2,M(=NtAmyl)(tBuCp)(Me,Me,Me-Pyz)2,M(=NtAmyl)(tBuCp)(Et,H,Et-Pyz)2,
M(=NtAmyl)(tBuCp)(nPr,H,nPr-Pyz)2,M(=NtAmyl)(tBuCp)(iPr,H,iPr-Pyz)2,M(=NtAmyl)(tBuCp)(tBu,H,tBu-Pyz)2,M(=NtAmyl)(tBuCp)(iBu,H,iBu-Pyz)2,M(=NtAmyl)(tBuCp)(nBu,H,nBu-Pyz)2,M(=NtAmyl)(tBuCp)(sBu,H,sBu-Pyz)2,M(=NtAmyl)(tBuCp)(tAmyl,H,tAmyl-Pyz)2,M(=NtAmyl)(tBuCp)(iPr,H,tBu-Pyz)2,M(=NtAmyl)(tBuCp)(iPr,H,Me-Pyz)2,M(=NtAmyl)(tBuCp)(iPr,H,Et-Pyz)2,M(=NtAmyl)(tBuCp)(TMS,H,TMS-Pyz)2,M(=NtAmyl)(tBuCp)(DMS,H,DMS-Pyz)2,M(=NtAmyl)(tBuCp)(MMS,H,MMS-Pyz)2,M(=NMe)(tAmylCp)(H,H,H-Pyz)2,M(=NMe)(tAmylCp)(Me,H,H-Pyz)2,M(=NMe)(tAmylCp)(Me,H,Me-Pyz)2,M(=NMe)(tAmylCp)(Me,Me,Me-Pyz)2,M(=NMe)(tAmylCp)(Et,H,Et-Pyz)2,M(=NMe)(tAmylCp)(nPr,H,nPr-Pyz)2,M(=NMe)(tAmylCp)(iPr,H,iPr-Pyz)2,M(=NMe)(tAmylCp)(tBu,H,tBu-Pyz)2,M(=NMe)(tAmylCp)(iBu,H,iBu-Pyz)2,M(=NMe)(tAmylCp)(nBu,H,nBu-Pyz)2,M(=NMe)(tAmylCp)(sBu,H,sBu-Pyz)2,M(=NMe)(tAmylCp)(tAmyl,H,tAmyl-Pyz)2,M(=NMe)(tAmylCp)(iPr,H,tBu-Pyz)2,M(=NMe)(tAmylCp)(iPr,H,Me-Pyz)2,M(=NMe)(tAmylCp)(iPr,H,Et-Pyz)2,M(=NMe)(tAmylCp)(TMS,H,TMS-Pyz)2,M(=NMe)(tAmylCp)(DMS,H,DMS-Pyz)2,M(=NMe)(tAmylCp)(MMS,H,MMS-Pyz)2,M(=NiPr)(tAmylCp)(H,H,H-Pyz)2,M(=NiPr)(tAmylCp)(Me,H,H-Pyz)2,M(=NiPr)(tAmylCp)(Me,H,Me-Pyz)2,M(=NiPr)(tAmylCp)(Me,Me,Me-Pyz)2,M(=NiPr)(tAmylCp)(Et,H,Et-Pyz)2,M(=NiPr)(tAmylCp)(nPr,H,nPr-Pyz)2,M(=NiPr)(tAmylCp)(iPr,H,iPr-Pyz)2,M(=NiPr)(tAmylCp)(tBu,H,tBu-Pyz)2,M(=NiPr)(tAmylCp)(iBu,H,iBu-Pyz)2,
M(=NiPr)(tAmylCp)(nBu,H,nBu-Pyz)2,M(=NiPr)(tAmylCp)(sBu,H,sBu-Pyz)2,M(=NiPr)(tAmylCp)(tAmyl,H,tAmyl-Pyz)2,M(=NiPr)(tAmylCp)(iPr,H,tBu-Pyz)2,M(=NiPr)(tAmylCp)(iPr,H,Me-Pyz)2,M(=NiPr)(tAmylCp)(iPr,H,Et-Pyz)2,M(=NiPr)(tAmylCp)(TMS,H,TMS-Pyz)2,M(=NiPr)(tAmylCp)(DMS,H,DMS-Pyz)2,M(=NiPr)(tAmylCp)(MMS,H,MMS-Pyz)2,M(=NtBu)(tAmylCp)(H,H,H-Pyz)2,M(=NtBu)(tAmylCp)(Me,H,H-Pyz)2,M(=NtBu)(tAmylCp)(Me,H,Me-Pyz)2,M(=NtBu)(tAmylCp)(Me,Me,Me-Pyz)2,M(=NtBu)(tAmylCp)(Et,H,Et-Pyz)2,M(=NtBu)(tAmylCp)(nPr,H,nPr-Pyz)2,M(=NtBu)(tAmylCp)(iPr,H,iPr-Pyz)2,M(=NtBu)(tAmylCp)(tBu,H,tBu-Pyz)2,M(=NtBu)(tAmylCp)(iBu,H,iBu-Pyz)2,M(=NtBu)(tAmylCp)(nBu,H,nBu-Pyz)2,M(=NtBu)(tAmylCp)(sBu,H,sBu-Pyz)2,M(=NtBu)(tAmylCp)(tAmyl,H,tAmyl-Pyz)2,M(=NtBu)(tAmylCp)(iPr,H,tBu-Pyz)2,M(=NtBu)(tAmylCp)(iPr,H,Me-Pyz)2,M(=NtBu)(tAmylCp)(iPr,H,Et-Pyz)2,M(=NtBu)(tAmylCp)(TMS,H,TMS-Pyz)2,M(=NtBu)(tAmylCp)(DMS,H,DMS-Pyz)2,M(=NtBu)(tAmylCp)(MMS,H,MMS-Pyz)2,M(=NtAmyl)(tAmylCp)(H,H,H-Pyz)2,M(=NtAmyl)(tAmylCp)(Me,H,H-Pyz)2,M(=NtAmyl)(tAmylCp)(Me,H,Me-Pyz)2,
M(=NtAmyl)(tAmylCp)(Me,Me,Me-Pyz)2,M(=NtAmyl)(tAmylCp)(Et,H,Et-Pyz)2,M(=NtAmyl)(tAmylCp)(nPr,H,nPr-Pyz)2,M(=NtAmyl)(tAmylCp)(iPr,H,iPr-Pyz)2,M(=NtAmyl)(tAmylCp)(tBu,H,tBu-Pyz)2,M(=NtAmyl)(tAmylCp)(iBu,H,iBu-Pyz)2,M(=NtAmyl)(tAmylCp)(nBu,H,nBu-Pyz)2,M(=NtAmyl)(tAmylCp)(sBu,H,sBu-Pyz)2,M(=NtAmyl)(tAmylCp)(tAmyl,H,tAmyl-Pyz)2,M(=NtAmyl)(tAmylCp)(iPr,H,tBu-Pyz)2,M(=NtAmyl)(tAmylCp)(iPr,H,Me-Pyz)2,M(=NtAmyl)(tAmylCp)(iPr,H,Et-Pyz)2,M(=NtAmyl)(tAmylCp)(TMS,H,TMS-Pyz)2,M(=NtAmyl)(tAmylCp)(DMS,H,DMS-Pyz)2, 및 M(=NtAmyl)(tAmylCp)(MMS,H,MMS-Pyz)2(여기서 M은 Nb, Ta 또는 V임)로 이루어진 군 중에서 선택된다.
표기법 및 명명법
다음의 상세한 설명 및 청구범위는 일반적으로 이 기술 분야에 잘 알려진 다수의 약어, 기호 및 용어를 사용한다.
본 명세서에 사용된 단수형 요소는 하나 이상의 요소를 의미한다.
본 명세서에 설명된 본 상세한 설명 또는 청구범위에 사용된 "약" 또는 "대략"은 언급된 값의 ±10%를 의미한다.
본 명세서에 언급된 임의의 그리고 모든 범위는 "포함하여"라는 용어가 사용되는지 여부와 상관없이 그 종단점을 포함한다(즉, x=1 내지 4 또는 x는 1 내지 4의 범위는 x=1, x=4 및 x= 이들 사이의 임의의 숫자를 포함한다).
원소 주기율표의 원소의 표준 약어가 본 명세서에서 사용된다. 원소는 이러한 약어로 지칭될 수 있는 것으로 이해된다(예를 들어, Nb는 니오븀, N은 질소, C는 탄소 등).
본 명세서에 사용된 R 기를 설명하는 맥락에서 사용될 때 "독립적으로"라는 용어는 대상 R 기가 동일하거나 상이한 아래첨자 또는 위첨자를 갖는 다른 R 기에 대해 독립적으로 선택될 뿐만 아니라 또한 이 동일한 R 기의 임의의 추가 종에 대해 독립적으로 선택됨을 나타내는 것으로 이해되어야 한다. 예를 들어, 화학식 MR1 x(NR2R3)(4-x)(여기서 x는 2 또는 3임)에서, 2개 또는 3개의 R1 기는 서로 또는 R2 또는 R3과 동일할 수 있지만 반드시 동일할 필요는 없다. 또한, 달리 구체적으로 언급되지 않는 한, R 기의 값은 상이한 화학식에서 사용될 때 서로 독립적인 것으로 이해되어야 한다.
본 명세서에 사용된 "알킬기"라는 용어는 탄소 및 수소 원자만을 함유하는 포화 작용기를 나타낸다. 또한, "알킬기"라는 용어는 선형, 분지형 또는 고리형 알킬기를 나타낸다. 선형 알킬기의 예로는 메틸기, 에틸기, 프로필기, 부틸기 등을 포함하지만 이들로 제한되지 않는다. 분지형 알킬기의 예로는 t-부틸을 포함하지만 이로 제한되지는 않는다. 고리형 알킬기의 예로는 시클로프로필기, 시클로펜틸기, 시클로헥실기 등을 포함하지만 이들로 제한되지 않는다.
본 명세서에 사용된 약어 "Me"는 메틸기를 나타내고; 약어 "Et"는 에틸기를 나타내고; 약어 "Pr"은 프로필기를 나타내고; 약어 "nPr"은 "일반" 또는 선형 프로필기를 나타내고; 약어 "iPt"는 이소프로필기를 나타내고; 약어 "Bu"는 부틸기를 나타내고; 약어 "nBu"는 "일반" 또는 선형 부틸기를 나타내고; 약어 "tBu"는 1,1-디메틸에틸이라고도 알려진 tert-부틸기를 나타내며; 약어 "sBu"는 1-메틸프로필이라고도 알려진 sec-부틸기를 나타내며; 약어 "iBu"는 2-메틸프로필이라고도 알려진 이소-부틸기를 나타내고; 약어 "아밀"은 아밀 또는 펜틸기를 나타내고; 약어 "tAmyl"은 1,1-디메틸프로필이라고도 알려진 tert-아밀기를 나타낸다.
본 명세서에 사용된 약어 "IMS"는 트리메틸실릴(Me3Si-)을 나타내고; 약어 "DMS"는 디메틸실릴(Me2HSi-)을 나타내고; 약어 "MMS"는 모노메틸실릴(MeH2Si-)을 나타내고; 약어 "py"는 피리딘을 나타내고; 약어 R1,R2,R3-Pyr는 다음 구조식, 즉
Figure pct00044
를 갖는 피라졸릴 리간드를 나타낸다.
니오븀 산화물 또는 니오븀 질화물과 같은 증착된 막 또는 층은 적절한 화학량론(예를 들어, NbO = Nb2O5)을 언급함이 없이 본 명세서와 청구범위에 걸쳐 나열될 수 있다는 것에 유의해야 한다. 이들 층은 또한 수소, 일반적으로, 0 원자% 내지 15 원자%를 함유할 수 있다. 그러나, 일상적으로 측정되지 않았기 때문에 주어진 임의의 막 조성은, 달리 명시적으로 언급되지 않는 한, H 함량은 무시한다.
본 발명의 다른 양태, 특징 및 이점은 하기의 상세한 설명, 첨부된 청구범위, 및 유사한 참조 번호가 유사하거나 동일한 요소를 나타내는 첨부 도면으로부터 보다 완전히 명백해질 것이다.
도 1은 Nb(=NtBu)(MeCp)(Me,H,Me-pyz)2의 열중량 분석(TGA) 곡선이다.
도 2는 Nb(=NtBu)(MeCp)(Me,H,Me-pyz)2의 증기압(VP) 곡선이다.
도 3은 Nb(=NtBu)(MeCp)(Me,H,Me-pyz)21H NMR이다.
도 4는 Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2의 TGA 곡선이다.
도 5는 Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2의 VP 곡선이다.
도 6은 Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)21H NMR 다이아그램이다.
도 7은 오존이 있는 상태에서 Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2의 ALD 온도 창이다.
도 8은 오존이 있는 상태에서 Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2이 증착된 Nb2O5의 X-선 회절(XRD)이다.
도 9는 오존이 있는 상태에서 Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2가 패터닝된 구조로 증착된 Nb2O5의 주사 전자 현미경(SEM)이다.
V족(5족) 원소 함유 막 형성 조성물로서, 하기 구조식, 즉
Figure pct00045
을 갖는 전구체를 포함하고, 여기서 M은 V족(5족) 원소, 바나듐(V), 니오븀(Nb) 또는 탄탈륨(Ta)이고; R은 H, Me, Et, nPr, iPr, nBu, sBu, tert-Bu, n-펜틸, i-펜틸, 네오-펜틸 또는 tert-아밀이고; 각각의 R1, R2, 및 R3은 독립적으로 H, 알킬기 또는 SiR'3 기이고, 각각의 R'는 독립적으로 H 또는 알킬기이고; 각각의 R11, R12, R13, R14, R15는 독립적으로 H, Me, Et, nPr, iPr, nBu, sBu, iBu, 또는 tBu인, 막 형성 조성물이 개시된다. 바람직하게는, 각각의 R1, R2, R3은 독립적으로 H, Me, Et, nPr, iPr, tBu, sBu, iBu, nBu, tAmyl, SiMe3, SiMe2H, 또는 SiH2Me이다. 상기 구조식에 예시된 바와 같이, 질소 원자는 중심 V족(5족) 원자(M)에 결합되어 4배위 M(V) 중심을 생성할 수 있다. 생성된 기하학은 각각의 3,5-디알킬피라졸레이트 잔기에서 질소-질소 결합의 중심이 한자리 리간드로 간주되는 유사 사면체일 수 있다. 피라졸릴 리간드의 탄소 원자는 sp2 혼성화되어, 단일 음이온성 리간드에 걸쳐 비편재화된 전하를 생성할 수 있으며, 여기서 M은 피라졸레이트 고리의 2개의 질소 원자에 의해 배위되는 것으로 간주될 수 있다.
V족(5족) 원소(M)를 함유하는 예시적인 전구체는,
M(=NMe)Cp(H,H,H-Pyz)2, M(=NMe)Cp(Me,H,H-Pyz)2,M(=NMe)Cp(Me,H,Me-Pyz)2,M(=NMe)Cp(Me,Me,Me-Pyz)2,M(=NMe)Cp(Et,H,Et-Pyz)2,M(=NMe)Cp(nPr,H,nPr-Pyz)2,M(=NMe)Cp(iPr,H,iPr-Pyz)2,M(=NMe)Cp(tBu,H,tBu-Pyz)2,M(=NMe)Cp(iBu,H,iBu-Pyz)2,M(=NMe)Cp(nBu,H,nBu-Pyz)2,M(=NMe)Cp(sBu,H,sBu-Pyz)2,M(=NMe)Cp(tAmyl,H,tAmyl-Pyz)2,M(=NMe)Cp(iPr,H,tBu-Pyz)2,M(=NMe)Cp(iPr,H,Me-Pyz)2,M(=NMe)Cp(iPr,H,Et-Pyz)2,M(=NMe)Cp(TMS,H,TMS-Pyz)2,M(=NMe)Cp(DMS,H,DMS-Pyz)2,M(=NMe)Cp(MMS,H,MMS-Pyz)2,
M(=NiPr)Cp(H,H,H-Pyz)2, M(=NiPr)Cp(Me,H,H-Pyz)2,M(=NiPr)Cp(Me,H,Me-Pyz)2,M(=NiPr)Cp(Me,Me,Me-Pyz)2,M(=NiPr)Cp(Et,H,Et-Pyz)2,M(=NiPr)Cp(nPr,H,nPr-Pyz)2,M(=NiPr)Cp(iPr,H,iPr-Pyz)2,M(=NiPr)Cp(tBu,H,tBu-Pyz)2,M(=NiPr)Cp(iBu,H,iBu-Pyz)2,M(=NiPr)Cp(nBu,H,nBu-Pyz)2,M(=NiPr)Cp(sBu,H,sBu-Pyz)2,M(=NiPr)Cp(tAmyl,H,tAmyl-Pyz)2,M(=NiPr)Cp(iPr,H,tBu-Pyz)2,M(=NiPr)Cp(iPr,H,Me-Pyz)2,M(=NiPr)Cp(iPr,H,Et-Pyz)2,M(=NiPr)Cp(TMS,H,TMS-Pyz)2,M(=NiPr)Cp(DMS,H,DMS-Pyz)2, M(=NiPr)Cp(MMS,H,MMS-Pyz)2,
M(=NtBu)Cp(H,H,H-Pyz)2, M(=NtBu)Cp(Me,H,H-Pyz)2,M(=NtBu)Cp(Me,H,Me-Pyz)2,M(=NtBu)Cp(Me,Me,Me-Pyz)2,M(=NtBu)Cp(Et,H,Et-Pyz)2,M(=NtBu)Cp(nPr,H,nPr-Pyz)2,M(=NtBu)Cp(iPr,H,iPr-Pyz)2,M(=NtBu)Cp(tBu,H,tBu-Pyz)2,M(=NtBu)Cp(iBu,H,iBu-Pyz)2,M(=NtBu)Cp(nBu,H,nBu-Pyz)2,M(=NtBu)Cp(sBu,H,sBu-Pyz)2,M(=NtBu)Cp(tAmyl,H,tAmyl-Pyz)2,M(=NtBu)Cp(iPr,H,tBu-Pyz)2,M(=NtBu)Cp(iPr,H,Me-Pyz)2,M(=NtBu)Cp(iPr,H,Et-Pyz)2,M(=NtBu)Cp(TMS,H,TMS-Pyz)2,M(=NtBu)Cp(DMS,H,DMS-Pyz)2,M(=NtBu)Cp(MMS,H,MMS-Pyz)2,
M(=NtAmyl)Cp(H,H,H-Pyz)2, M(=NtAmyl)Cp(Me,H,H-Pyz)2,M(=NtAmyl)Cp(Me,H,Me-Pyz)2,M(=NtAmyl)Cp(Me,Me,Me-Pyz)2,M(=NtAmyl)Cp(Et,H,Et-Pyz)2,M(=NtAmyl)Cp(nPr,H,nPr-Pyz)2,M(=NtAmyl)Cp(iPr,H,iPr-Pyz)2,M(=NtAmyl)Cp(tBu,H,tBu-Pyz)2,M(=NtAmyl)Cp(iBu,H,iBu-Pyz)2,M(=NtAmyl)Cp(nBu,H,nBu-Pyz)2,M(=NtAmyl)Cp(sBu,H,sBu-Pyz)2,M(=NtAmyl)Cp(tAmyl,H,tAmyl-Pyz)2,M(=NtAmyl)Cp(iPr,H,tBu-Pyz)2,M(=NtAmyl)Cp(iPr,H,Me-Pyz)2,M(=NtAmyl)Cp(iPr,H,Et-Pyz)2,M(=NtAmyl)Cp(TMS,H,TMS-Pyz)2,M(=NtAmyl)Cp(DMS,H,DMS-Pyz)2,M(=NtAmyl)Cp(MMS,H,MMS-Pyz)2,
M(=NMe)(MeCp)(H,H,H-Pyz)2, M(=NMe)(MeCp)(Me,H,H-Pyz)2,M(=NMe)(MeCp)(Me,H,Me-Pyz)2,M(=NMe)(MeCp)(Me,Me,Me-Pyz)2,M(=NMe)(MeCp)(Et,H,Et-Pyz)2,M(=NMe)(MeCp)(nPr,H,nPr-Pyz)2,M(=NMe)(MeCp)(iPr,H,iPr-Pyz)2,M(=NMe)(MeCp)(tBu,H,tBu-Pyz)2,M(=NMe)(MeCp)(iBu,H,iBu-Pyz)2,M(=NMe)(MeCp)(nBu,H,nBu-Pyz)2,M(=NMe)(MeCp)(sBu,H,sBu-Pyz)2,M(=NMe)(MeCp)(tAmyl,H,tAmyl-Pyz)2,M(=NMe)(MeCp)(iPr,H,tBu-Pyz)2,M(=NMe)(MeCp)(iPr,H,Me-Pyz)2,M(=NMe)(MeCp)(iPr,H,Et-Pyz)2,M(=NMe)(MeCp)(TMS,H,TMS-Pyz)2,M(=NMe)(MeCp)(DMS,H,DMS-Pyz)2,M(=NMe)(MeCp)(MMS,H,MMS-Pyz)2,
M(=NiPr)(MeCp)(H,H,H-Pyz)2, M(=NiPr)(MeCp)(Me,H,H-Pyz)2,M(=NiPr)(MeCp)(Me,H,Me-Pyz)2,M(=NiPr)(MeCp)(Me,Me,Me-Pyz)2,M(=NiPr)(MeCp)(Et,H,Et-Pyz)2,M(=NiPr)(MeCp)(nPr,H,nPr-Pyz)2,M(=NiPr)(MeCp)(iPr,H,iPr-Pyz)2,M(=NiPr)(MeCp)(tBu,H,tBu-Pyz)2,M(=NiPr)(MeCp)(iBu,H,iBu-Pyz)2,M(=NiPr)(MeCp)(nBu,H,nBu-Pyz)2,M(=NiPr)(MeCp)(sBu,H,sBu-Pyz)2,M(=NiPr)(MeCp)(tAmyl,H,tAmyl-Pyz)2,M(=NiPr)(MeCp)(iPr,H,tBu-Pyz)2,M(=NiPr)(MeCp)(iPr,H,Me-Pyz)2,M(=NiPr)(MeCp)(iPr,H,Et-Pyz)2,M(=NiPr)(MeCp)(TMS,H,TMS-Pyz)2,M(=NiPr)(MeCp)(DMS,H,DMS-Pyz)2,M(=NiPr)(MeCp)(MMS,H,MMS-Pyz)2,
M(=NtBu)(MeCp)(H,H,H-Pyz)2, M(=NtBu)(MeCp)(Me,H,H-Pyz)2,M(=NtBu)(MeCp)(Me,H,Me-Pyz)2,M(=NtBu)(MeCp)(Me,Me,Me-Pyz)2,M(=NtBu)(MeCp)(Et,H,Et-Pyz)2,M(=NtBu)(MeCp)(nPr,H,nPr-Pyz)2,M(=NtBu)(MeCp)(iPr,H,iPr-Pyz)2,M(=NtBu)(MeCp)(tBu,H,tBu-Pyz)2,M(=NtBu)(MeCp)(iBu,H,iBu-Pyz)2,M(=NtBu)(MeCp)(nBu,H,nBu-Pyz)2,M(=NtBu)(MeCp)(sBu,H,sBu-Pyz)2,M(=NtBu)(MeCp)(tAmyl,H,tAmyl-Pyz)2,M(=NtBu)(MeCp)(iPr,H,tBu-Pyz)2,M(=NtBu)(MeCp)(iPr,H,Me-Pyz)2,M(=NtBu)(MeCp)(iPr,H,Et-Pyz)2,M(=NtBu)(MeCp)(TMS,H,TMS-Pyz)2,M(=NtBu)(MeCp)(DMS,H,DMS-Pyz)2,M(=NtBu)(MeCp)(MMS,H,MMS-Pyz)2,
M(=NtAmyl)(MeCp)(H,H,H-Pyz)2, M(=NtAmyl)(MeCp)(Me,H,H-Pyz)2,M(=NtAmyl)(MeCp)(Me,H,Me-Pyz)2,M(=NtAmyl)(MeCp)(Me,Me,Me-Pyz)2,M(=NtAmyl)(MeCp)(Et,H,Et-Pyz)2,M(=NtAmyl)(MeCp)(nPr,H,nPr-Pyz)2,M(=NtAmyl)(MeCp)(iPr,H,iPr-Pyz)2,M(=NtAmyl)(MeCp)(tBu,H,tBu-Pyz)2,M(=NtAmyl)(MeCp)(iBu,H,iBu-Pyz)2,M(=NtAmyl)(MeCp)(nBu,H,nBu-Pyz)2,M(=NtAmyl)(MeCp)(sBu,H,sBu-Pyz)2,M(=NtAmyl)(MeCp)(tAmyl,H,tAmyl-Pyz)2,M(=NtAmyl)(MeCp)(iPr,H,tBu-Pyz)2,M(=NtAmyl)(MeCp)(iPr,H,Me-Pyz)2,M(=NtAmyl)(MeCp)(iPr,H,Et-Pyz)2,M(=NtAmyl)(MeCp)(TMS,H,TMS-Pyz)2,M(=NtAmyl)(MeCp)(DMS,H,DMS-Pyz)2,M(=NtAmyl)(MeCp)(MMS,H,MMS-Pyz)2,
M(=NMe)(iPrCp)(H,H,H-Pyz)2, M(=NMe)(iPrCp)(Me,H,H-Pyz)2,M(=NMe)(iPrCp)(Me,H,Me-Pyz)2,M(=NMe)(iPrCp)(Me,Me,Me-Pyz)2,M(=NMe)(iPrCp)(Et,H,Et-Pyz)2,M(=NMe)(iPrCp)(nPr,H,nPr-Pyz)2,M(=NMe)(iPrCp)(iPr,H,iPr-Pyz)2,M(=NMe)(iPrCp)(tBu,H,tBu-Pyz)2,M(=NMe)(iPrCp)(iBu,H,iBu-Pyz)2,M(=NMe)(iPrCp)(nBu,H,nBu-Pyz)2,M(=NMe)(iPrCp)(sBu,H,sBu-Pyz)2,M(=NMe)(iPrCp)(tAmyl,H,tAmyl-Pyz)2,M(=NMe)(iPrCp)(iPr,H,tBu-Pyz)2,M(=NMe)(iPrCp)(iPr,H,Me-Pyz)2,M(=NMe)(iPrCp)(iPr,H,Et-Pyz)2,M(=NMe)(iPrCp)(TMS,H,TMS-Pyz)2,M(=NMe)(iPrCp)(DMS,H,DMS-Pyz)2,M(=NMe)(iPrCp)(MMS,H,MMS-Pyz)2,
M(=NiPr)(iPrCp)(H,H,H-Pyz)2, M(=NiPr)(iPrCp)(Me,H,H-Pyz)2,M(=NiPr)(iPrCp)(Me,H,Me-Pyz)2,M(=NiPr)(iPrCp)(Me,Me,Me-Pyz)2,M(=NiPr)(iPrCp)(Et,H,Et-Pyz)2,M(=NiPr)(iPrCp)(nPr,H,nPr-Pyz)2,M(=NiPr)(iPrCp)(iPr,H,iPr-Pyz)2,M(=NiPr)(iPrCp)(tBu,H,tBu-Pyz)2,M(=NiPr)(iPrCp)(iBu,H,iBu-Pyz)2,M(=NiPr)(iPrCp)(nBu,H,nBu-Pyz)2,M(=NiPr)(iPrCp)(sBu,H,sBu-Pyz)2,M(=NiPr)(iPrCp)(tAmyl,H,tAmyl-Pyz)2,M(=NiPr)(iPrCp)(iPr,H,tBu-Pyz)2,M(=NiPr)(iPrCp)(iPr,H,Me-Pyz)2,M(=NiPr)(iPrCp)(iPr,H,Et-Pyz)2,M(=NiPr)(iPrCp)(TMS,H,TMS-Pyz)2,M(=NiPr)(iPrCp)(DMS,H,DMS-Pyz)2,M(=NiPr)(iPrCp)(MMS,H,MMS-Pyz)2,
M(=NtBu)(iPrCp)(H,H,H-Pyz)2, M(=NtBu)(iPrCp)(Me,H,H-Pyz)2,M(=NtBu)(iPrCp)(Me,H,Me-Pyz)2,M(=NtBu)(iPrCp)(Me,Me,Me-Pyz)2,M(=NtBu)(iPrCp)(Et,H,Et-Pyz)2,M(=NtBu)(iPrCp)(nPr,H,nPr-Pyz)2,M(=NtBu)(iPrCp)(iPr,H,iPr-Pyz)2,M(=NtBu)(iPrCp)(tBu,H,tBu-Pyz)2,M(=NtBu)(iPrCp)(iBu,H,iBu-Pyz)2,M(=NtBu)(iPrCp)(nBu,H,nBu-Pyz)2,M(=NtBu)(iPrCp)(sBu,H,sBu-Pyz)2,M(=NtBu)(iPrCp)(tAmyl,H,tAmyl-Pyz)2,M(=NtBu)(iPrCp)(iPr,H,tBu-Pyz)2,M(=NtBu)(iPrCp)(iPr,H,Me-Pyz)2,M(=NtBu)(iPrCp)(iPr,H,Et-Pyz)2,M(=NtBu)(iPrCp)(TMS,H,TMS-Pyz)2,M(=NtBu)(iPrCp)(DMS,H,DMS-Pyz)2,M(=NtBu)(iPrCp)(MMS,H,MMS-Pyz)2,
M(=NtAmyl)(iPrCp)(H,H,H-Pyz)2, M(=NtAmyl)(iPrCp)(Me,H,H-Pyz)2,M(=NtAmyl)(iPrCp)(Me,H,Me-Pyz)2,M(=NtAmyl)(iPrCp)(Me,Me,Me-Pyz)2,M(=NtAmyl)(iPrCp)(Et,H,Et-Pyz)2,M(=NtAmyl)(iPrCp)(nPr,H,nPr-Pyz)2,M(=NtAmyl)(iPrCp)(iPr,H,iPr-Pyz)2,M(=NtAmyl)(iPrCp)(tBu,H,tBu-Pyz)2,M(=NtAmyl)(iPrCp)(iBu,H,iBu-Pyz)2,M(=NtAmyl)(iPrCp)(nBu,H,nBu-Pyz)2,M(=NtAmyl)(iPrCp)(sBu,H,sBu-Pyz)2,M(=NtAmyl)(iPrCp)(tAmyl,H,tAmyl-Pyz)2,M(=NtAmyl)(iPrCp)(iPr,H,tBu-Pyz)2,M(=NtAmyl)(iPrCp)(iPr,H,Me-Pyz)2,M(=NtAmyl)(iPrCp)(iPr,H,Et-Pyz)2,M(=NtAmyl)(iPrCp)(TMS,H,TMS-Pyz)2,M(=NtAmyl)(iPrCp)(DMS,H,DMS-Pyz)2,M(=NtAmyl)(iPrCp)(MMS,H,MMS-Pyz)2,
M(=NMe)(tBuCp)(H,H,H-Pyz)2, M(=NMe)(tBuCp)(Me,H,H-Pyz)2,M(=NMe)(tBuCp)(Me,H,Me-Pyz)2,M(=NMe)(tBuCp)(Me,Me,Me-Pyz)2,M(=NMe)(tBuCp)(Et,H,Et-Pyz)2,M(=NMe)(tBuCp)(nPr,H,nPr-Pyz)2,M(=NMe)(tBuCp)(iPr,H,iPr-Pyz)2,M(=NMe)(tBuCp)(tBu,H,tBu-Pyz)2,M(=NMe)(tBuCp)(iBu,H,iBu-Pyz)2,M(=NMe)(tBuCp)(nBu,H,nBu-Pyz)2,M(=NMe)(tBuCp)(sBu,H,sBu-Pyz)2,M(=NMe)(tBuCp)(tAmyl,H,tAmyl-Pyz)2,M(=NMe)(tBuCp)(iPr,H,tBu-Pyz)2,M(=NMe)(tBuCp)(iPr,H,Me-Pyz)2,M(=NMe)(tBuCp)(iPr,H,Et-Pyz)2,M(=NMe)(tBuCp)(TMS,H,TMS-Pyz)2,M(=NMe)(tBuCp)(DMS,H,DMS-Pyz)2,M(=NMe)(tBuCp)(MMS,H,MMS-Pyz)2,
M(=NiPr)(tBuCp)(H,H,H-Pyz)2, M(=NiPr)(tBuCp)(Me,H,H-Pyz)2,M(=NiPr)(tBuCp)(Me,H,Me-Pyz)2,M(=NiPr)(tBuCp)(Me,Me,Me-Pyz)2,M(=NiPr)(tBuCp)(Et,H,Et-Pyz)2,M(=NiPr)(tBuCp)(nPr,H,nPr-Pyz)2,M(=NiPr)(tBuCp)(iPr,H,iPr-Pyz)2,M(=NiPr)(tBuCp)(tBu,H,tBu-Pyz)2,M(=NiPr)(tBuCp)(iBu,H,iBu-Pyz)2,M(=NiPr)(tBuCp)(nBu,H,nBu-Pyz)2,M(=NiPr)(tBuCp)(sBu,H,sBu-Pyz)2,M(=NiPr)(tBuCp)(tAmyl,H,tAmyl-Pyz)2,M(=NiPr)(tBuCp)(iPr,H,tBu-Pyz)2,M(=NiPr)(tBuCp)(iPr,H,Me-Pyz)2,M(=NiPr)(tBuCp)(iPr,H,Et-Pyz)2,M(=NiPr)(tBuCp)(TMS,H,TMS-Pyz)2,M(=NiPr)(tBuCp)(DMS,H,DMS-Pyz)2,M(=NiPr)(tBuCp)(MMS,H,MMS-Pyz)2,
M(=NtBu)(tBuCp)(H,H,H-Pyz)2, M(=NtBu)(tBuCp)(Me,H,H-Pyz)2,M(=NtBu)(tBuCp)(Me,H,Me-Pyz)2,M(=NtBu)(tBuCp)(Me,Me,Me-Pyz)2,M(=NtBu)(tBuCp)(Et,H,Et-Pyz)2,M(=NtBu)(tBuCp)(nPr,H,nPr-Pyz)2,M(=NtBu)(tBuCp)(iPr,H,iPr-Pyz)2,M(=NtBu)(tBuCp)(tBu,H,tBu-Pyz)2,M(=NtBu)(tBuCp)(iBu,H,iBu-Pyz)2,M(=NtBu)(tBuCp)(nBu,H,nBu-Pyz)2,M(=NtBu)(tBuCp)(sBu,H,sBu-Pyz)2,M(=NtBu)(tBuCp)(tAmyl,H,tAmyl-Pyz)2,M(=NtBu)(tBuCp)(iPr,H,tBu-Pyz)2,M(=NtBu)(tBuCp)(iPr,H,Me-Pyz)2,M(=NtBu)(tBuCp)(iPr,H,Et-Pyz)2,M(=NtBu)(tBuCp)(TMS,H,TMS-Pyz)2,M(=NtBu)(tBuCp)(DMS,H,DMS-Pyz)2,M(=NtBu)(tBuCp)(MMS,H,MMS-Pyz)2,
M(=NtAmyl)(tBuCp)(H,H,H-Pyz)2, M(=NtAmyl)(tBuCp)(Me,H,H-Pyz)2,M(=NtAmyl)(tBuCp)(Me,H,Me-Pyz)2,M(=NtAmyl)(tBuCp)(Me,Me,Me-Pyz)2,M(=NtAmyl)(tBuCp)(Et,H,Et-Pyz)2,M(=NtAmyl)(tBuCp)(nPr,H,nPr-Pyz)2,M(=NtAmyl)(tBuCp)(iPr,H,iPr-Pyz)2,M(=NtAmyl)(tBuCp)(tBu,H,tBu-Pyz)2,M(=NtAmyl)(tBuCp)(iBu,H,iBu-Pyz)2,M(=NtAmyl)(tBuCp)(nBu,H,nBu-Pyz)2,M(=NtAmyl)(tBuCp)(sBu,H,sBu-Pyz)2,M(=NtAmyl)(tBuCp)(tAmyl,H,tAmyl-Pyz)2,M(=NtAmyl)(tBuCp)(iPr,H,tBu-Pyz)2,M(=NtAmyl)(tBuCp)(iPr,H,Me-Pyz)2,M(=NtAmyl)(tBuCp)(iPr,H,Et-Pyz)2,M(=NtAmyl)(tBuCp)(TMS,H,TMS-Pyz)2,M(=NtAmyl)(tBuCp)(DMS,H,DMS-Pyz)2,M(=NtAmyl)(tBuCp)(MMS,H,MMS-Pyz)2,
M(=NMe)(tAmylCp)(H,H,H-Pyz)2, M(=NMe)(tAmylCp)(Me,H,H-Pyz)2,M(=NMe)(tAmylCp)(Me,H,Me-Pyz)2,M(=NMe)(tAmylCp)(Me,Me,Me-Pyz)2,M(=NMe)(tAmylCp)(Et,H,Et-Pyz)2,M(=NMe)(tAmylCp)(nPr,H,nPr-Pyz)2,M(=NMe)(tAmylCp)(iPr,H,iPr-Pyz)2,M(=NMe)(tAmylCp)(tBu,H,tBu-Pyz)2,M(=NMe)(tAmylCp)(iBu,H,iBu-Pyz)2,M(=NMe)(tAmylCp)(nBu,H,nBu-Pyz)2,M(=NMe)(tAmylCp)(sBu,H,sBu-Pyz)2,M(=NMe)(tAmylCp)(tAmyl,H,tAmyl-Pyz)2,M(=NMe)(tAmylCp)(iPr,H,tBu-Pyz)2,M(=NMe)(tAmylCp)(iPr,H,Me-Pyz)2,M(=NMe)(tAmylCp)(iPr,H,Et-Pyz)2,M(=NMe)(tAmylCp)(TMS,H,TMS-Pyz)2,M(=NMe)(tAmylCp)(DMS,H,DMS-Pyz)2,M(=NMe)(tAmylCp)(MMS,H,MMS-Pyz)2,
M(=NiPr)(tAmylCp)(H,H,H-Pyz)2, M(=NiPr)(tAmylCp)(Me,H,H-Pyz)2,M(=NiPr)(tAmylCp)(Me,H,Me-Pyz)2,M(=NiPr)(tAmylCp)(Me,Me,Me-Pyz)2,M(=NiPr)(tAmylCp)(Et,H,Et-Pyz)2,M(=NiPr)(tAmylCp)(nPr,H,nPr-Pyz)2,M(=NiPr)(tAmylCp)(iPr,H,iPr-Pyz)2,M(=NiPr)(tAmylCp)(tBu,H,tBu-Pyz)2,M(=NiPr)(tAmylCp)(iBu,H,iBu-Pyz)2,M(=NiPr)(tAmylCp)(nBu,H,nBu-Pyz)2,M(=NiPr)(tAmylCp)(sBu,H,sBu-Pyz)2,M(=NiPr)(tAmylCp)(tAmyl,H,tAmyl-Pyz)2,M(=NiPr)(tAmylCp)(iPr,H,tBu-Pyz)2,M(=NiPr)(tAmylCp)(iPr,H,Me-Pyz)2,M(=NiPr)(tAmylCp)(iPr,H,Et-Pyz)2,M(=NiPr)(tAmylCp)(TMS,H,TMS-Pyz)2,M(=NiPr)(tAmylCp)(DMS,H,DMS-Pyz)2,M(=NiPr)(tAmylCp)(MMS,H,MMS-Pyz)2,
M(=NtBu)(tAmylCp)(H,H,H-Pyz)2, M(=NtBu)(tAmylCp)(Me,H,H-Pyz)2,M(=NtBu)(tAmylCp)(Me,H,Me-Pyz)2,M(=NtBu)(tAmylCp)(Me,Me,Me-Pyz)2,M(=NtBu)(tAmylCp)(Et,H,Et-Pyz)2,M(=NtBu)(tAmylCp)(nPr,H,nPr-Pyz)2,M(=NtBu)(tAmylCp)(iPr,H,iPr-Pyz)2,M(=NtBu)(tAmylCp)(tBu,H,tBu-Pyz)2,M(=NtBu)(tAmylCp)(iBu,H,iBu-Pyz)2,M(=NtBu)(tAmylCp)(nBu,H,nBu-Pyz)2,M(=NtBu)(tAmylCp)(sBu,H,sBu-Pyz)2,M(=NtBu)(tAmylCp)(tAmyl,H,tAmyl-Pyz)2,M(=NtBu)(tAmylCp)(iPr,H,tBu-Pyz)2,M(=NtBu)(tAmylCp)(iPr,H,Me-Pyz)2,M(=NtBu)(tAmylCp)(iPr,H,Et-Pyz)2,M(=NtBu)(tAmylCp)(TMS,H,TMS-Pyz)2,M(=NtBu)(tAmylCp)(DMS,H,DMS-Pyz)2,M(=NtBu)(tAmylCp)(MMS,H,MMS-Pyz)2,
M(=NtAmyl)(tAmylCp)(H,H,H-Pyz)2, M(=NtAmyl)(tAmylCp)(Me,H,H-Pyz)2,M(=NtAmyl)(tAmylCp)(Me,H,Me-Pyz)2,M(=NtAmyl)(tAmylCp)(Me,Me,Me-Pyz)2,M(=NtAmyl)(tAmylCp)(Et,H,Et-Pyz)2,M(=NtAmyl)(tAmylCp)(nPr,H,nPr-Pyz)2,M(=NtAmyl)(tAmylCp)(iPr,H,iPr-Pyz)2,M(=NtAmyl)(tAmylCp)(tBu,H,tBu-Pyz)2,M(=NtAmyl)(tAmylCp)(iBu,H,iBu-Pyz)2,M(=NtAmyl)(tAmylCp)(nBu,H,nBu-Pyz)2,M(=NtAmyl)(tAmylCp)(sBu,H,sBu-Pyz)2,M(=NtAmyl)(tAmylCp)(tAmyl,H,tAmyl-Pyz)2,M(=NtAmyl)(tAmylCp)(iPr,H,tBu-Pyz)2,M(=NtAmyl)(tAmylCp)(iPr,H,Me-Pyz)2,M(=NtAmyl)(tAmylCp)(iPr,H,Et-Pyz)2,M(=NtAmyl)(tAmylCp)(TMS,H,TMS-Pyz)2,M(=NtAmyl)(tAmylCp)(DMS,H,DMS-Pyz)2 및 M(=NtAmyl)(tAmylCp)(MMS,H,MMS-Pyz)2(여기서 M은 V, Nb 또는 Ta임)를 포함한다.
보다 바람직하게는, 개시된 전구체는 Nb(=NtBu)(MeCp)(Me,H,Me-pyz)2 또는 Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2이다. 이러한 전구체는 Nb(=NtBu)(MeCp)(NEt2)2를 톨루엔에서 2당량의 (R,H,R-pyz)H(R = Me, iPr)와 반응시켜 합성될 수 있다.
개시된 전구체는 흐르는 이점을 갖는다. 개시된 전구체는 높은 열적 안정성을 가져서 높은 증착 온도 및 넓은 ALD 창이 예상된다. 또한, 개시된 전구체는 액체 전구체이므로 기화 및 증기 전달에 더 용이하다.
개시된 V족(5족) 원소 함유 막 형성 조성물의 순도는 95% w/w 초과(즉, 95.0% w/w 내지 100.0% w/w), 바람직하게는 98% w/w 초과(즉, 98.0% w/w 내지 100.0% w/w), 더욱 바람직하게는 99% w/w 초과(즉, 99.0% w/w 내지 100.0% w/w)이다. 이 기술 분야에 통상의 지식을 가진 자라면 순도는 질량 분석법을 사용한 기체 액체 크로마토그래피 및 H NMR에 의해 결정될 수 있음을 인식할 수 있을 것이다. 개시된 V족(5족) 원소 함유 막 형성 조성물은 하기 불순물, 즉 피라졸; 피리딘; 알킬아민; 알킬이민; THF; 에테르; 펜탄; 시클로헥산; 헵탄; 벤젠; 톨루엔; 염소화 금속 화합물; 리튬, 나트륨, 칼륨 피라졸릴 중 임의의 것을 함유할 수 있다. 이들 불순물의 총량은 바람직하게는 5% w/w 미만(즉, 0.0% w/w 내지 5.0% w/w), 바람직하게는 2% w/w 미만(즉, 0.0% w/w 내지 2.0% w/w), 더욱 바람직하게는 1% w/w 미만(즉, 0.0% w/w 내지 1.0) % w/w)이다. 조성물은 재결정화, 승화, 증류에 의해 및/또는 4Å 분자체와 같은 적절한 흡착제를 통해 기체 액체를 통과시킴으로써 정제될 수 있다.
개시된 V족(5족) 원소 함유 막 형성 조성물의 정제는 또한 0 ppbw(part per billion weight) 내지 1 ppmw, 바람직하게는 0 내지 500 ppbw 수준의 금속 불순물을 생성할 수 있다. 이러한 금속 불순물은 알루미늄(Al), 비소(As), 바륨(Ba), 베릴륨(Be), 비스무트(Bi), 카드뮴(Cd), 칼슘(Ca), 크롬(Cr), 코발트(Co), 구리(Cu), 갈륨(Ga), 게르마늄(Ge), 하프늄(Hf), 지르코늄(Zr), 인듐(In), 철(Fe), 납(Pb), 리튬(Li), 마그네슘(Mg), 망간(Mn), 텅스텐(W), 니켈(Ni), 칼륨(K), 나트륨(Na), 스트론튬(Sr), 토륨(Th), 주석(Sn), 티타늄(Ti), 우라늄(U), 및 아연(Zn)을 포함할 수 있지만 이들로 제한되지 않는다.
또한 기상 증착 공정을 사용하여 기판 상에 V족(5족) 원소 함유 층을 형성하는 방법이 개시된다. 출원인은 개시된 V족(5족) 원소 함유 막 형성 조성물이 원자층 증착(ALD)에 적합하다고 믿고 이하 증착 실시예에서 입증한다. 보다 구체적으로, 개시된 V족(5족) 원소 함유 막 형성 조성물은 표면 포화, 사이클당 자가 제한된 성장, 및 대략 2:1 내지 대략 200:1, 바람직하게는 대략 20:1 내지 대략 100:1 범위의 종횡비의 완벽한 단계 범위 적용이 가능하다. 추가적으로, 개시된 V족(5족) 원소 함유 막 형성 조성물은 분해 온도가 높아서, ALD를 가능하게 하는 우수한 열적 안정성을 나타낸다. 분해 온도가 높으면 더 높은 온도에서 ALD를 허용하여 더 높은 순도를 갖는 막을 생성할 수 있다.
개시된 방법은 반도체, 광전지, LCD-TFT, 평판형 디바이스를 제조하는 데 유용할 수 있다. 개시된 V족(5족) 원소 함유 막 형성 조성물은 이 기술 분야에 통상의 지식을 가진 자에게 알려진 임의의 증착 방법을 사용하여 V족(5족) 원소 함유 막을 증착하는데 사용될 수 있다. 적합한 기상 증착 방법의 예로는 화학 기상 증착(CVD), 원자층 증착(ALD)을 포함한다. 예시적인 CVD 방법은 열 CVD, 플라즈마 강화 CVD(PECVD), 펄스 CVD(PCVD), 저압 CVD(LPCVD), 대기압 미만 CVD(SACVD), 대기압 CVD(APCVD), 열선 CVD (HWCVD, cat-CVD라고도 알려져 있고, 열선이 증착 공정의 에너지원 역할을 함), 라디칼 결합된 CVD, 및 이들의 조합을 포함한다. 예시적인 ALD 방법은 열 ALD, 플라즈마 강화 ALD(PEALD), 공간 ALD, 열선 ALD(HWALD), 라디칼 결합된 ALD, 및 이들의 조합을 포함한다. 초임계 유체 증착이 또한 사용될 수 있다. 증착 방법은 적절한 단계 범위 적용 및 막 두께 제어를 제공하기 위해 바람직하게는 ALD, PE-ALD, 공간 ALD이다.
개시된 V족(5족) 원소 함유 막 형성 조성물은 순수한 형태로 또는 에틸 벤젠, 자일렌, 메시틸렌, 데칼린, 데칸, 도데칸과 같은 적합한 용매와의 배합물로 공급될 수 있다. 개시된 전구체는 용매에 다양한 농도로 존재할 수 있다.
순수한 또는 배합된 V족(5족) 원소 함유 막 형성 조성물은 관류계 및/또는 유량계와 같은 종래의 수단에 의해 증기 형태로 반응기에 도입된다. 증기 형태는 직접 기화, 증류와 같은 종래의 기화 단계를 통해 순수한 또는 배합된 조성물을 기화시키거나, 버블링에 의해, 또는 PCT 공개 WO2009/087609(Xu 등)에 개시된 것과 같은 승화기를 사용하여 생성될 수 있다. 순수한 또는 배합된 조성물은 반응기에 도입되기 전에 조성물을 기화시키는 기화기에 액체 상태로 공급될 수 있다. 대안적으로, 순수한 또는 배합된 조성물은 캐리어 기체를 조성물로 버블링함으로써 조성물을 함유하는 용기 내로 캐리어 기체를 통과시킴으로써 기화될 수 있다. 캐리어 기체는 Ar, He, N2, 및 이들의 혼합물을 포함할 수 있지만 이들로 제한되는 것은 아니다. 캐리어 기체로 버블링하는 것은 또한 순수한 또는 배합된 조성물에 존재하는 임의의 용존 산소를 제거할 수 있다. 그런 다음 캐리어 기체와 조성물은 증기로 반응기에 도입된다.
필요한 경우, 개시된 V족(5족) 원소 함유 막 형성 조성물을 함유하는 용기는 조성물이 액상이고 충분한 증기압을 갖는 것을 허용하는 온도로 가열될 수 있다. 용기는 예를 들어 대략 0℃ 내지 대략 200℃ 범위의 온도에서 유지될 수 있다. 이 기술 분야에 통상의 지식을 가진 자라면 기화된 전구체의 양을 제어하기 위해 알려진 방식으로 용기의 온도를 조정할 수 있음을 인식할 수 있을 것이다.
반응기는 평행판형 반응기, 저온 벽형 반응기, 고온 벽형 반응기, 단일 웨이퍼 반응기, 다중 웨이퍼 반응기, 적합한 조건 하에 화합물이 반응하여 층을 형성하도록 하는 다른 유형의 증착 시스템을 포함하지만 이들로 제한되지 않는, 증착 방법이 발생하는 디바이스 내의 임의의 인클로저 챔버일 수 있다. 이 기술 분야에 통상의 지식을 가진 자라면 이들 반응기 중 임의의 것이 ALD 또는 CVD 증착 공정에 사용될 수 있음을 인식할 수 있을 것이다.
반응기는 막이 증착될 하나 이상의 기판을 포함한다. 기판은 일반적으로 공정이 수행되는 재료로 정의된다. 기판은 반도체, 광전지, 평판 패널, LCD-TFT 디바이스를 제조하는 데 사용되는 임의의 적합한 기판일 수 있다. 적합한 기판의 예로는 실리콘, 실리카, 유리, GaAs 웨이퍼와 같은 웨이퍼를 포함한다. 웨이퍼는 이전 제조 단계로부터 웨이퍼 상에 증착된 상이한 재료의 하나 이상의 층을 가질 수 있다. 예를 들어, 웨이퍼는 유전체 층을 포함할 수 있다. 또한, 웨이퍼는 실리콘 층(결정질, 비정질, 다공성 등), 실리콘 산화물 층, 실리콘 질화물 층, 실리콘 옥시 질화물 층, 탄소 도핑된 실리콘 산화물(SiCOH) 층, 금속, 금속 산화물, 금속 질화물 층(Ti, Ru, Ta 등), 이들의 조합을 포함할 수 있다. 추가적으로, 웨이퍼는 구리 층, 귀금속 층(예를 들어, 백금, 팔라듐, 로듐, 금)을 포함할 수 있다. 웨이퍼는 망간, 망간 산화물 등과 같은 장벽 층을 포함할 수 있다. 폴리(3,4-에틸렌디옥시티오펜)폴리(스티렌술포네이트)[PEDOT:PSS]와 같은 플라스틱 층이 또한 사용될 수 있다. 층은 평면이거나 패터닝될 수 있다. 개시된 공정은 V족(5족) 원소 함유 층을 웨이퍼 상에 직접 증착하거나 (패터닝된 층이 기판을 형성할 때) 웨이퍼 상부의 하나 이상의 층 상에 직접 증착할 수 있다. 또한, 이 기술 분야에 통상의 지식을 가진 자라면 본 명세서에서 사용된 "막", "층"이라는 용어는 표면 위에 펼쳐져 놓인 일부 재료의 두께를 나타내고, 표면은 트렌치, 라인일 수 있음을 인식할 수 있을 것이다. 본 명세서 및 청구범위 전반에 걸쳐 웨이퍼와 이 위의 임의의 관련 층은 기판이라고 지칭된다. 예를 들어, 니오븀 산화물 막은 ZrO2 층, HfO2 층, MoO2 층과 같은 금속 산화물 층 위에 증착될 수 있다. 후속 공정에서, 또 다른 금속 산화물 층이 니오븀 산화물 층 상에 증착되어 라미네이트를 형성할 수 있고; 예를 들어, ZrO2/Nb2O5/ZrO2 라미네이트 유전체 스택은 DRAM 높은-k 스택을 나타낸다. 니오븀 질화물 층 또는 티타늄 질화물 층과 같은 전도성 금속 질화물 층은 각각 하부 전극과 상부 전극을 형성하기 위해 마지막 금속 산화물 층 상에 이전에 증착될 수 있다. 생성된 NbN/ZrO2O5/ZrO2/NbN 스택은 DRAM 커패시터에 사용될 수 있다. RuO, Ru, Pt, Ir, WN, WNC와 같은 다른 전도성 막은 또한 NbN 또는 TaN 층에 더하여 단독으로 하부 전극과 상부 전극으로 사용될 수 있다.
기판은 또한 충전식 배터리 기술에서 사용되는 분말과 같은 분말일 수 있다. 비제한적인 수의 분말 재료는 NMC(리튬 니켈 망간 코발트 산화물), LCO(리튬 코발트 산화물), LFP(리튬 철 인산염) 및 기타 배터리 캐소드 재료를 포함한다.
반응기 내의 온도와 압력은 ALD에 적합한 조건으로 유지된다. 다시 말해, 기화된 개시된 조성물을 챔버에 도입한 후, 챔버 내의 조건은 전구체의 적어도 일부가 기판 상에 증착되어 V족(5족) 원소 함유 층을 형성하기 위한 것이다. 예를 들어, 반응기 내의 압력 또는 증착 압력은 증착 파라미터마다 요구되는 바에 따라 약 10-3 torr 내지 약 100 Torr, 보다 바람직하게는 약 10-2 내지 100 Torr로 유지될 수 있다. 유사하게, 반응기의 온도 또는 증착 온도는 약 100℃ 내지 약 600℃, 바람직하게는 약 150℃ 내지 약 500℃로 유지될 수 있다. 이 기술 분야에 통상의 지식을 가진 자라면 "전구체의 적어도 일부가 증착된다"는 것은 전구체의 일부 또는 전부가 반응하여 기판에 부착된다는 것을 의미한다는 것을 인식할 수 있을 것이다.
반응기의 온도는 기판 홀더의 온도를 제어하거나 반응기 벽의 온도를 제어함으로써 제어될 수 있다. 기판을 가열하는 데 사용되는 디바이스는 이 기술 분야에 알려져 있다. 반응기 벽은 충분한 성장률에서 원하는 물리적 상태 및 조성으로 원하는 막을 얻기에 충분한 온도로 가열된다. 반응기 벽이 가열될 수 있는 비제한적인 예시적인 온도 범위는 대략 50℃ 내지 대략 600℃를 포함한다. 플라즈마 증착 공정이 이용될 때, 증착 온도는 대략 150℃ 내지 대략 500℃의 범위일 수 있다. 대안적으로, 열 공정이 수행될 때, 증착 온도는 대략 100℃ 내지 대략 600℃ 범위일 수 있다.
개시된 V족(5족) 원소 함유 막 형성 조성물에 더하여, 공반응물이 반응기에 도입될 수 있다. 표적이 전도성 막인 경우, 공반응물은 H2, H2CO, N2H4, NH3, 1차 아민, 2차 아민, 3차 아민, 트리실릴아민, 이들의 라디칼 및 이들의 혼합물일 수 있다. 바람직하게는, 공반응물은 H2, NH3이다.
대안적으로, 표적이 유전체 막인 경우, 공반응물은 O2, O3, H2O, H2O2, NO, N2O, NO2 중 하나와 같은 산화 기체, O-, OH-와 같은 산소 함유 라디칼, 카르복실산, 포름산, 아세트산, 프로피온산 및 이들의 혼합물일 수 있다. 바람직하게는, 산화 기체는 O3, H2O2, H2O로 이루어진 군 중에서 선택된다.
공반응물은, 반응물을 라디칼 형태로 분해하기 위해 플라즈마에 의해 처리될 수 있으며, N2는 또한 플라즈마로 처리될 때 질소 소스 기체로 활용될 수 있다. 예를 들어, 플라즈마는 약 10W 내지 약 1000W, 바람직하게는 약 50W 내지 약 500W 범위의 전력으로 생성될 수 있다. 플라즈마는 반응기 자체 내에 존재하여 생성될 수 있다. 대안적으로, 플라즈마는 일반적으로 반응기로부터 제거된 위치, 예를 들어, 원격 위치된 플라즈마 시스템에 있을 수 있다. 이 기술 분야에 통상의 지식을 가진 자라면 이러한 플라즈마 처리에 적합한 방법 및 장치를 인식할 수 있을 것이다.
예를 들어, 공반응물은 반응 챔버에서 플라즈마를 생성하는 직접 플라즈마 반응기로 도입되어 반응 챔버에서 플라즈마 처리된 반응물을 생성할 수 있다. 예시적인 직접 플라즈마 반응기는 트리온 테크놀로지사(Trion Technologies)에서 생산된 Titan™ PECVD 시스템을 포함한다. 공반응물은 플라즈마 처리 전에 반응 챔버에 도입되고 유지될 수 있다. 대안적으로, 플라즈마 처리는 반응물의 도입과 동시에 일어날 수 있다. 인시추(in-situ) 플라즈마는 일반적으로 샤워헤드와 기판 홀더 사이에서 생성되는 13.56MHz RF 유도 결합 플라즈마이다. 기판 또는 샤워헤드는 양이온 충돌이 발생하는지 여부에 따라 전력이 공급되는 전극일 수 있다. 인시추 플라즈마 생성기의 일반적인 인가 전력은 대략 30W 내지 대략 1000W이다. 바람직하게는, 대략 30W 내지 대략 600W의 전력이 개시된 방법에서 사용된다. 보다 바람직하게는, 전력 범위는 대략 100W 내지 대략 500W이다. 인시추 플라즈마를 사용한 공반응물의 해리는 일반적으로 동일한 전력 입력에 대해 원격 플라즈마 소스를 사용하여 달성되는 것보다 적고, 따라서 원격 플라즈마 시스템만큼 반응물 해리에 효율적이지 않아서, 플라즈마에 의해 쉽게 손상되는 기판 상에 V족(5족) 원소 함유 막을 증착하는 데 유리할 수 있다.
대안적으로, 플라즈마 처리된 공반응물은 반응 챔버 외부에서 생성될 수 있으며, 예를 들어, 반응 챔버 내로 통과하기 전에 공반응물을 처리하기 위한 원격 플라즈마일 수 있다.
챔버 내의 ALD 조건은 기판 표면 상에 화학 흡착된 개시된 V족(5족) 원소 함유 막 형성 조성물이 반응하여 기판 상에 V족(5족) 원소 함유 막을 형성하는 것을 허용한다. 일부 실시형태에서, 출원인은 공반응물을 플라즈마 처리하는 것이 개시된 V족(5족) 원소 함유 막 형성 조성물과 반응하는 데 필요한 에너지를 공반응물에 제공할 수 있다고 믿는다.
증착하기를 원하는 막의 유형에 따라 추가 전구체 화합물이 반응기에 도입될 수 있다. 추가적인 전구체는 V족(5족) 원소 함유 막에 추가적인 원소를 제공하는 데 사용될 수 있다. 추가 원소는 I족 원소(리튬, 나트륨, 칼륨), 란탄족(이테르븀, 에르븀, 디스프로슘, 가돌리늄, 프라세오디뮴, 세륨, 란탄, 이트륨), IV족 원소(지르코늄, 티타늄, 하프늄), 주족 원소(게르마늄, 실리콘, 알루미늄), 추가적인 다른 V족 원소, 및 이들의 혼합물을 포함할 수 있다. 추가적인 전구체 화합물이 이용될 때, 기판 상에 증착된 결과적인 막은 추가적인 원소와 함께 V족 금속을 함유한다. 추가 전구체와 V족 전구체가 하나 초과의 ALD 슈퍼 사이클 시퀀스에서 사용되는 경우, 나노 라미네이트 막이 얻어진다. 예를 들어, 추가적인 Li 함유 전구체가 사용되는 경우, V족(5족) 원소 함유 막은 리튬 니오베이트(LiNbO3) 막과 같이 Li를 함유할 것이다. 이 기술 분야에 통상의 지식을 가진 자라면 Li를 함유하는 V족(5족) 원소 함유 막이 분말을 포함하는 임의의 유형의 기판 상에 ALD에 의해 형성될 수 있음을 인식할 수 있을 것이다.
V족(5족) 원소 함유 막 형성 조성물 및 공반응물은 반응기에 순차적으로 도입될 수 있다(원자층 증착). 반응기는 V족(5족) 원소 함유 막 형성 조성물, 임의의 추가 전구체, 및 공반응물 각각의 도입 사이에 불활성 기체로 퍼지될 수 있다. 또 다른 예는 V족(5족) 원소 함유 막 형성 조성물과 비활성화된 공반응물이 챔버 온도와 압력 조건(CW PEALD)에서 실질적으로 반응하지 않는다면, 공반응물을 연속적으로 도입하고 공반응물을 플라즈마로 순차적으로 활성화하면서 펄스로 V족(5족) 원소 함유 막 형성 조성물을 도입하는 것이다.
개시된 V족(5족) 원소 함유 막 형성 조성물의 각각의 펄스는 약 0.01초 내지 약 120초, 대안적으로 약 1초 내지 약 80초, 대안적으로 약 5초 내지 약 30초 범위의 시간 기간 동안 지속될 수 있다. 공반응물은 또한 반응기 내로 펄싱될 수 있고, 이러한 실시형태에서, 각각의 펄스는 약 0.01초 내지 약 120초, 대안적으로 약 1초 내지 약 30초, 대안적으로 약 2초 내지 약 20초 범위의 시간 기간 동안 지속될 수 있다. 다른 대안에서, 기화된 V족(5족) 원소 함유 막 형성 조성물 및 공반응물은 여러 웨이퍼를 지지하는 회전하는 서셉터 위에 있는 샤워 헤드의 상이한 섹터로부터 (조성물과 반응물의 혼합 없이) 동시에 분무될 수 있다(공간 ALD).
특정 공정 파라미터에 따라 다양한 시간 기간 동안 증착이 발생할 수 있다. 일반적으로, 필요한 특성을 갖는 막을 생성하는 데 필요한 원하는 기간 만큼 증착을 계속할 수 있다. 일반적인 막 두께는 특정 증착 공정에 따라 수 옹스트롬에서 수백 마이크론까지, 일반적으로 2nm에서 100nm까지 다양할 수 있다. 증착 공정은 또한 원하는 막을 얻는 데 필요한 횟수 만큼 수행될 수 있다.
하나의 비제한적인 예시적인 ALD 공정에서, 개시된 V족(5족) 원소 함유 막 형성 조성물의 기상(vapor phase)은 반응기 내로 도입되고, 여기서 기상은 적합한 기판과 접촉된다. 그런 다음 과량의 조성물은 반응기를 퍼지 및/또는 배기함으로써 반응기로부터 제거될 수 있다. 공반응물(예를 들어, O3)이 반응기에 도입되고, 여기서 공반응물이 흡수된 V족(5족) 원소 함유 막 형성 조성물과 자가 제한 방식으로 반응한다. 과량의 공반응물은 반응기를 퍼지 및/또는 배기함으로써 반응기로부터 제거된다. 원하는 막이 V족(5족) 원소 함유 산화물인 경우, 이 2단계 공정은 원하는 막 두께를 제공할 수 있고, 필요한 두께를 갖는 막이 얻어질 때까지 반복될 수 있다.
대안적으로, 원하는 막이 V족(5족) 전이 금속 및 Li와 같은 제2 원소를 함유하는 경우, 위의 2단계 공정에 이어 반응기에 추가 전구체 화합물의 증기를 도입하는 것이 뒤따를 수 있다. 추가 전구체 화합물은 증착되는 V족(5족) 원소 함유 막의 특성에 따라 선택될 것이다. 반응기에 도입된 후, 추가 전구체 화합물은 기판과 접촉된다. 임의의 과잉 전구체 화합물은 반응기를 퍼지 및/또는 배기함으로써 반응기로부터 제거된다. 다시 한번, 공반응물은 전구체 화합물과 반응하기 위해 반응기에 도입될 수 있다. 과량의 공반응물은 반응기를 퍼지 및/또는 배기함으로써 반응기로부터 제거된다. 원하는 막 두께가 달성되면 공정이 종료될 수 있다. 그러나, 더 두꺼운 막이 요구되는 경우 전체 4단계 공정을 반복할 수 있다. V족(5족) 원소 함유 막 형성 조성물, 추가 전구체 화합물 및 공반응물을 교대로 제공함으로써, 원하는 조성과 두께의 막을 증착할 수 있다. 하나의 비제한적인 예시적인 ALD 공정에서, 개시된 V족(5족) 원소 함유 막 형성 조성물, 예를 들어 (t-부틸이미도)(메틸시클로펜타디에닐)비스(이소프로필피라졸레이트)니오븀(V), Nb(=NtBu)(MeCp)(iPr-pyz)2 중 하나의 기상이 반응기에 도입되고, 여기서 분말 기판과 접촉한다. 그런 다음 과량의 조성물은 반응기를 퍼지 및/또는 배기함으로써 반응기로부터 제거될 수 있다. 공반응물(예를 들어, O3)이 반응기에 도입되고, 여기서 흡수된 Nb(=NtBu)(MeCp)(iPr-pyz)2와 자가 제한 방식으로 반응하여 Nb 산화물 막을 형성한다. 임의의 과량의 O3 기체는 반응기를 퍼지 및/또는 배기함으로써 반응기로부터 제거된다. 그런 다음 Li 함유 전구체는 분말 기판 및/또는 Nb 산화물 막에 노출되어 Li 및 Nb 산화물 막 LiNbO3을 형성할 수 있다. 예를 들어, LiOtBu는 Li 전구체 역할을 할 수 있다. 그런 다음 Nb(=NtBu)(MeCp)(iPr-pyz)2, O3 및 LiOtBu를 사용하여 위에서 설명된 이 비제한적인 예시적인 ALD 공정은 원하는 두께의 LiNbO3 막이 분말 상에 증착될 때까지 분말에 대해 반복될 수 있다. 생성된 LiNbO3 막은 충전식 배터리 응용에 사용될 수 있다. 이 기술 분야에 통상의 지식을 가진 자라면 적합한 리튬 전구체는 LiOtBu, LiN(SiR3)2(여기서 각각의 R은 H, C1 내지 C4 알킬 또는 알케닐 기, Li(DPM)(리튬 디피발로일메탄, 일명 리튬 2,2,6,6-테트라메틸-3,5-헵탄디오네이트) 또는 임의의 다른 적합한 리튬 ALD 전구체 중에서 선택됨)를 포함하지만 이들로 제한되지 않음을 인식할 수 있을 것이다.
이 예시적인 ALD 공정에서 공반응물이 플라즈마로 처리될 때, 예시적인 ALD 공정은 예시적인 PEALD 공정이 된다. 공반응물은 챔버로 도입하기 전 또는 후에 플라즈마로 처리될 수 있다.
제2 비제한적인 예시적인 ALD 공정에서, 개시된 V족(5족) 원소 함유 막 형성 조성물, 예를 들어, (t-부틸이미도)(메틸시클로펜타디에닐)비스(이소프로필피라졸레이트)니오븀(V), Nb(=NtBu)(MeCp)(iPr-pyz)2 중 하나의 기상이 반응기에 도입되고, 여기서 Si 기판과 접촉한다. 그런 다음 과량의 조성물은 반응기를 퍼지 및/또는 배기함으로써 반응기로부터 제거될 수 있다. 공반응물(예를 들어, O3)은 반응기에 도입되고, 여기서 흡수된 V족(5족) 원소 함유 막 형성 조성물과 자가 제한 방식으로 반응하여 V족(5족) 원소 함유 산화물 막을 형성한다. 임의의 과량의 O3 기체는 반응기를 퍼지 및/또는 배기함으로써 반응기로부터 제거된다. 이 2단계는 V족(5족) 원소 함유 산화막이 원하는 두께, 일반적으로 약 10옹스트롬을 얻을 때까지 반복될 수 있고, 그런 다음 ZrO2는 V족(5족) 원소 함유 산화물 막 상에 증착될 수 있다. 예를 들어, ZrCp(NMe2)3은 Zr 전구체 역할을 할 수 있다. 그런 다음 Nb(=NtBu)(MeCp)(iPr-pyz)2 및 O3을 사용하여 위에서 설명된 제2 비제한적인 예시적인 ALD 공정은 ZrO2 층에 대해 반복될 수 있다. 생성된 Nb2O5/ZrO2/Nb2O5 스택은 DRAM 커패시터에 사용될 수 있다.
다른 예시적인 ALD 공정에서, 또 다른 전구체는 V족(5족) 원소 함유 MO 막, V족(5족) 원소 함유 O/MO 나노 라미네이트(여기서 M은 IV족 원소, 다른 V족(5족) 원소, 실리콘, 게르마늄, 알루미늄, 또는 임의의 란탄족 원소 중에서 선택됨)을 증착하기 위해 하나의 또는 여러 ALD 슈퍼 사이클(예를 들어, O 함유 공반응물/V족(5족) 원소 함유 전구체/O 함유 공반응물) 사이에 순차적으로 도입될 수 있다. 선택된 M 전구체는 바람직하게는 선택된 V족(5족) 원소 함유 막 형성 조성물에 의해 나타나는 것과 동일한 온도 창에서 ALD 성장을 거친다.
위에서 논의된 공정으로부터 생성된 V족(5족) 원소 함유 막은 Nb, NbkSil, NbnOm, NboNp, NboNpOq를 포함할 수 있고, 여기서 k, l, m, n, o, p 및 q는 각각 독립적으로 1 내지 6의 범위일 수 있다. 예시적인 막은 NbO2, Nb2O5, NbN 및 NbON을 포함한다. 이 기술 분야에 통상의 지식을 가진 자라면 V족(5족) 원소 함유 막 형성 조성물과 공반응물을 적절히 선택하는 것에 의해 원하는 막 조성물을 얻을 수 있음을 인식할 수 있을 것이다. V족(5족) 원소 함유 막은 DRAM의 커패시터 전극, 3D 플래시 메모리 디바이스의 게이트 금속, 상 변화 메모리의 발열 요소, 전자 이동 장벽 층, 게이트 금속 및 논리 디바이스의 접촉 층에 적합한 단계 범위 적용을 제공할 수 있다.
원하는 막 두께를 얻을 때, 막은 열 어닐링, 노 어닐링, 급속 열 어닐링, UV, e-빔 경화 및/또는 플라즈마 기체 노출과 같은 추가 처리를 받을 수 있다. 이 기술 분야에 통상의 지식을 가진 자라면 이러한 추가 처리 단계를 수행하는 데 이용되는 시스템 및 방법을 인식할 수 있다. 예를 들어, Nb2O5 막은 불활성 분위기, O 함유 분위기, 이들의 조합 하에 대략 0.1초 내지 대략 7200초 범위의 시간 동안 대략 200℃ 내지 대략 1000℃ 범위의 온도에 노출될 수 있다. 가장 바람직하게는 온도는 불활성 분위기 또는 O 함유 분위기에서 3600초 동안 400℃이다. 생성된 막은 더 적은 불순물을 함유할 수 있고 따라서 개선된 밀도를 가져서 개선된 누설 전류를 초래할 수 있다. 어닐링 단계는 증착 공정이 수행되는 것과 동일한 반응 챔버에서 수행될 수 있다. 대안적으로, 기판은 반응 챔버로부터 제거될 수 있고, 어닐링/플래시 어닐링 공정은 별도의 장치에서 수행된다. 위의 후처리 방법 중 임의의 방법, 그러나 특히 열 어닐링은 Nb2O5 막의 탄소 및 질소 오염을 줄이는 데 효과적인 것으로 밝혀졌다. 이것은 차례로 막의 저항률을 향상시키는 경향이 있다.
어닐링 후, 개시된 공정 중 임의의 공정에 의해 증착된 V족(5족) 원소 함유 막은 실온에서 대략 50μohm,cm 내지 대략 1,000μohm,cm의 벌크 저항률을 가질 수 있다. 실온은 계절에 따라 대략 20℃ 내지 대략 28℃이다. 벌크 저항률은 체적 저항률이라고도 알려져 있다. 이 기술 분야에 통상의 지식을 가진 자라면 벌크 저항률은 실온에서 일반적으로 대략 50nm 두께인 V족(5족) 원소 함유 막에서 측정된 것임을 인식할 수 있을 것이다. 벌크 저항률은 일반적으로 전자 수송 메커니즘의 변화로 인해 더 얇은 막인 경우 증가한다. 벌크 저항률은 또한 더 높은 온도에서 증가한다.
다른 대안에서, 개시된 조성물은 도핑 주입제로서 사용될 수 있다. 인듐 산화물(In2O3) 막, 탄탈륨 이산화물(TaO2), 바나듐 이산화물(VO2) 막, 티타늄 산화물 막, 구리 산화물 막, 또는 주석 산화물(SnO2) 막과 같이 개시된 V족(5족) 원소 함유 막 형성 조성물의 일부는 도핑될 막의 상부에 증착될 수 있다. 그런 다음 V족(5족) 원소, 예를 들어, Nb는 어닐링 단계 동안 막으로 확산되어, 예를 들어, {(Nb)In2O3, (Nb)VO2, (Nb)TiO, (Nb)CuO, (Nb)SnO2}와 같은 V족(5족) 원소 도핑된 막을 형성한다. 예를 들어, US2008/0241575(Lavoie 등)(이의 도핑 방법은 전체 내용이 본 명세서에 포함됨) 참조.
실시예
하기 비제한적 실시예는 본 발명의 실시형태를 추가로 예시하기 위해 제공된다. 그러나, 실시예는 모든 실시예를 포함하는 것으로 의도된 것도 아니고, 본 명세서에 설명된 발명의 범위를 제한하는 것으로 의도된 것도 아니다.
실시예 1. Nb(=NtBu)(MeCp)(Me,H,Me-pyz)2의 합성
(Me,H,Me-pyz)H(1g, 10.40mmol)는 실온에서 약 60mL의 톨루엔에서 Nb(=NtBu)(MeCp)(NEt2)2(2g, 5.16mmol)의 용액에 천천히 첨가되었다. 실온에서 밤새 교반한 후, 용매와 휘발성 물질을 진공 하에 제거하여 매우 점성인 황색 오일을 얻었고, 이는 후속적으로 결정질 고형물이 되었다. 그런 다음 결정질 고형물을 무수 펜탄으로 세척하고 건조시켜 황색 결정인 Nb(=NtBu)(MeCp)(Me,H,Me-pyz)2를 얻었다. 수율은 1.35g이다.
합성된 Nb(=NtBu)(MeCp)(Me,H,Me-pyz)2의 TGA는 도 1에 도시되어 있다. TGA 그래프는 대략 300℃의 온도에서 낮은(<4.37%) 잔류물로 깨끗한 증발을 보여준다. 또한, TGA 후, TG 팬에 물질이 남아 있지 않았다. 도 2는 Nb(=NtBu)(MeCp)(Me,H,Me-pyz)2의 증기압 그래프이다. 1 Torr에서의 증기압은 대략 190℃이다. NMR 1H 스펙트럼은 도 3에 제공된다.
실시예 2. Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2의 합성
톨루엔에서 (iPr,H,iPr-pyz)H(34g, 0.22mol)의 용액을 실온에서 톨루엔 약 300mL에서 Nb(=NtBu)(MeCp)(NEt2)2(43g, 0.11mol)의 용액에 천천히 첨가하였다. 실온에서 밤새 교반한 후, 용매와 휘발성 물질을 진공 하에 제거하여 매우 점성인 황색 오일을 얻었다. 그런 다음 이 오일을 진공 증류하여 황색 오일 Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2를 얻었다. 수율은 34g이다.
합성된 Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2의 TGA는 도 4에 도시되어 있다. TGA 그래프는 대략 320℃에서 낮은(<2.52%) 잔류물로 깨끗한 증발을 보여준다. 또한, TGA 후, TG 팬에 물질이 남아 있지 않았다. 도 5는 Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2의 증기압 그래프이다. 1 Torr에서의 증기압은 대략 190℃이고, 5 Torr에서의 증기압은 대략 238℃이다. NMR 1H 스펙트럼은 도 6에 제공된다.
실시예 3. Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2를 사용한 Nb2O5 막의 ALD
전구체 Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2 및 공반응물 O3을 사용한 ALD 증착을 Si 기판 상에 수행하였다. Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2를 포함하는 캐니스터는 95℃로 유지되었다. ALD 챔버 압력은 1 Torr로 설정되었다. ALD 공정 온도는 대략 275℃ 내지 대략 400℃ 범위의 온도로 설정되었다. 이러한 결과는 Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2를 사용하여 챔버 온도의 함수로서 형성된 Nb2O5 막 성장률을 보여주는 그래프인 도 7에 도시되어 있다. ALD 증착은 대략 275℃ 내지 대략 350℃ 범위의 온도에서 발생했고, 여기서 불균일성은 낮다. 도 8은 오존이 있는 상태에서 Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2가 증착된 Nb2O5의 X-선 회절(XRD)이다. 도 9는 O3이 있는 상태에서 Nb(=NtBu)(MeCp)(iPr,H,iPr-pyz)2가 패터닝된 구조로 증착된 Nb2O5 막의 SEM 사진이다. 증착된 Nb2O5 막의 두께는 약 11.9nm이다.
본 명세서에 설명된 주제는 사용자-대화형 구성요소를 갖는 컴퓨팅 애플리케이션에 대한 하나 이상의 컴퓨팅 애플리케이션 특징/동작을 처리하기 위한 예시적인 구현의 맥락에서 설명될 수 있지만, 주제는 이러한 특정 실시형태로 제한되지 않는다. 오히려, 본 명세서에 설명된 기술은 임의의 적절한 유형의 사용자-대화형 구성요소 실행 관리 방법, 시스템, 플랫폼 및/또는 장치에 적용될 수 있다.
본 발명의 특성을 설명하기 위해 본 명세서에 설명되고 예시된 세부사항, 재료, 단계 및 부품 배열에 대한 많은 추가 변경이 첨부된 청구범위에 표현된 본 발명의 원리 및 범위 내에서 이 기술 분야에 통상의 지식을 가진 자에 의해 이루어질 수 있는 것으로 이해된다. 따라서, 본 발명은 위에서 주어진 실시예의 특정 실시형태 및/또는 첨부된 도면으로 제한되는 것으로 의도된 것이 아니다.
본 발명의 실시형태가 도시되고 설명되었지만, 본 발명의 사상 또는 내용을 벗어나지 않고 이 기술 분야에 통상의 지식을 가진 자에 의해 변형이 이루어질 수 있다. 본 명세서에 설명된 실시형태는 예시적인 것일 뿐, 본 발명을 제한하는 것이 아니다. 본 발명의 범위 내에서 조성물 및 방법의 많은 변형 및 수정이 가능하다. 따라서, 보호 범위는 본 명세서에 설명된 실시형태로 제한되지 않고, 다음의 청구범위에 의해서만 제한되며, 그 범위는 청구범위의 주제의 모든 등가물을 포함해야 한다.

Claims (20)

  1. V족(5족) 원소 함유 막을 형성하는 방법으로서,
    a) V족(5족) 원소 함유 막 형성 조성물의 증기에 기판을 노출시키는 단계;
    b) 상기 기판을 공반응물에 노출시키는 단계; 및
    c) 기상 증착 공정을 사용하여 상기 기판 상에 원하는 두께의 V족(5족) 원소 함유 막이 증착될 때까지 a) 및 b) 단계를 반복하는 단계
    를 포함하고, 상기 V족(5족) 원소 함유 막 형성 조성물은 하기 구조식, 즉
    Figure pct00046

    을 갖는 전구체를 포함하고, M은 V, Nb 또는 Ta 중에서 선택된 V족(5족) 원소이고; R은 H, Me, Et, nPr, iPr, nBu, sBu, iBu, tBu, n-펜틸, i-펜틸, 네오-펜틸 또는 tert-아밀이고; 각각의 R1, R2, R3은 독립적으로 H, 알킬기 또는 -SiR'3 기이고, 각각의 R'는 독립적으로 H 또는 알킬기이고; 각각의 R11, R12, R13, R14, R15는 독립적으로 H, Me, Et, nPr, iPr, nBu, sBu, iBu, 또는 tBu인, 방법.
  2. 제1항에 있어서,
    각각의 노출을 분리하기 위해 각각 단계 a) 및 b) 후에 불활성 기체 퍼지를 도입하는 단계를 더 포함하고, 상기 불활성 기체 퍼지는 N2, Ar, Kr, 또는 Xe 중에서 선택된 불활성 기체를 사용하는, 방법.
  3. 제1항에 있어서, 상기 공반응물을 플라즈마 처리하는 단계를 더 포함하는, 방법.
  4. 제1항에 있어서, 상기 공반응물은 O2, O3, H2O, H2O2, NO, N2O, NO2, 이들의 산소 라디칼, 및 이들의 혼합물로 이루어진 군 중에서 선택되는, 방법.
  5. 제1항에 있어서, 상기 공반응물은 H2, H2CO, N2H4, NH3, 아민, 히드라진 N(SiH3)3, 이들의 라디칼, 및 이들의 혼합물로 이루어진 군 중에서 선택되는, 방법.
  6. 제1항에 있어서, 상기 공반응물은 NH3 또는 O3인, 방법.
  7. 제1항에 있어서, 상기 전구체는 Nb(=NtBu)(MeCp)(iPr,H,iPr-Pyz)2인, 방법.
  8. 제1항에 있어서, 상기 전구체는 Nb(=NtBu)(MeCp)(Me,H,Me-Pyz)2인, 방법.
  9. 제1항에 있어서, 상기 V족(5족) 원소 함유 막은 Nb2O5 막 또는 NbN 막인, 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서, 상기 기상 증착 공정은 ALD 공정 또는 CVD 공정인, 방법.
  11. 제1항 내지 제9항 중 어느 한 항에 있어서, 상기 기상 증착 공정은 PEALD 공정 또는 공간 ALD 공정인, 방법.
  12. 제1항 내지 제9항 중 어느 한 항에 있어서, 증착 온도는 대략 100℃ 내지 대략 600℃ 범위인, 방법.
  13. 기상 증착 공정용 V족(5족) 원소 함유 막 형성 조성물로서,
    하기 구조식, 즉
    Figure pct00047

    을 갖는 전구체를 포함하고, M은 V, Nb 또는 Ta 중에서 선택된 V족(5족) 원소이고; R은 H, Me, Et, nPr, iPr, nBu, sBu, iBu, tBu, n-펜틸, i-펜틸, 네오-펜틸 또는 tert-아밀이고; 각각의 R1, R2, R3은 독립적으로 H, 알킬기 또는 SiR'3 기이고, 각각의 R'는 독립적으로 H 또는 알킬기이고; 각각의 R11, R12, R13, R14, R15는 독립적으로 H, Me, Et, nPr, iPr, nBu, sBu, iBu, 또는 tBu인, V족(5족) 원소 함유 막 형성 조성물.
  14. 제13항에 있어서, 각각의 R, R1, R2, R3은 독립적으로 H, Me, Et, nPr, iPr, tBu, sBu, iBu, nBu, tAmyl, SiMe3, SiMe2H, 또는 SiH2Me인, V족(5족) 원소 함유 막 형성 조성물.
  15. 제13항에 있어서, 상기 전구체는 Nb(=NtBu)(MeCp)(iPr,H,iPr-Pyz)2 또는 Nb(=NtBu)(MeCp)(Me,H,Me-Pyz)2인, V족(5족) 원소 함유 막 형성 조성물.
  16. 제13항 내지 제15항 중 어느 한 항에 있어서, 상기 V족(5족) 원소 함유 막 형성 조성물은 대략 95% w/w 내지 대략 100.0% w/w의 전구체를 포함하는, V족(5족) 원소 함유 막 형성 조성물.
  17. ALD 공정에 의해 기판 상에 LiNbO3 막 또는 코팅을 형성하는 방법으로서,
    a) V족(5족) 원소 함유 막 형성 조성물의 증기에 기판을 노출시키는 단계;
    b) 상기 기판을 산화제에 노출시키는 단계;
    c) 상기 기판을 리튬 함유 전구체에 노출시키는 단계;
    d) 상기 기판을 상기 산화제에 노출시키는 단계; 및
    e) ALD 공정을 사용하여 상기 기판 상에 원하는 두께의 LiNbO3 막이 증착될 때까지 a) 내지 d) 단계를 반복하는 단계
    를 포함하고, 상기 V족(5족) 원소 함유 막 형성 조성물은 하기 구조식, 즉
    Figure pct00048

    을 갖는 전구체를 포함하고, M은 Nb이고; R은 H, Me, Et, nPr, iPr, nBu, sBu, iBu, tBu, n-펜틸, i-펜틸, 네오-펜틸 또는 tert-아밀이고; 각각의 R1, R2, R3은 독립적으로 H, 알킬기 또는 -SiR'3 기이고, 각각의 R'는 독립적으로 H 또는 알킬기이고; 각각의 R11, R12, R13, R14, R15는 독립적으로 H, Me, Et, nPr, iPr, nBu, sBu, iBu, 또는 tBu이고,
    상기 리튬 함유 전구체는 LiOtBu; LiN(SiR3)2(각각의 R은 H, C1 내지 C4 알킬 또는 알케닐 기 중에서 선택됨); 및 Li(DPM)(리튬 디피발로일메탄, 일명 리튬 2,2,6,6-테트라메틸-3,5-헵탄디오네이트)로 이루어진 군 중에서 선택되는, 방법.
  18. 제17항에 있어서,
    각각의 노출을 분리하기 위해 각각 단계 a), b), c) 및 d) 후에 불활성 기체 퍼지를 도입하는 단계를 더 포함하는, 방법.
  19. 제17항에 있어서, 상기 기판은 분말인, 방법.
  20. 제17항 내지 제19항 중 어느 한 항에 있어서, 상기 분말은 NMC(리튬 니켈 망간 코발트 산화물), LCO(리튬 코발트 산화물), LFP(리튬 철 인산염), 및 기타 배터리 캐소드 재료 중 하나 이상을 포함하는, 방법.
KR1020227024772A 2019-12-19 2020-12-18 V족 원소 함유 막 형성 조성물 및 v족 원소 함유 막의 기상 증착 KR20220116524A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/720,537 2019-12-19
US16/720,537 US20210189145A1 (en) 2019-12-19 2019-12-19 Group v element-containing film forming compositions and vapor deposition of group v element-containing film
PCT/US2020/066054 WO2021127467A1 (en) 2019-12-19 2020-12-18 Group v element-containing film forming compositions and vapor deposition of group v element-containing film

Publications (1)

Publication Number Publication Date
KR20220116524A true KR20220116524A (ko) 2022-08-23

Family

ID=76437911

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227024772A KR20220116524A (ko) 2019-12-19 2020-12-18 V족 원소 함유 막 형성 조성물 및 v족 원소 함유 막의 기상 증착

Country Status (4)

Country Link
US (1) US20210189145A1 (ko)
KR (1) KR20220116524A (ko)
TW (1) TWI756959B (ko)
WO (1) WO2021127467A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2024508640A (ja) * 2021-02-08 2024-02-28 アプライド マテリアルズ インコーポレイテッド 双極子膜を用いたmosfetゲートエンジニアリング
WO2023200429A1 (en) * 2022-04-12 2023-10-19 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Niobium vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6491978B1 (en) * 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US20090200524A1 (en) * 2008-01-24 2009-08-13 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
KR101584390B1 (ko) * 2008-07-24 2016-01-11 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 전이 금속 함유 필름의 침착을 위한 헤테로렙틱 시클로펜타디에닐 전이 금속 전구체
TWI618712B (zh) * 2012-12-28 2018-03-21 Tosoh Corporation 第五族金屬側氧基-烷側氧基錯合物及其製造方法、製膜用材料及第五族金屬氧化物膜的製作方法
US10023462B2 (en) * 2015-11-30 2018-07-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
US10337104B2 (en) * 2016-12-30 2019-07-02 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US10174423B2 (en) * 2017-06-28 2019-01-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-containing film forming compositions and vapor deposition of Niobium-containing films

Also Published As

Publication number Publication date
WO2021127467A1 (en) 2021-06-24
US20210189145A1 (en) 2021-06-24
TW202129066A (zh) 2021-08-01
TWI756959B (zh) 2022-03-01

Similar Documents

Publication Publication Date Title
US9691771B2 (en) Vanadium-containing film forming compositions and vapor deposition of vanadium-containing films
US10174423B2 (en) Niobium-containing film forming compositions and vapor deposition of Niobium-containing films
US10106887B2 (en) Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
US20160002786A1 (en) Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
KR101304760B1 (ko) 증착용 티타늄 함유 전구체
US10023462B2 (en) Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
KR20190100269A (ko) 지르코늄, 하프늄, 티타늄 전구체 및 이들을 이용한 4족 함유막의 증착
US9691770B2 (en) Vanadium-containing film forming compositions and vapor deposition of vanadium-containing films
US20170050999A1 (en) Germanium- and zirconium-containing composition for vapor deposition of zirconium-containing films
TWI756959B (zh) 膜或塗層之方法
TW201335417A (zh) 用於ald沈積金屬矽酸鹽膜之含矽化合物
KR101721294B1 (ko) 증착을 위한 하프늄-함유 또는 지르코늄-함유 전구체
US20220205099A1 (en) Group iv element containing precursors and deposition of group iv element containing films
US20230323530A1 (en) Niobium, vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same
WO2023200429A1 (en) Niobium vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same
JP2023536697A (ja) ヘテロアルキルシクロペンタジエニルインジウム含有前駆体及びインジウム含有層の堆積のためのその使用方法
TWI518199B (zh) 用於氣相沉積之含鉿或含鋯前驅物

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal