JP2023536697A - ヘテロアルキルシクロペンタジエニルインジウム含有前駆体及びインジウム含有層の堆積のためのその使用方法 - Google Patents

ヘテロアルキルシクロペンタジエニルインジウム含有前駆体及びインジウム含有層の堆積のためのその使用方法 Download PDF

Info

Publication number
JP2023536697A
JP2023536697A JP2023504111A JP2023504111A JP2023536697A JP 2023536697 A JP2023536697 A JP 2023536697A JP 2023504111 A JP2023504111 A JP 2023504111A JP 2023504111 A JP2023504111 A JP 2023504111A JP 2023536697 A JP2023536697 A JP 2023536697A
Authority
JP
Japan
Prior art keywords
film
indium
precursor
independently
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2023504111A
Other languages
English (en)
Other versions
JP7426538B2 (ja
Inventor
ブリュノー、アントワ-ヌ
剛嗣 大野
デュサラ、クリスチャン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of JP2023536697A publication Critical patent/JP2023536697A/ja
Application granted granted Critical
Publication of JP7426538B2 publication Critical patent/JP7426538B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一般式:In[R1R2R3R4CpL1]又はIn[CpL1L2y](式中、Cpはシクロペンタジエニル配位子を表し;R1~R4は、それぞれ独立して、H、C1~C4の直鎖、分岐、又は環状のアルキルであり;L1及びL2は、それぞれ独立して、Cp配位子に結合しており且つSi、Ge、Sn、N、P、B、Al、Ga、In、O、S、Se、Te、F、Cl、Br、Iなどの少なくとも1つのヘテロ原子を含むアルキル鎖からなる置換基であり;y=1~4である)を有するヘテロアルキルシクロペンタジエニルインジウム(I)前駆体を使用する蒸着法によるインジウム含有膜の形成方法。例示的なヘテロアルキルシクロペンタジエニルインジウム(I)前駆体には、ln(Cp(CH2)3NMe2)又はln(CpP/Pr2)が含まれる。【選択図】なし

Description

関連出願の相互参照
本出願は、その全体があらゆる目的で参照により本明細書に援用される、2020年7月28日に出願された米国特許出願第16/941,088号に基づく優先権を主張するものである。
本発明は、In(I)前駆体、特にヘテロアルキルシクロペンタジエニルインジウム前駆体を含むインジウム(In)含有膜形成組成物、それらの合成方法、及びIn含有膜の堆積のためのそれらの使用方法に関する。
半導体産業において、他の元素でドープされたインジウム含有膜は、そのより高い電子移動度のため、光電子デバイスで一般的に使用されている。例えば、酸化インジウムスズInSnO(ITO)は、液晶ディスプレイ(LCD)の電極として長い間使用されてきた。最近では、InGaZnO(IGZO)と呼ばれる材料、すなわち透明な薄膜トランジスタにインジウムと、ガリウムと、亜鉛とを含む材料が、特定のタイプの薄膜トランジスタ(TFT)に実装されている。インジウムの無酸素薄膜も広く研究されており、様々な光電子デバイスにおいて使用されている。例えば、III-V族半導体、例えばInN、InP、InAs、InSbなどは、高い電子移動度、直接遷移、及び低い励起子結合エネルギーを有することが知られており、そのため高性能光電子デバイスに広く使用されている。さらに、InS又はInなどのInSは、III-V化合物の表面のパッシベーションに役立ち得るミッドバンドギャップ半導体である。
そのような光電子デバイスは、それらの性能をよりよく制御し、サイズを最適化するために、非常に明確に規定された均質な薄膜層を必要とする。歴史的には、インジウムの堆積にはスパッタリング技術が採用されてきたが、この技術は過酷な条件、すなわち非常に高い真空を必要とする。現在、これらの薄い酸化インジウム層は、化学蒸着(CVD)、プラズマ支援原子層堆積(PEALD)を使用して製造されている。工業的利用のための重要なパラメーターは、80℃以下で液体であるインジウム含有前駆体を開発することである。これは、液体インジウム含有前駆体の供給ラインと排出ラインを介して準備し、貯蔵キャニスターに充填し、反応器に供給することがより実用的であるためである。固体前駆体の使用は、製造条件において典型的には少なくとも1日に1回交換する必要がある蒸気形成のための昇華器を要し、また昇華中の粒子サイズの変動に起因して変動もし得る供給速度を生じさせる。さらに、安全上の理由からは、インジウム含有前駆体は、空気に曝露されたときに自然発火性ではないことが好ましいであろう。インジウム含有前駆体は、そのプロセスから生じるインジウム含有膜の導電性を低下させる可能性のあるハライドを含むべきではない。そのようなインジウム含有層を堆積するために最も適した前駆体としては、In(III):InCl、In(Me)(別名TMI)、In(Et)(別名TEI)、In(Ac)、[3-(ジメチルアミノ)プロピル]ジメチルインジウム(別名DADI)が挙げられる。Mizutaniらは、100~500℃の温度範囲で、そのような前駆体の堆積により、サイクルあたりの成膜量(GPC)が1Å/サイクル未満になることを開示している(Mizutani et al.,AIP Advances,2019,9,045019)。そのようなプロセスで使用されるインジウム含有前駆体の量を最小限に抑えるためには、より高いGPCが望まれる。Mizutaniら及び国際公開第2018225668号パンフレットには、R=アルキル置換基を有するシクロペンタジエニル型配位子を有するIn(I)前駆体(InRCp)も開示されている。これらは、最近、高いGPC(>1Å/サイクル)を得るためにより優れた前駆体として認識されている。残念なことに、これらの前駆体のGPC性能は200℃未満の温度で劇的に低下し、また炭素汚染が多い(10~20%)膜ももたらす。したがって、200℃未満、好ましくは150℃未満、より好ましくは約100℃の温度での堆積を可能にし、結果としてGPCが0.3Å/サイクルを超え、炭素汚染が少ない、新規な前駆体の探索が必要とされている。そのような材料の用途の1つとしては、融点が200℃未満のポリマー上にインジウム含有層を堆積させることが考えられる。Beachleyらは、InCp*(In(CMe)がドナー溶媒の存在下でわずかに分解することを開示している(Organometallics 1989,8,346-356)。Jutzら(Jutzi,et al.,Organometallics,2000,19,1292-1298)は、Cp上のヘテロアルキル基の存在が、ドナーで安定化されていないCp13族元素化合物と比較して、水分及び空気に対する反応性を低下させ得ることを開示している。
インジウム含有膜を形成する方法であって、
一般式:
In[RCpL]又は
In[CpL
(式中、Cpはシクロペンタジエニル配位子を表し;R~Rは、それぞれ独立して、H、C~Cの直鎖、分岐、又は環状のアルキルであり;L及びLは、それぞれ独立して、Cp配位子に結合しており且つSi、Ge、Sn、N、P、B、Al、Ga、In、O、S、Se、Te、F、Cl、Br、Iなどの少なくとも1つのヘテロ原子を含むアルキル鎖からなる置換基であり;y=1~4である)
を有するヘテロアルキルシクロペンタジエニルインジウム(I)前駆体を含有する膜形成組成物の蒸気に基板を曝露するステップ;及び
蒸着法を使用してヘテロアルキルシクロペンタジエニルインジウム(I)前駆体の少なくとも一部を基板上に堆積させて、インジウム含有膜を形成するステップ、
を含む方法が開示される。開示される方法は、以下の態様の1つ以上を含み得る:
・蒸着法は、ALD、CVD、又はそれらの組み合わせである;
・蒸着プロセスは、PEALDプロセス又は空間ALDプロセスである;
・L及びLは、それぞれ独立して-(C-ER)基(式中、-Cは、直鎖、環状、又は分岐のアルキル鎖であり;k=0~6であり;l=0~11であり;E=Si、Ge、Sn、N、P、B、Al、Ga、In、O、S、Se、Te、F、Cl、Br、Iであり;Rは、H、C~Cの直鎖、環状、又は分岐のアルキルである)である;
・ヘテロアルキルシクロペンタジエニルインジウム(I)前駆体は、
(式中、n及びn’はそれぞれ独立して0~6であり、C~Cの直鎖、環状、又は分岐のアルキル鎖を表し;E及び’E’は、それぞれ独立して、Si、Ge、Sn、N、P、B、Al、Ga、In、O、S、Se、Te、F、Cl、Br、Iであり;R=H、C~Cの直鎖、分岐、又は環状のアルキル又はヘテロアルキルであり;R~Rは独立してH、C~Cの直鎖、分岐、又は環状のアルキルであり;m=0~4であり;y=1~4である)からなる群から選択される;
・ヘテロアルキルシクロペンタジエニルインジウム(I)前駆体は、
(式中、nは0~6であり、C~Cの直鎖、環状、又は分岐のアルキル鎖を表し;E=N、P、B、O、S、Fであり;R=H、C~Cの直鎖、分岐、又は環状のアルキル又はヘテロアルキルであり;m=0~4であり;R~RはそれぞれHである)である;
・ヘテロアルキルシクロペンタジエニルインジウム(I)前駆体は、In[Cp(CHNMe]、In[Cp(CHNMe]、In[CpCHNMe]、In[CpCHCHMe-NMe]、In[Cp(CHMe)NMe]、In[CpNMe]、In[CpNMeEt]、In[CpNEt]、In[CpNMeiPr]、In[CpNiPr]、In[Cp(CHPMe]、In[Cp(CHPMe]、In[Cp(CHMe)PMe]、In[CpCHPMe]、In[CpPMe]、In[CpPMeEt]、In[CpPEt]、In[CpPMeiPr]、In[CpPiPr]、In[Cp(CHBMe]、In[Cp(CHBMe]、In[Cp(CHMe)BMe]、In[CpCHBMe]、In[CpBMe]、In[CpB(OMe)]、In[CpBMeEt]、In[CpBEt]、In[CpBMeiPr]、In[CpBiPr]、In[Cp(CHOMe]、In[Cp(CHOMe]、In[CpCHOMe]、In[CpOMe]、In[CpOEt]、In[CpOiPr]、In[Cp(CHSMe]、In[Cp(CHSMe]、In[CpCHSMe]、In[CpSMe]、In[CpSEt]、又はIn[CpSiPr]などから選択される;
・ヘテロアルキルシクロペンタジエニルインジウム(I)前駆体は、
(式中、nは0~6であり、C~Cの直鎖、環状、又は分岐のアルキル鎖を表し;E=Si、Ge、Sn、N、P、B、Al、Ga、In、O、S、Se、Te、F、Cl、Br、Iであり;R=H、C~Cの直鎖、分岐、又は環状のアルキル又はヘテロアルキルであり;m=0~4であり;R~Rはそれぞれ独立してH、C~Cの直鎖、分岐、又は環状のアルキルである)である;
・ヘテロアルキルシクロペンタジエニルインジウム(I)前駆体は、In[CpMe(CHNMe]、In[CpMe(CHNMe]、In[CpMeCHCHMe-NMe]、In[CpMe(CHMe)NMe]、In[CpMeCHNMe]、In[CpMeNMe]、In[CpMeNMeEt]、In[CpMeNEt]、In[CpMeNMeiPr]、In[CpMeNiPr]、In[CpMe(CHPMe]、In[CpMe(CHPMe]、In[CpMe(CHMe)PMe]、In[CpMeCHPMe]、In[CpMePMe]、In[CpMePMeEt]、In[CpMePEt]、In[CpMePMeiPr]、In[CpMePiPr]、In[CpMe(CHBMe]、In[CpMe(CHBMe]、In[CpMe(CHMe)BMe]、In[CpMeCHBMe]、In[CpMeBMe]、In[CpMeB(OMe)]、In[CpMeBMeEt]、In[CpMeBEt]、In[CpMeBMeiPr]、In[CpMeBiPr]、In[CpMe(CHOMe]、In[CpMe(CHOMe]、In[CpMeCHOMe]、In[CpMeOMe]、In[CpMeOEt]、In[CpMeOiPr]、In[CpMe(CHSMe]、In[CpMe(CHSMe]、In[CpMeCHSMe]、In[CpMeSMe]、In[CpMeSEt]、又はIn[CpMeSiPr]などから選択される;
・ヘテロアルキルシクロペンタジエニルインジウム(I)前駆体は、
(式中、nは0~6であり、C~Cの直鎖、環状、又は分岐のアルキル鎖を表し;E=Si、Ge、Sn、N、P、B、Al、Ga、In、O、S、Se、Te、F、Cl、Br、Iであり;R=H、C~Cの直鎖、分岐、又は環状のアルキル又はヘテロアルキルであり;m=0~4であり;y=1~4である)である;
・ヘテロアルキルシクロペンタジエニルインジウム(I)前駆体は、In(Cp(NMe)、In(Cp(CHNMe)、In(MeCp(NMe)、In(MeCp(CHNMe)、In(iPrCp(NMe)、又はIn(iPrCp(CHNMe)などから選択される;
・ヘテロアルキルシクロペンタジエニルインジウム(I)前駆体は、
(式中、n及びn’は、それぞれ独立して0~6であり、C~Cの直鎖、環状、又は分岐のアルキル鎖を表し;E及び’E’は、それぞれ独立して、Si、Ge、Sn、N、P、B、Al、Ga、In、O、S、Se、Te、F、Cl、Br、Iであり;R=H、C~Cの直鎖、分岐、又は環状のアルキル又はヘテロアルキルであり;m=0~4であり;y=1~4である)である;
・ヘテロアルキルシクロペンタジエニルインジウム(I)前駆体は、In(Cp(NMe(NEt))、In(Cp(NEt)(CHNMe)、In(MeCp(NMe(NEt))、In(MeCp(NEt)(CHNMe)、In(iPrCp(NEt)(NMe)、In(iPrCp(NEt)(CHNMe)、又はIn(Cp(NMe)(BMe))などから選択される;
・ヘテロアルキルシクロペンタジエニルインジウム(I)前駆体は、In(Cp(CHNMe)である;
・ヘテロアルキルシクロペンタジエニルインジウム(I)前駆体は、In(CpPiPr)である;
・反応器に共反応物を供給するステップをさらに含む;
・共反応物は、O、O、HO、H、NO、NO、NO、Oラジカル、アルコール、シラノール、アミノアルコール、カルボン酸、パラホルムアルデヒド、又はそれらの混合物から選択される酸化剤である;
・共反応物はO又はOである;
・共反応物は、NH、N、H、N/H、HとNH、NとNH、NHとN、NO、NO、アミン、ジアミン、シアニド、ジイミン、ヒドラジン、有機アミン、ピラゾリン、ピリジン;メチルアミン、エチルアミン、tertブチルアミンなどの一級アミン;ジメチルアミン、ジエチルアミン、ジイソプロピルアミン、エチルメチルアミン、ピロリジンなどの二級アミン;トリメチルアミン、トリエチルアミン、トリシリルアミンなどの三級アミン;又はそれらの混合物から選択される窒素含有還元剤である;
・共反応物はNHである;
・共反応物はNプラズマである;
・基板温度が250℃未満の場合、Nプラズマが適切な共反応物である;
・共反応物は、H、HCO、N、NH、一級アミン、二級アミン、三級アミン、トリシリルアミン、それらのラジカル、及び導電膜のためのそれらの混合物である;
・共反応物はHである;
・共反応物は、O、O、HO、H、NO、NO、NO、酸素含有ラジカル(O-OH-など)、カルボン酸、ギ酸、酢酸、プロピオン酸、及び誘電性膜のためのそれらの混合物のうちの1つなどの酸化性ガスである;
・酸化性ガスは、O、H、HOからなる群から選択される;
・共反応物は、プラズマによって処理される;
・プラズマ源は、Nプラズマ、N/Heプラズマ、N/Arプラズマ、NHプラズマ、NH/Heプラズマ、NH/ARプラズマ、Heプラズマ、Arプラズマ、Hプラズマ、H/Heプラズマ、H/有機アミンプラズマ、及びそれらの混合物である;
・インジウム含有膜は、酸化インジウム、InSnO(ITO)、InGaZnO(IGZO)、InN、InP、InAs、InSb、又はInである;
・インジウム含有膜は、P、N、S、Ga、As、B、Ta、Hf、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、Co、Zn、1つ以上のランタニド、又はそれらの組み合わせから選択される第2の元素を含む;
・インジウム含有膜は、In/ZrOの交互の層のスタックである;
・開示されたプロセスのいずれかによって堆積されたインジウム含有膜は、室温で約50μohm・cm~約1,000μohm・cmのバルク抵抗率を有する;
・基板は粉末である;
・粉末は、NMC(リチウムニッケルマンガンコバルト酸化物)、LCO(リチウムコバルト酸化物)、LFP(リン酸鉄リチウム)、及び他の電池カソード材料のうちの1つ以上を含む;
・堆積圧力は、約10-3Torr~約100Torrに保持される;
・堆積圧力は、約10-2Torr~約100Torrに保持される;
・堆積温度は、約100℃~約600℃に保持される;
・堆積温度は、約100℃~約500℃に保持される;及び
・加熱される反応器壁は、約50℃~約600℃に含まれる。
一般式:
In[RCpL]又は
In[CpL
(式中、Cpはシクロペンタジエニル配位子を表し;R~Rは、それぞれ独立して、H、C~Cの直鎖、分岐、又は環状のアルキルであり;L及びLは、それぞれ独立して、Cp配位子に結合しており且つSi、Ge、Sn、N、P、B、Al、Ga、In、O、S、Se、Te、F、Cl、Br、Iなどの少なくとも1つのヘテロ原子を含むアルキル鎖からなる置換基であり;y=1~4である)
を有するヘテロアルキルシクロペンタジエニルインジウム(I)前駆体を含む、蒸着プロセスのための膜形成組成物。開示される膜形成組成物は、以下の態様の1つ以上を含み得る:
・膜形成前駆体は約95%w/w~約100.0%w/wの前駆体を含む;
・膜形成組成物の純度は95%w/w超である;
・膜形成組成物の純度は98%w/w超である;
・膜形成組成物の純度は99%w/w超である;
・膜形成組成物は約0.0%w/w~約5.0%w/wの不純物を含む;
・膜形成組成物は約0.0%w/w~約2.0%w/wの不純物を含む;
・膜形成組成物は約0.0%w/w~約1.0%w/wの不純物を含む;
・膜形成組成物の金属不純物は0ppbw~1ppmwである;及び
・膜形成組成物の金属不純物は0ppbw~500ppbwである。
一般式:
In[RCpL]又は
In[CpL
(式中、Cpはシクロペンタジエニル配位子を表し;R~Rは、それぞれ独立して、H、C~Cの直鎖、分岐、又は環状のアルキルであり;L及びLは、それぞれ独立して、Cp配位子に結合しており且つSi、Ge、Sn、N、P、B、Al、Ga、In、O、S、Se、Te、F、Cl、Br、Iなどの少なくとも1つのヘテロ原子を含むアルキル鎖からなる置換基であり;y=1~4である)
を有するヘテロアルキルシクロペンタジエニルインジウム(I)前駆体である膜形成前駆体。開示される膜形成前駆体は、以下の態様の1つ以上を含み得る:
・膜形成前駆体の純度は95%w/w超である;
・膜形成前駆体の純度は98%w/w超である;
・膜形成前駆体の純度は99%w/w超である;
・膜形成前駆体は約0.0%w/w~約5.0%w/wの不純物を含む;
・膜形成前駆体は約0.0%w/w~約2.0%w/wの不純物を含む;
・膜形成前駆体は約0.0%w/w~約1.0%w/wの不純物を含む;
・膜形成前駆体の金属不純物は0ppbw~1ppmwである;及び
・膜形成前駆体の金属不純物は0ppbw~500ppbwである。
表記及び用語
以下の詳細な説明及び特許請求の範囲では、当該技術分野で一般によく知られている多くの略語、記号、及び用語が利用される。
本明細書において使用される場合、不定冠詞「1つの(a)」又は「1つの(an)」は、1つ以上を意味する。
本明細書において使用される場合、本文中又は特許請求の範囲中の「約」又は「ほぼ」又は「およそ」は、記載の値の±10%を意味する。
本明細書において使用される場合、本文中又は特許請求の範囲中の「室温」は、約20℃~約28℃を意味する。
「周囲温度」という用語は、約20℃~約28℃の環境温度を指す。
開示される実施形態において使用される場合、R基の記載に関連して使用される場合の「独立して」という用語は、対象のR基が、同じ又は異なる下付き文字又は上付き文字を有する別のR基に対して独立して選択されるだけでなく、その同じR基のあらゆる追加の種類に対しても独立して選択されることを示すものと理解されたい。例えば、式MR (NR(4-x)(ここで、xは、2又は3である)中、2つ又は3つのR基は、互いに又はR若しくはRと同じ場合があるが、同じである必要はない。さらに、別段の明記がない限り、R基の値は、異なる式で使用される場合、互いに独立していると理解すべきである。
「基板」という用語は、その上でプロセスが行われる1つ以上の材料を指す。基板は、その上でプロセスが行われる1つ以上の材料を有するウエハを指す場合がある。基板は、半導体、太陽電池、フラットパネル、又はLCD-TFTデバイスの製造に使用される任意の適切なウエハであってよい。基板は、その前の製造ステップからその上に既に堆積された異なる材料の1つ以上の層も有し得る。例えば、ウエハは、シリコン層(例えば結晶性、非晶質、多孔質など)、ケイ素含有層(例えばSiO、SiN、SiON、SiCOHなど)、金属含有層(例えば銅、コバルト、ルテニウム、タングステン、白金、パラジウム、ニッケル、ルテニウム、金など)、又はこれらの組み合わせを含み得る。さらに、基板は平坦であっても又はパターン化されていてもよい。基板は、有機物でパターン化されたフォトレジスト膜であってもよい。基板は、MEMS、3D NAND、MIM、DRAM、又はFeRamデバイス用途で誘電体材料として使用される酸化物の層(例えばZrOベースの材料、HfOベースの材料、TiOベースの材料、希土類酸化物ベースの材料、三元酸化物ベースの材料など)又は電極として使用される窒化物ベースの膜(例えばTaN、TiN、NbN)を含み得る。当業者は、本明細書で使用される「膜」又は「層」という用語が、表面上に配置されているか広がっている何らかの材料の厚さを指し、その表面はトレンチ又はラインであってよいことを認識するであろう。本明細書及び特許請求の範囲全体を通して、ウエハ及びその上の任意の関連する層は、基板と呼ばれる。基板は、SAMの反応性ヘッドと反応する傾向のある官能基を表面に有する任意の固体であることができ、それらとしては、限定するものではないが、3D物体又は粉末を挙げることができる。粉末基板の例としては、充電式電池技術で使用される粉末が挙げられる。非限定的な数の粉末材料としては、NMC(リチウムニッケルマンガンコバルト酸化物)、LCO(リチウムコバルト酸化物)、LFP(リン酸鉄リチウム)、及び他の電池カソード材料が挙げられる。
なお、本明細書においては、「膜」及び「層」という用語は交換可能に使用され得る。膜が層に対応するか、又は関連している場合があり、層が膜を指す場合があることが理解される。さらに、当業者は、本明細書で使用される「膜」又は「層」という用語が、表面上に配置されているか広がっている何らかの材料の厚さを指し、その表面はウエハ全体ほどの大きい大きさからトレンチ又はラインほどの小さい大きさであってよいことを認識するであろう。
「ビア」、「アパーチャ」、「トレンチ」、及び「ホール」という用語は、交換可能に使用される場合があり、一般には層間絶縁体の開口部を意味する。
本明細書で使用される場合、「NAND」という略語は、「Negated AND」又は「Not AND」ゲートを指し;「2D」という略語は、平坦基板上の2次元ゲート構造を指し;「3D」という略語は、ゲート構造が垂直方向にスタックされた3次元又は垂直ゲート構造を指す。
元素周期表からの元素の標準的な略語が本明細書で使用される。元素がこれらの略語によって言及され得ることは理解されるべきである(例えば、Siはケイ素を指し、Inはインジウムを指し、Nは窒素を指し、Oは酸素を指し、Cは炭素を指し、Hは水素を指し、Fはフッ素を指す、など)。
開示された特定の分子を識別するために、Chemical Abstract Serviceによって割り当てられた固有のCAS登録番号(すなわち「CAS」)が示される。
「ウエハ」又は「パターン化されたウエハ」という用語は、基板上のケイ素含有膜のスタックと、パターンエッチングのために形成されたケイ素含有膜のスタック上のパターン化されたハードマスク層とを有するウエハを指す。「ウエハ」又は「パターン化されたウエハ」という用語は、あるアスペクト比を有するトレンチウエハを指す場合もある。
なお、本明細書においては、「堆積温度」及び「基板温度」という用語は交換可能に使用される場合がある。基板温度は、堆積温度に対応するか、又は関連付けることができ、堆積温度は基板温度を意味し得ることが理解される。
なお、本明細書においては、「前駆体」、「前駆体化合物」、「堆積化合物」、及び「堆積ガス」という用語は、前駆体が室温及び周囲圧力で気体状態にある場合には交換可能に使用することができる。前駆体は、堆積化合物若しくは堆積ガスに対応するか、又は関連付けることができ、堆積化合物又は堆積ガスは、前駆体を意味し得ることが理解される。
開示される実施形態で使用される用語「ヒドロカルビル基」は、炭素と水素とを含む官能基を指し;「アルキル基」という用語は、炭素原子と水素原子のみを含む飽和官能基を指す。ヒドロカルビル基は飽和であっても又は不飽和であってもよい。いずれの用語も、直鎖、分岐、又は環状の基を指す。直鎖アルキル基の例としては、メチル基、エチル基、プロピル基、ブチル基などが挙げられるが、これらに限定されるものではない。分岐アルキル基の例としては、t-ブチルが挙げられるが、これに限定されるものではない。環状アルキル基の例としては、シクロプロピル基、シクロペンチル基、シクロヘキシル基などが挙げられるが、これらに限定されるものではない。
開示される実施形態で使用される場合、略語「Me」はメチル基を指し;略語「Et」はエチル基を指し;略語「Pr」はプロピル基を指し;Cpはシクロペンタジエニル配位子を指す;などである。
範囲は、本明細書において、約ある特定の値から、及び/又は約別の特定の値までとして表現される場合がある。そのような範囲が表現される場合、別の実施形態は、前記範囲内のすべての組み合わせと共に、ある特定の値から、及び/又は別の特定の値までのものであることが理解されるべきである。本明細書で列挙されているあらゆる範囲は、「すべてを含む」という用語が使用されるか否かにかかわらず、それらの終点を含む(すなわち、x=1~4又はxは1~4の範囲であるは、x=1、x=4、及びx=それらの間の任意の数を含む)。
本明細書における「一実施形態」又は「ある実施形態」への言及は、実施形態に関連して記載されている特定の特徴、構造、又は特性が、本発明の少なくとも1つの実施形態に含まれ得ることを意味する。本明細書の様々な場所における「一実施形態では」という語句の出現は、必ずしもすべてが同じ実施形態を指すわけではなく、また別の又は代替の実施形態は、必ずしも他の実施形態と相互に排他的ではない。同じことが「実施」という用語にも当てはまる。
本出願で使用される「例示的」という用語は、本明細書では、実施例、実例、又は例示として機能することを意味するために使用される。本明細書で「例示的」と記載されている態様又は設計は、必ずしも他の態様又は設計よりも好ましい又は有利であるものとして解釈されるべきではない。むしろ、例示的という言葉の使用は、具体的な形式で概念を提示することが意図されている。
さらに、「又は」という用語は、排他的「又は」ではなく、包括的な「又は」を意味することが意図されている。すなわち、別段の明記がない限り、或いは文脈から明らかでない限り、「XはA又はBを使用する」は、自然な包括的順列のいずれかを意味することが意図されている。つまり、XがAを使用する場合、XはBを使用する場合、或いはXがAとBの両方を使用する場合;前述した場合のいずれにおいても「XはA又はBを使用する」が満たされる。加えて、本出願及び添付の特許請求の範囲で使用される冠詞「1つの(a)」及び「1つの(an)」は、別段の明記がない限り、或いは文脈から単数形に関するものであることが明確でない限り、通常「1つ以上」を意味すると解釈されるべきである。
インジウム(I)前駆体、特にヘテロアルキルシクロペンタジエニルインジウム前駆体を含むインジウム(In)含有膜形成組成物、それらの合成方法、及びそれらを使用して原子層堆積(ALD)又は化学気相成長(CVD)などの蒸着法によってインジウム含有膜を堆積させる方法が開示される。
InCp*(In(CMe)はドナー溶媒の存在下でわずかに分解することから(Beachley et al.,Organometallics 1989,8,346-356を参照)、配位子上の孤立電子対を含むヘテロ原子(N、O、Sなど)は、堆積プロセス中にヘテロアルキルCp配位子の除去を促進することができる本開示のインジウム(I)前駆体に付加される。インジウム(I)は空のπ軌道と孤立電子対の両方を持つため、通常、配位する配位子の性質に応じて、インジウム(I)はルイス酸又はルイス塩基のいずれかとして機能することができる。この両親媒性は、シクロペンタジエニル配位子上に電子吸引基又は電子供与基を付加することにより、特異的な反応性を提供することができる。
開示されるIn(I)前駆体は、一般式:
In[RCpL] (I)又は
In[CpL ] (II)
(式中、Cpはシクロペンタジエニル配位子を表し;R~Rは、それぞれ独立して、H、C~Cの直鎖、分岐、又は環状のアルキルであり;L及びLは、それぞれ独立して、Cp配位子に結合しており且つSi、Ge、Sn、N、P、B、Al、Ga、In、O、S、Se、Te、F、Cl、Br、Iなどの少なくとも1つのヘテロ原子を含むアルキル鎖からなる置換基であり;x=1~4である)
を有する、ヘテロアルキル置換基を持つシクロペンタジエニル配位子を含むヘテロアルキルシクロペンタジエニルインジウム前駆体である。
一実施形態では、L及びLは、それぞれ独立して-(C-ER)基(式中、-Cは、直鎖、環状、又は分岐のアルキル鎖であり;k=0~6であり;l=0~11であり;E=Si、Ge、Sn、N、P、B、Al、Ga、In、O、S、Se、Te、F、Cl、Br、Iであり;Rは、H、C~Cの直鎖、分岐、又は環状のアルキルであり;m=1~4である)であってよい。
式(I)で示される本開示のIn(I)前駆体は、以下のヘテロアルキルシクロペンタジエニルIn(I)前駆体:
(式中、nは0~6であり、C~Cの直鎖、環状、又は分岐のアルキル鎖を表し;E=N、P、B、O、S、Fであり;R=H、C~Cの直鎖、分岐、又は環状のアルキル又はヘテロアルキルであり;m=0~4であり;R~RはそれぞれHである)を含み得る。
式(III)の例示的なIn(I)前駆体には、In[Cp(CHNMe]、In[Cp(CHNMe]、In[CpCHNMe]、In[CpCHCHMe-NMe]、In[Cp(CHMe)NMe]、In[CpNMe]、In[CpNMeEt]、In[CpNEt]、In[CpNMeiPr]、In[CpNiPr]、In[Cp(CHPMe]、In[Cp(CHPMe]、In[Cp(CHMe)PMe]、In[CpCHPMe]、In[CpPMe]、In[CpPMeEt]、In[CpPEt]、In[CpPMeiPr]、In[CpPiPr]、In[Cp(CHBMe]、In[Cp(CHBMe]、In[Cp(CHMe)BMe]、In[CpCHBMe]、In[CpBMe]、In[CpB(OMe)]、In[CpBMeEt]、In[CpBEt]、In[CpBMeiPr]、In[CpBiPr]、In[Cp(CHOMe]、In[Cp(CHOMe]、In[CpCHOMe]、In[CpOMe]、In[CpOEt]、In[CpOiPr]、In[Cp(CHSMe]、In[Cp(CHSMe]、In[CpCHSMe]、In[CpSMe]、In[CpSEt]、In[CpSiPr]などが含まれる。
或いは、式(I)で示される本開示のIn(I)前駆体は、以下のヘテロアルキルシクロペンタジエニルIn(I)前駆体:
(式中、nは0~6であり、C~Cの直鎖、環状、又は分岐のアルキル鎖を表し;E=Si、Ge、Sn、N、P、B、Al、Ga、In、O、S、Se、Te、F、Cl、Br、Iであり;R=H、C~Cの直鎖、分岐、又は環状のアルキル又はヘテロアルキルであり;m=0~4であり;R~Rはそれぞれ独立してH、C~Cの直鎖、分岐、又は環状のアルキルである)を含み得る。
式(IV)の例示的なIn(I)前駆体には、In[CpMe(CHNMe]、In[CpMe(CHNMe]、In[CpMeCHCHMe-NMe]、In[CpMe(CHMe)NMe]、In[CpMeCHNMe]、In[CpMeNMe]、In[CpMeNMeEt]、In[CpMeNEt]、In[CpMeNMeiPr]、In[CpMeNiPr]、In[CpMe(CHPMe]、In[CpMe(CHPMe]、In[CpMe(CHMe)PMe]、In[CpMeCHPMe]、In[CpMePMe]、In[CpMePMeEt]、In[CpMePEt]、In[CpMePMeiPr]、In[CpMePiPr]、In[CpMe(CHBMe]、In[CpMe(CHBMe]、In[CpMe(CHMe)BMe]、In[CpMeCHBMe]、In[CpMeBMe]、In[CpMeB(OMe)]、In[CpMeBMeEt]、In[CpMeBEt]、In[CpMeBMeiPr]、In[CpMeBiPr]、In[CpMe(CHOMe]、In[CpMe(CHOMe]、In[CpMeCHOMe]、In[CpMeOMe]、In[CpMeOEt]、In[CpMeOiPr]、In[CpMe(CHSMe]、In[CpMe(CHSMe]、In[CpMeCHSMe]、In[CpMeSMe]、In[CpMeSEt]、In[CpMeSiPr]などが含まれる。
或いは、式(II)で示される本開示のIn(I)前駆体は、LとLがシクロペンタジエニルに結合した同じ置換基である以下のヘテロアルキルシクロペンタジエニルIn(I)前駆体:
(式中、nは0~6であり、C~Cの直鎖、環状、又は分岐のアルキル鎖を表し;E=Si、Ge、Sn、N、P、B、Al、Ga、In、O、S、Se、Te、F、Cl、Br、Iであり;R=H、C~Cの直鎖、分岐、又は環状のアルキル又はヘテロアルキルであり;m=0~4であり;y=1~4である)を含み得る。
(V)で示される例示的なIn(I)前駆体には、In(Cp(NMe)、In(Cp(CHNMe)、In(MeCp(NMe)、In(MeCp(CHNMe)、In(iPrCp(NMe)、In(iPrCp(CHNMe)などが含まれる。
或いは、式(II)で示される本開示のIn(I)前駆体は、LとLがシクロペンタジエニルに結合した異なる置換基である以下のヘテロアルキルシクロペンタジエニルIn(I)前駆体:
(式中、n及びn’は、それぞれ独立して0~6であり、C~Cの直鎖、環状、又は分岐のアルキル鎖を表し;E及び’E’は、それぞれ独立して、Si、Ge、Sn、N、P、B、Al、Ga、In、O、S、Se、Te、F、Cl、Br、Iであり;R=H、C~Cの直鎖、分岐、又は環状のアルキル又はヘテロアルキルであり;m=0~4であり;y=1~4である)を含み得る。
(VI)で示される例示的なIn(I)前駆体には、In(Cp(NMe(NEt))、In(Cp(NEt)(CHNMe)、In(MeCp(NMe(NEt))、In(MeCp(NEt)(CHNMe)、In(iPrCp(NEt)(NMe)、In(iPrCp(NEt)(CHNMe)、In(Cp(NMe)(BMe))などが含まれる。
開示されるIn(I)前駆体及び開示される膜形成組成物は、対応する元素含有膜の堆積及び対応する元素含有層の堆積のためのその関連する使用に適している。
開示されるIn(I)前駆体及び開示される膜形成組成物は、酸化インジウム、InSnO(ITO)、InGaZnO(IGZO)、InN、InP、InAs、InSb、Inなどのエレクトロニクス分野で使用されるインジウム含有薄膜の形成に適している。開示されるIn(I)前駆体及び開示される膜形成組成物は、ディスプレイ、太陽光燃料、高速エレクトロニクス(InN)、光電子部品、高速エレクトロニクス、太陽電池(InP)、赤外線検出器、ダイオードレーザー(InAs)、高速トランジスタ、磁場、熱画像検出器(InSb)、光電子デバイス、光電気化学的水分解(In)、LED用途におけるインジウムスズ酸化物(Indium Gallium Zinc Oxide(IGZO))の製造、太陽電池及び光学用途、ロジック及びメモリ産業、半導体などにおけるセレン化銅インジウムガリウム(CIGS)の製造などに有用である。
インジウム含有膜を形成するためのプロセス、及び開示されるIn(I)前駆体を使用してALD、CVD、又は他の堆積法によって酸素化又は無酸素インジウム含有膜を形成する方法も開示される。開示されるプロセスは堆積プロセスであり、このプロセスでは、開示されるIn(I)前駆体が使用され、これは、同時に及び/又は逐次的に反応器の中に導入される1種以上の酸化剤(例えば、OとO、又はHOとO)と組み合わせて、若しくは酸化剤なしで、又は1種以上の還元剤若しくは窒化剤(例えばHとNH、NとNH、又はNHとN)と組み合わせて、ALD、CVD、スピンオン、スプレー、ディップコーティング、スリットコーティング、又は任意の他の堆積技術で膜を堆積するために反応チャンバーに導入されて膜を形成する。開示されるIn(I)前駆体を使用する開示される堆積プロセスは、加熱、光、直接若しくは遠隔プラズマ、又はそれらの組み合わせによって支援され得る。
より具体的には、開示されるIn(I)前駆体に加えて、反応物又は共反応物も反応チャンバーに導入され得る。共反応物は、酸素含有ガス又はオキシダント又は酸化剤であってよい。酸素含有ガスとしては、限定するものではないが、O、O、HO、H、NO、NO、NO、Oラジカル、アルコール、シラノール、アミノアルコール、カルボン酸、パラホルムアルデヒド、及びそれらの組み合わせなどの酸化剤が挙げられる。好ましくは、酸素含有ガスはO又はOである。或いは、共反応物は、窒素含有ガス又は還元ガス又は還元剤であってもよい。窒素含有ガスとしては、限定するものではないが、NH、N、H、N/H、HとNH、NとNH、NHとN、NO、NO、アミン、ジアミン、シアニド、ジイミン、ヒドラジン、有機アミン、ピラゾリン、ピリジン、;メチルアミン、エチルアミン、tertブチルアミンなどの一級アミン;ジメチルアミン、ジエチルアミン、ジイソプロピルアミン、エチルメチルアミン、ピロリジンなどの二級アミン;トリメチルアミン、トリエチルアミン、トリシリルアミンなどの三級アミン;又はそれらの混合物が挙げられ、好ましくはNHである。共反応物は、系内又は遠隔のいずれかで、プラズマによって活性化することができる。N又はN/Hについては、プラズマ活性化が必要とされる。共反応物は、NH、NO、NO、ヒドラジン、Nプラズマ、N/Hプラズマ、アミン類、及びそれらの組み合わせから選択することができる。出願人らは、基板温度が250℃未満である場合に、Nプラズマが適切な共反応物である場合があることを見出した。
目的が導電膜である場合、共反応物は、H、HCO、N、NH、一級アミン、二級アミン、三級アミン、トリシリルアミン、それらのラジカル、及びそれらの混合物とすることができる。好ましくは、共反応物はH又はNHである。或いは、目的が誘電性膜である場合、共反応物は、O、O、HO、H、NO、NO、NO、酸素含有ラジカル(O-OH-など)、カルボン酸、ギ酸、酢酸、プロピオン酸、及びそれらの混合物のうちの1つなどの酸化性ガスとすることができる。好ましくは、酸化性ガスは、O、H、HOからなる群から選択される。
さらに、反応物をそのラジカル形態へと分解するために、共反応物をプラズマによって処理することができ、プラズマで処理したときに、H、N、及びOのうちの少なくとも1つを、それぞれ水素、窒素、又は酸素の供給源ガスとして利用することができる。プラズマ源は、Nプラズマ、N/Heプラズマ、N/Arプラズマ、NHプラズマ、NH/Heプラズマ、NH/ARプラズマ、Heプラズマ、Arプラズマ、Hプラズマ、H/Heプラズマ、H/有機アミンプラズマ、及びそれらの混合物であってよい。例えば、プラズマは、約10W~約1000W、好ましくは約50W~約500Wの範囲の電力で生成することができる。プラズマは、反応器自体の内部で生成されて存在することができる。或いは、プラズマは、例えば遠隔に配置されたプラズマシステムなど、通常反応器から離れた位置にあってもよい。当業者は、そのようなプラズマ処理に適した方法及び装置を認識するであろう。
例えば、反応チャンバー中でプラズマが発生する直接プラズマ反応器中に共反応物を導入して、反応チャンバー中でプラズマ処理された反応物を生成することができる。例示的な直接プラズマ反応器としては、Trion Technologiesによって製造されるTitan(商標)PECVD Systemが挙げられる。共反応物は、プラズマ処理前に反応チャンバー中に導入し維持することができる。或いは、反応物の導入と同時にプラズマ処理が行われてもよい。系内プラズマは、典型的には、シャワーヘッドと基板ホルダーとの間で発生する13.56MHzのRF誘導結合プラズマである。基板とシャワーヘッドは、陽イオン衝突が起こるかどうかに応じて、電力が印加された電極になることができる。系内プラズマ発生器における典型的な印加電力は、約30W~約1000Wである。好ましくは、開示される方法において約30W~約600Wの電力が使用される。より好ましくは、電力は、約100W~約500Wの範囲である。系内プラズマを用いた共反応物の解離は、同じ電力入力の遠隔プラズマ源を用いて実現される場合よりも典型的には少なく、したがって遠隔プラズマ系ほど反応物の解離が効率的ではなく、プラズマによって容易にダメージを受ける基板上での膜の堆積に有益である場合がある。
代わりに、プラズマ処理された共反応物は、反応チャンバーの外部で生成することができ、例えば反応チャンバー内を通過する前に共反応物を処理するための遠隔プラズマである。
蒸着プロセスを使用して基板上にインジウム(I)含有層を形成する方法も開示される。出願人らは、開示される膜形成組成物がALDに適していると考えている。より具体的には、開示される膜形成組成物は、表面飽和、1サイクル当たりの自己限定的成長、及び約2:1~約200:1、好ましくは約20:1~約100:1の範囲のアスペクト比での完全なステップカバレージが可能である。加えて、開示される膜形成組成物は、ALDを可能にするための良好な熱安定性を示す高い分解温度を有する。高い分解温度は、より高い純度の膜をもたらすより高い温度(例えば約600℃)でのALDを可能にする。開示される方法は、半導体、太陽電池、LCD-TFT、フラットパネルタイプのデバイスの製造に有用であることができる。
開示される膜形成組成物は、当業者に公知の任意の堆積方法を使用して膜を堆積するために使用することができる。適切な蒸着法の例としては、CVD及びALDが挙げられる。例示的なCVD方法としては、熱CVD、プラズマ支援CVD(PECVD)、パルスCVD(PCVD)、低圧CVD(LPCVD)、準大気圧CVD(SACVD)、大気圧CVD(APCVD)、ホットワイヤーCVD(HWCVD、cat-CVDとしても知られ、ホットワイヤーが堆積プロセスのエネルギー源として機能する)、ラジカル組み込みCVD、及びそれらの組み合わせが挙げられる。例示的なALD方法としては、熱ALD、プラズマ支援ALD(PEALD)、空間ALD、ホットワイヤーALD(HWALD)、ラジカル組み込みALD、及びそれらの組み合わせが挙げられる。超臨界流体堆積も使用され得る。堆積方法は、好ましくは、適切なステップカバレージ及び膜厚制御を得るために、ALD、PE-ALD、又は空間ALDである。
開示される膜形成組成物の純度は、95%w/w超(すなわち95.0%w/w~100.0%w/w)、好ましくは98%w/w超(すなわち98.0%w/w~100.0%w/w)、より好ましくは99%w/w超(すなわち99.0%w/w~100.0%w/w)である。当業者は、純度がH NMR又は質量分析付きの気液クロマトグラフィーによって決定され得ることを認識するであろう。開示される膜形成組成物は、次の不純物:ピラゾール;ピリジン;アルキルアミン;アルキルイミン;THF;エーテル;ペンタン;シクロヘキサン;ヘプタン;ベンゼン;トルエン;塩素化金属化合物;リチウム、ナトリウム、若しくはカリウムピラゾリルのいずれかを含有し得る。これらの不純物の合計量は、好ましくは5%w/w未満(すなわち0.0%w/w~5.0%w/w)、好ましくは2%w/w未満(すなわち0.0%w/w~2.0%w/w)、より好ましくは1%w/w未満(すなわち0.0%w/w~1.0%w/w)である。開示される膜形成組成物は、再結晶、昇華、蒸留、及び/又は4Aモレキュラーシーブなどの適切な吸着材にガスや液体を通すことによって精製され得る。
開示される膜形成組成物の精製はまた、0ppbw~1ppmw、好ましくは0~500ppbw(十億重量当たりの部)レベルでの金属不純物をもたらし得る。これらの金属不純物としては、アルミニウム(Al)、ヒ素(As)、バリウム(Ba)、ベリリウム(Be)、ビスマス(Bi)、カドミウム(Cd)、カルシウム(Ca)、クロム(Cr)、コバルト(Co)、銅(Cu)、ガリウム(Ga)、ゲルマニウム(Ge)、ハフニウム(Hf)、ジルコニウム(Zr)、鉄(Fe)、鉛(Pb)、リチウム(Li)、マグネシウム(Mg)、マンガン(Mn)、タングステン(W)、ニッケル(Ni)、カリウム(K)、ナトリウム(Na)、ストロンチウム(Sr)、トリウム(Th)、スズ(Sn)、チタン(Ti)、ウラン(U)及び亜鉛(Zn)が挙げられ得るが、これらに限定されるものではない。
開示される膜形成組成物は、ニート形態又はエチルベンゼン、キシレン、メシチレン、デカリン、デカン、ドデカンなどの適切な溶媒とのブレンド物のいずれかで供給され得る。開示される前駆体は、溶媒中に様々な濃度で存在し得る。
ニートの混合された膜形成組成物は、配管及び/又は流量計などの従来手段によって蒸気形態で反応器中に導入される。従来の気化ステップ、例えば直接気化、蒸留、バブリングにより、又は昇華器を用いることにより、ニートの又は混合された組成物を気化させることによって蒸気形態を得ることができる。反応器中に導入する前に気化させる場合、ニートの又は混合された組成物を液体状態で蒸発器に供給することができる。代わりに、組成物を収容する容器中にキャリアガスを流すことにより、キャリアガスを組成物中にバブリングすることにより、ニートの又は混合された組成物を気化させることができる。キャリアガスとしては、Ar、He、N及びそれらの混合物を挙げることができるが、これらに限定されるものではない。キャリアガスのバブリングにより、ニートの又は混合された組成物溶液中に存在するあらゆる溶存酸素を除去することもできる。キャリアガスと組成物とは、次に蒸気として反応器中に導入される。
必要に応じて、組成物がその液相にあることができ、十分な蒸気圧を有することができる温度まで、開示される膜形成組成物を収容する容器を加熱することができる。容器は、例えば、約0℃~約200℃の範囲内の温度に維持することができる。当業者は、気化させる前駆体の量を制御するために周知の方法で容器の温度を調節できることを認識する。
反応器は、限定するものではないが、化合物を反応させ、層を形成させるのに適切な条件下において、平行板型反応器、コールドウォール型反応器、ホットウォール型反応器、枚葉式反応器、多葉式反応器、他のタイプの堆積システムなどの装置内で堆積方法が行われるあらゆるエンクロージャーチャンバーであり得る。当業者は、これらの反応器のいずれかがALD又はCVD堆積プロセスのいずれかのために使用され得ることを認めるであろう。
反応器には、膜がその上に堆積される1つ以上の基板が収容される。基板は、プロセスが行われる材料として一般に定義される。基板は、半導体、光起電力、フラットパネル、LCD-TFTのデバイスの製造に使用されるあらゆる適切な基板であり得る。適切な基板の例としては、シリコン、シリカ、ガラスなどのウエハ、GaAsウエハが挙げられる。ウエハは、前の製造ステップでその上に堆積された異なる材料の1つ以上の層を有し得る。例えば、ウエハは、誘電体層を含み得る。さらに、ウエハは、シリコン層(結晶性、非晶質、多孔質など)、酸化ケイ素層、窒化ケイ素層、酸窒化ケイ素層、炭素ドープ酸化ケイ素(SiCOH)層、金属、金属酸化物金属窒化物層(Ti、Ru、Taなど)及びそれらの組み合わせを含み得る。さらに、ウエハは、銅層、貴金属層(例えば、白金、パラジウム、ロジウム、金)を含み得る。ウエハは、マンガン、酸化マンガンなどの障壁層を含み得る。ポリ(3,4-エチレンジオキシチオフェン)ポリ(スチレンスルホネート)[PEDOT:PSS]などのプラスチック層も使用され得る。層は、平面である場合もパターン化される場合もある。開示される方法により、ウエハの上に直接又はパターン化された層が基板上に形成される場合、ウエハの上面上の1つ若しくは複数の層の上に直接、層を堆積することができる。パターン化された層は、3D NANDで使用されるInとZrOなどの2つの特定の層の交互の層であってもよい。さらに、当業者であれば、本明細書において使用される「膜」、「層」という用語は、表面上に配置され、広げられるある厚さのある材料を意味し、この表面は、トレンチ、線であり得ることを認識するであろう。本明細書及び請求項の全体にわたって、ウエハ及びその上の任意の関連する層が基板と呼ばれる。例えば、酸化インジウム膜は、ZrO層、HfO層、MoO層などの金属酸化物層上に堆積され得る。
基板の最終用途は本発明に限定されないが、この技術は、以下のタイプの基板で特に利点を見出すことができる:シリコンウエハ、ガラスウエハ及びパネル、ビーズ、粉末及びナノ粉末、モノリシック多孔質媒体、プリント回路基板、プラスチックシートなど。例示的な粉末基板としては、充電式電池技術で使用される粉末が挙げられる。非限定的な数の粉末材料としては、NMC(リチウムニッケルマンガンコバルト酸化物)、LCO(リチウムコバルト酸化物)、LFP(リン酸鉄リチウム)、及び他の電池カソード材料が挙げられる。
反応器内の温度及び圧力は、ALD及びCVDなどの蒸着に適した条件に保持される。換言すると、気化した開示される膜形成組成物をチャンバー中に導入した後、チャンバー内の条件は、前駆体の一部が基板上に堆積して層を形成するような条件である。例えば、反応器内の圧力又は堆積圧力は、堆積パラメーターに準拠して必要に応じて約10-3Torr~約100Torr、より好ましくは約10-2Torr~約100Torrに維持することができる。同様に、反応器内の温度又は堆積温度は、約100℃~約600℃、好ましくは約100℃~約500℃に維持することができる。当業者であれば、「前駆体の少なくとも一部が堆積する」とは、前駆体の一部又はすべてが基板と反応するか又は基板に付着することを意味することを認識するであろう。
反応器の温度は、基板ホルダーの温度の制御又は反応器壁の温度の制御のいずれかによって制御することができる。基板の加熱に使用される装置は、当技術分野において周知である。反応器壁は、十分な成長速度において、所望の物理的状態及び組成を有する所望の膜を得るのに十分な温度に加熱される。反応器壁を加熱できる非限定的で代表的な温度範囲としては、約50℃~約600℃を挙げることができる。プラズマ堆積プロセスが使用される場合、堆積温度は、約100℃~約500℃の範囲であり得る。代わりに、熱プロセスが行われる場合、堆積温度は、約100℃~約600℃の範囲であり得る。
ALD条件は、基板表面上に吸着された又は化学吸着された開示される膜形成組成物を反応させ、基板上に膜を形成させる。幾つかの実施形態では、本出願人らは、共反応物のプラズマ処理により、開示される膜形成組成物との反応に必要なエネルギーを有する共反応物を得ることができると考えている。この例示的なALDプロセスにおいて共反応物がプラズマで処理される場合、例示的なALDプロセスは例示的なPEALDプロセスになる。共反応物は、チャンバー内への導入の前後にプラズマで処理することができる。
膜形成組成物及び共反応物は、逐次反応器中に導入され得る(ALD)。反応器は、膜形成組成物、任意の追加の前駆体及び共反応物のそれぞれの導入間に不活性ガスでパージされ得る。別の例は、膜形成組成物と非活性化共反応物とがチャンバー温度及び圧力条件で実質的に反応しないという条件で、共反応物をプラズマで逐次活性化しながら、共反応物を連続的に導入すること及び膜形成組成物をパルスで導入することである(CW PEALD)。
開示される膜形成組成物のそれぞれのパルスは、約0.01秒~約120秒、代わりに約1秒~約80秒、代わりに約5秒~約30秒の範囲の時間にわたって続くことができる。共反応物もパルスで反応器中に送ることができる。このような実施形態では、それぞれのパルスは、約0.01秒~約120秒、代わりに約1秒~約30秒、代わりに約2秒~約20秒の範囲の期間にわたって続くことができる。別の代替形態では、気化した膜形成組成物及び共反応物は、数枚のウエハを保持するサセプターがその下で回転するシャワーヘッドの異なるセクターから(組成物と反応物との混合なしに)同時に噴霧され得る(空間ALD)。
特定のプロセスパラメーターに応じて、堆積は、種々の長さの時間にわたって行われ得る。一般に、堆積は、必要な性質を有する膜を生成するために所望の必要な長さで続けられ得る。典型的な膜厚は、具体的な堆積プロセスに応じて、数オングストローム~数百ミクロン、典型的には2nm~100nmで変動し得る。堆積プロセスは、所望の膜を得るために必要な回数でも行われ得る。
開示される膜形成組成物及び共反応物は、同時に(CVD)、逐次的に(ALD)、又はそれらの異なる組み合わせのいずれかで反応器に導入することができる。反応器は、膜形成組成物の導入と共反応物の導入との間に不活性ガス(例えばN、Ar、Kr、Xe)でパージされてもよい。或いは、共反応物と膜形成組成物を一緒に混合して共反応物/化合物混合物を形成し、その後混合物の形態で反応器に導入することができる。別の例は、共反応物を連続的に導入し、開示される膜形成組成物をパルス(パルスCVD)によって導入することである。
インジウム含有膜を形成する非限定的で代表的なALD型プロセスにおいて、気相の開示される膜形成組成物、例えば、In(CpPiPr)が反応器中に導入され、反応器において、それは、適切な基板と接触する。過剰の組成物は、次に、反応器をパージ及び/又は排気することによって、すなわち、不活性ガス(例えばN、Ar、Kr、Xe)で反応器をパージすること又は高真空及び/若しくはキャリアガスカーテン下の区域で基板を通過させることによって反応器から除去することができる。共反応物(例えば、O)が反応器中に導入され、反応器において、それは、吸着された膜形成組成物と自己限定的に反応する。いかなる過剰の共反応物も、反応器をパージすること及び/又は排気することによって反応器から除去される。所望の膜が酸化物、例えば、Inである場合、この2ステッププロセスは、所望の膜厚を提供し得、必要な厚さを有する膜が得られるまで繰り返され得る。インジウム膜形成組成物と共反応物とを交互に供給することによって、目的の組成及び厚さの膜を堆積させることができる。
代わりに、所望の膜がインジウムと第2の元素とを含む場合、前述の2ステッププロセス後、第2の元素を含む追加の前駆体化合物の蒸気を反応器中に導入することができる(3ステッププロセス)。追加の前駆体化合物は、堆積される膜の性質に基づいて選択される。第2の元素は、P、N、S、Ga、As、B、Ta、Hf、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、Co、Zn、1種以上のランタニド、又はそれらの組み合わせを含み得る。追加の前駆体化合物が利用される場合、基板上に堆積される得られる膜は、追加の元素と組み合わせてインジウムを含む。追加の前駆体及びIn(I)前駆体が2つ以上のALDスーパーサイクルシーケンスで使用される場合には、ナノラミネート膜が得られる。反応器中への導入後、追加の前駆体化合物は、基板と接触し又は吸着される。その後、いかなる過剰の追加の前駆体化合物も反応器のパージ及び/又は排気によって反応器から除去される。再び、共反応物を反応器中に導入してインジウム前駆体化合物と反応させることができる。過剰の共反応物は、反応器のパージ及び/又は排気によって反応器から除去される。所望の膜厚が実現されれば、プロセスを終了することができる。しかし、より厚い膜が望まれる場合、この3ステッププロセス全体を繰り返すことができる。インジウム膜形成組成物、追加の前駆体化合物及び共反応物の供給を交替で行うことにより、所望の組成及び厚さの膜を堆積することができる。
或いは、交互の層のスタックを形成する非限定的な例示的なALDプロセスでは、In(CpPiPr)などの開示される膜形成組成物の1つの蒸気相が反応器に導入され、そこでこれは吸着膜を形成する基板と接触又は吸着する。次いで、不活性ガスでパージすること及び/又は反応器を排気することによって、過剰な組成物を反応器から除去することができる。その後、共反応物(例えばO)が反応器に導入され、そこでこれは吸着された膜形成組成物と自己制限的に反応して、Inなどの酸化物膜を形成する。次に、不活性ガスでパージすること及び/又は反応器を排気することによって、過剰なOガスが反応器から除去される。これらの2つのステップは、酸化膜Inが望まれる厚さ、典型的には約10オングストロームの厚さになるまで繰り返すことができる。次に、酸化膜In上にZrOなどの別の層を堆積させることができる。例えば、ZrCp(NMeをZr前駆体として機能させることができる。Inの上にZrOの層を形成するために共反応物を使用することができる。次いで、In(CpPiPr)及びOを使用する上述した非限定的な例示的なALDプロセスを、ZrO層の上で繰り返すことができる。4段階プロセス全体(例えば、インジウム前駆体/O含有共反応物/第2の前駆体/O含有共反応物)を繰り返すことによって、In/ZrOの交互の層のスタックを形成することができる。インジウム膜形成組成物、共反応物、追加の前駆体化合物、及び共反応物を交互に供給することによって、望まれる組成及び厚さの膜を堆積させることができる。得られるIn/ZrOスタックは、DRAMコンデンサにおいて使用することができる。
上述したプロセスから得られるインジウム含有膜は、酸化インジウム、InSnO(ITO)、InGaZnO(IGZO)、InN、InP、InAs、InSb、Inを含むことができる。当業者は、適切な膜形成組成物及び共反応物の選択により、所望の膜組成が得られ得ることを認めるであろう。インジウム含有膜は、DRAM中のキャパシタ電極、3Dフラッシュメモリデバイス中のゲート金属、相変化メモリ中の加熱素子、論理デバイス中のエレクトロマイグレーション障壁層、ゲート金属及び接触層に適切なステップカバレージを提供し得る。
所望の膜厚を得た後、膜は、熱アニール、炉内アニール、高速熱アニール、UV、eビーム硬化及び/又はプラズマガス曝露などの更なる処理を行うことができる。当業者であれば、これらの更なる処理ステップを行うために用いられるシステム及び方法を認識するであろう。例えば、In膜は、不活性雰囲気、又はO含有雰囲気、それらの組み合わせの下で、約200℃~約1000℃の範囲の温度に0.1秒~約7200秒の範囲の時間にわたって曝露することができる。最も好ましくは、不活性雰囲気下又はO含有雰囲気下において、温度は、400℃で3600秒である。結果として得られる膜は、より少ない不純物を含むことができ、したがって密度を改善して漏れ電流を改善することができる。アニールステップは、堆積が行われる反応チャンバーと同じ反応チャンバー中で行うことができるか、又は別の装置中で行うことができる。上記のいずれかの後処理方法、特に熱アニールは、In膜の炭素及び窒素による汚染の軽減に有効であることが分かっている。これにより、したがって膜の抵抗率が改善される傾向にある。
アニーリング後、開示されるプロセスのいずれかによって堆積されたインジウム含有膜は、約50μohm.cm~約1,000μohm.cmの室温でのバルク抵抗率を有し得る。室温は、季節に応じて約20℃~約28℃である。バルク抵抗率は、体積抵抗率としても知られる。当業者は、バルク抵抗率が典型的には約50nm厚さである膜に関して室温で測定されることを認めるであろう。バルク抵抗率は、典型的には、電子輸送メカニズムの変化のためにより薄い膜について増加する。バルク抵抗率は、より高い温度でも増加する。
以下の非限定的な実施例は、本発明の実施形態を更に例示するために提供される。しかし、これらの実施例は、すべてを含むことを意図するものではなく、本明細書において記載される本発明の範囲を限定することを意図するものではない。
実施例1:In(Cp(CHNMe)の合成
EtO(50mL)中のLiCp(CHNMe(11mmol)が入っているシュレンクフラスコに、InCl(1当量)を入れた。反応混合物を室温で一晩撹拌した。反応混合物を濾過した後、溶媒を減圧留去して赤色オイルを得た。蒸留後、黄色液体の最終生成物を回収した(mp約5℃)。最終生成物に対して様々な測定を行った。H NMR(C,400MHz):δ5.94(t,2H,Cp-H),5.82(t,2H,Cp-H),2.52(t,2H,N-CH-),2.21(t,2H,Cp-CH-),2.09(s,6H,N(CH,1.68(q,2H,C-CH-C)。熱重量(TG)測定は、以下の測定条件で行った:試料重量:22.35mg、雰囲気:1atmのN、昇温速度:10.0℃/分。化合物質量の97.2%が250℃までに蒸発した。(残留物<2.8%)。T(50%)=208℃。真空TG測定は、供給条件下で、以下の測定条件で行った:試料重量:5.46mg、雰囲気:20mbarのN、昇温速度:10.0℃/分。TG測定は、反応器への供給条件(約20mbar)で行った。試料質量の50%が111℃で蒸発する。
実施例2:In(CpPiPr)の合成
Li(CpPiPr)から出発して実施例1と同様の手順でIn(CpPiPr)を合成した。オレンジ色の液体が得られた。H NMR(C,400MHz):δ6.17(t,2H,Cp-H),5.99(t,2H,Cp-H),1.91(sept,2H,P-CH-),1.20-1.00(m,12H,C-CH)。
仮想実施例1:In(Cp(CHNMe)を使用する堆積
実施例1で合成したIn(Cp(CHNMe)をインジウム前駆体として、HOとOを反応ガスとして使用して、以下の堆積条件でALD法により基板上に酸化インジウム膜を形成することができる。最初のステップでは、In(Cp(CHNMe)が充填されたシリンダーが90℃に加熱され、100sccmのNガスでバブリングされ、In(Cp(CHNMe)が反応チャンバーに導入される(パルスA)。次のステップでは、オゾン発生器により生成したOに50sccmのNガスが供給され、反応チャンバーに導入される(パルスB)。各ステップに続いて、200sccmのNをパージガスとして使用する4秒間のパージステップが反応チャンバーに対して行われた。約1torrの圧力の反応チャンバー内で150℃の基板温度を有するSi基板に対して200サイクルが実行された。その結果、約150℃で酸化インジウム膜が得られる。
仮想実施例2:In(CpPiPr)を使用する堆積
実施例2で合成したIn(CpPiPr)をインジウム前駆体として、HOとOを反応ガスとして使用して、以下の堆積条件でALD法により基板上に酸化インジウム膜を形成することができる。最初のステップでは、In(CpPiPr)が充填されたシリンダーが90℃に加熱され、100sccmのNガスでバブリングされ、In(CpPiPr)が反応チャンバーに導入される(パルスA)。次のステップでは、オゾン発生器により生成したOに50sccmのNガスが供給され、反応チャンバーに導入される(パルスB)。各ステップに続いて、200sccmのNをパージガスとして使用する4秒間のパージステップが反応チャンバーに対して行われた。約1torrの圧力のALDチャンバー内で150℃の基板温度を有するSi基板に対して200サイクルが実行された。その結果、150℃で酸化インジウムが得られた。
仮想実施例3:In(CpNMe)の合成とIn(CpNMe)を使用する堆積
EtO(50mL)中のLiCpNMeが入っているシュレンクフラスコに、InCl(1当量)を入れた。反応混合物を室温で一晩撹拌した。反応混合物を濾過した後、溶媒を減圧留去することで目的化合物を得た。
反応ガスとしてHO及び/又はOの組み合わせで合成されたIn(CpNMe)を使用して、以下の堆積条件でALD法により基板上に酸化インジウム膜を形成することができる。最初のステップでは、LiCpNMeが充填されたシリンダーが90℃に加熱され、100sccmのNガスでバブリングされ、LiCpNMeが反応チャンバーに導入される(パルスA)。次のステップでは、オゾン発生器により生成したOに50sccmのNガスが供給され、反応チャンバーに導入される(パルスB)。各ステップに続いて、200sccmのNをパージガスとして使用する4秒間のパージステップが反応チャンバーに対して行われた。約1torrの圧力のALDチャンバー内で150℃の基板温度を有するSi基板に対して200サイクルが実行された。その結果、150℃で酸化インジウムが得られた。
本明細書で説明される主題は、ユーザ対話型コンポーネントを有するコンピューティングアプリケーションのための1つ以上のコンピューティングアプリケーション機能/動作を処理するための例示的な実装との関係で説明され得るが、主題はこれらの特定の実施形態に限定されない。むしろ、本明細書に記載の技術は、任意の適切なタイプのユーザ対話型コンポーネント実行管理方法、システム、プラットフォーム、及び/又は装置に適用することができる。
本発明の性質を説明するために本明細書に記載され例示された詳細、材料、ステップ及び部品の配置の多くの更なる変更形態は、添付の請求項に示される本発明の原理及び範囲から逸脱せずに当業者によってなされ得ることを理解されたい。したがって、本発明は、前述の実施例及び/又は添付の図面中の特定の実施形態に限定されることを意図するものでない。
本発明の実施形態が示されており、説明されているが、本発明の趣旨又は教示から逸脱することなしに、これらの修正が当業者によって行われ得る。本明細書に記載の実施形態は、例示にすぎず、限定ではない。組成物及び方法の多くの変形及び修正が可能であり、それらは本発明の範囲内である。したがって、保護の範囲は本明細書に記載の実施形態に限定されず、特許請求の範囲の主題のすべての均等物を含むことになる特許請求の範囲によってのみ限定される。

Claims (15)

  1. インジウム含有膜を形成する方法であって、
    一般式:
    In[RCpL]又はIn[CpL
    (式中、Cpはシクロペンタジエニル配位子を表し;R~Rは、それぞれ独立して、H、C~Cの直鎖、分岐、又は環状のアルキルであり;L及びLは、それぞれ独立して、Cp配位子に結合しており且つSi、Ge、Sn、N、P、B、Al、Ga、In、O、S、Se、Te、F、Cl、Br、Iなどの少なくとも1つのヘテロ原子を含むアルキル鎖からなる置換基であり;y=1~4である)
    を有するヘテロアルキルシクロペンタジエニルインジウム(I)前駆体を含有する膜形成組成物の蒸気に基板を曝露するステップ、及び
    蒸着法を使用して前記ヘテロアルキルシクロペンタジエニルインジウム(I)前駆体の少なくとも一部を前記基板上に堆積させて、前記インジウム含有膜を形成するステップ、
    を含む方法。
  2. 及びLが、それぞれ独立して-(C-ER)基(式中、-Cは、直鎖、環状、又は分岐のアルキル鎖であり;k=0~6であり;l=0~11であり;E=Si、Ge、Sn、N、P、B、Al、Ga、In、O、S、Se、Te、F、Cl、Br、Iであり;Rは、H、C~Cの直鎖、環状、又は分岐のアルキルである)である、請求項1に記載の方法。
  3. 前記ヘテロアルキルシクロペンタジエニルインジウム(I)前駆体が、
    (式中、n及びn’はそれぞれ独立して0~6であり、C~Cの直鎖、環状、又は分岐のアルキル鎖を表し;E及びE’は、それぞれ独立して、Si、Ge、Sn、N、P、B、Al、Ga、In、O、S、Se、Te、F、Cl、Br、Iであり;R=H、C~Cの直鎖、分岐、又は環状のアルキル又はヘテロアルキルであり;R~Rは、独立してH、C~Cの直鎖、分岐、又は環状のアルキルであり;m=0~4であり;y=1~4である)
    からなる群から選択される、請求項1に記載の方法。
  4. 前記ヘテロアルキルシクロペンタジエニルインジウム(I)前駆体がIn(Cp(CHNMe)である、請求項1に記載の方法。
  5. 前記ヘテロアルキルシクロペンタジエニルインジウム(I)前駆体がIn(CpPiPr)である、請求項1に記載の方法。
  6. 反応器に共反応物を供給するステップをさらに含む、請求項1~5のいずれか一項に記載の方法。
  7. 前記共反応物が、O、O、HO、H、NO、NO、NO、Oラジカル、アルコール、シラノール、アミノアルコール、カルボン酸、パラホルムアルデヒド、又はそれらの混合物から選択される酸化剤である、請求項6に記載の方法。
  8. 前記共反応物が、NH、N、H、N/H、HとNH、NとNH、NHとN、NO、NO、アミン、ジアミン、シアニド、ジイミン、ヒドラジン、有機アミン、ピラゾリン、ピリジン;メチルアミン、エチルアミン、tertブチルアミンなどの一級アミン;ジメチルアミン、ジエチルアミン、ジイソプロピルアミン、エチルメチルアミン、ピロリジンなどの二級アミン;トリメチルアミン、トリエチルアミン、トリシリルアミンなどの三級アミン;又はそれらの混合物から選択される窒素含有還元剤である、請求項6に記載の方法。
  9. 前記インジウム含有膜が、In、InSnO(ITO)、InGaZnO(IGZO)、InN、InP、InAs、InSb、又はInである、請求項1~5のいずれか一項に記載の方法。
  10. 前記インジウム含有膜が、P、N、S、Ga、As、B、Ta、Hf、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、Co、Zn、1つ以上のランタニド、又はそれらの組み合わせから選択される第2の元素を含む、請求項1~5のいずれか一項に記載の方法。
  11. 前記基板が、NMC(リチウムニッケルマンガンコバルト酸化物)、LCO(リチウムコバルト酸化物)、LFP(リン酸鉄リチウム)、及び他の電池カソード材料のうちの1つ以上を含む粉末である、請求項1~5のいずれか一項に記載の方法。
  12. 前記蒸着法がALD、CVD、又はそれらの組み合わせである、請求項1~5のいずれか一項に記載の方法。
  13. 一般式:
    In[RCpL]又はIn[CpL
    (式中、Cpはシクロペンタジエニル配位子を表し;R~Rは、それぞれ独立して、H、C~Cの直鎖、分岐、又は環状のアルキルであり;L及びLは、それぞれ独立して、Cp配位子に結合しており且つSi、Ge、Sn、N、P、B、Al、Ga、In、O、S、Se、Te、F、Cl、Br、Iなどの少なくとも1つのヘテロ原子を含むアルキル鎖からなる置換基であり;y=1~4である)
    を有するヘテロアルキルシクロペンタジエニルインジウム(I)前駆体を含む、蒸着プロセスのための膜形成組成物。
  14. 前記ヘテロアルキルシクロペンタジエニルインジウム(I)前駆体がIn(Cp(CHNMe)である、請求項13に記載の膜形成組成物。
  15. 前記ヘテロアルキルシクロペンタジエニルインジウム(I)前駆体がIn(CpPiPr)である、請求項13に記載の膜形成組成物。
JP2023504111A 2020-07-28 2021-07-28 ヘテロアルキルシクロペンタジエニルインジウム含有前駆体及びインジウム含有層の堆積のためのその使用方法 Active JP7426538B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/941,088 2020-07-28
US16/941,088 US11859283B2 (en) 2020-07-28 2020-07-28 Heteroalkylcyclopentadienyl indium-containing precursors and processes of using the same for deposition of indium-containing layers
PCT/US2021/043507 WO2022026582A1 (en) 2020-07-28 2021-07-28 Heteroalkylcyclopentadienyl indium-containing precursors and processes of using the same for deposition of indium-containing layers

Publications (2)

Publication Number Publication Date
JP2023536697A true JP2023536697A (ja) 2023-08-29
JP7426538B2 JP7426538B2 (ja) 2024-02-01

Family

ID=80002980

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023504111A Active JP7426538B2 (ja) 2020-07-28 2021-07-28 ヘテロアルキルシクロペンタジエニルインジウム含有前駆体及びインジウム含有層の堆積のためのその使用方法

Country Status (7)

Country Link
US (1) US11859283B2 (ja)
EP (1) EP4189730A1 (ja)
JP (1) JP7426538B2 (ja)
KR (1) KR20230045031A (ja)
CN (1) CN116097410A (ja)
TW (1) TWI836244B (ja)
WO (1) WO2022026582A1 (ja)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0388324A (ja) * 1989-08-31 1991-04-12 Nippon Telegr & Teleph Corp <Ntt> 化合物半導体薄膜の形成方法
JP2018090855A (ja) * 2016-12-02 2018-06-14 株式会社高純度化学研究所 化学蒸着用原料及びその製造方法、並びに該化学蒸着用原料を用いて形成されるインジウムを含有する酸化物の膜の製造方法
WO2019133251A1 (en) * 2017-12-29 2019-07-04 Sachem, Inc. LimMOxFy SHELL FORMATION ON CATHODE CERAMIC PARTICLE FOR LI ION BATTERY THROUGH ONIUM METAL OXIDE FLUORIDE PRECURSOR

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8802458A (nl) * 1988-10-07 1990-05-01 Philips Nv Werkwijze voor de vervaardiging van een epitaxiale indiumfosfide-laag op een substraatoppervlak.
CN101460657A (zh) * 2006-06-02 2009-06-17 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途
US8795771B2 (en) * 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
WO2018225668A1 (ja) 2017-06-09 2018-12-13 株式会社高純度化学研究所 化学蒸着用原料、ならびに、化学蒸着用原料入り遮光容器およびその製造方法
JP7240903B2 (ja) 2019-03-05 2023-03-16 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード インジウム化合物および該インジウム化合物を用いたインジウム含有膜の成膜方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0388324A (ja) * 1989-08-31 1991-04-12 Nippon Telegr & Teleph Corp <Ntt> 化合物半導体薄膜の形成方法
JP2018090855A (ja) * 2016-12-02 2018-06-14 株式会社高純度化学研究所 化学蒸着用原料及びその製造方法、並びに該化学蒸着用原料を用いて形成されるインジウムを含有する酸化物の膜の製造方法
WO2019133251A1 (en) * 2017-12-29 2019-07-04 Sachem, Inc. LimMOxFy SHELL FORMATION ON CATHODE CERAMIC PARTICLE FOR LI ION BATTERY THROUGH ONIUM METAL OXIDE FLUORIDE PRECURSOR

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
BENSIEK, STEPHAN ET AL.: "Synthesis, Structure, and Significance for MOCVD of Intramolecularly Base-Stabilized Monomeric Cyclo", ORGANOMETALLICS, vol. 19, JPN6023034679, 3 September 2000 (2000-09-03), pages 1292 - 1298, ISSN: 0005139891 *
JUTZI, PETER ET AL.: "Synthesis and Properties of Group 13 Element Compounds (Al, Ga, In) with the Polydentate 1-[2-(Dimet", ORGANOMETALLICS, vol. 15, JPN6023034680, 1996, pages 747 - 752, XP055890796, ISSN: 0005139890, DOI: 10.1021/om9505975 *

Also Published As

Publication number Publication date
CN116097410A (zh) 2023-05-09
TWI836244B (zh) 2024-03-21
US11859283B2 (en) 2024-01-02
US20220033966A1 (en) 2022-02-03
WO2022026582A1 (en) 2022-02-03
EP4189730A1 (en) 2023-06-07
TW202204672A (zh) 2022-02-01
JP7426538B2 (ja) 2024-02-01
KR20230045031A (ko) 2023-04-04

Similar Documents

Publication Publication Date Title
KR102536435B1 (ko) 6족 전이 금속-함유 막의 증착용 6족 막 형성 조성물
JP6337116B2 (ja) 第5族遷移金属含有膜を蒸着させるための第5族遷移金属含有化合物
US10174423B2 (en) Niobium-containing film forming compositions and vapor deposition of Niobium-containing films
US10023462B2 (en) Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
JP2018503247A (ja) ジルコニウム含有膜を蒸着するためのジルコニウム含有膜形成組成物
US20220372053A1 (en) Stable bis(alkyl-arene) transition metal complexes and methods of film deposition using the same
US9868753B2 (en) Germanium- and zirconium-containing composition for vapor deposition of zirconium-containing films
TWI756959B (zh) 膜或塗層之方法
US20220205099A1 (en) Group iv element containing precursors and deposition of group iv element containing films
US20220119939A1 (en) Method of improving step coverage using an inhibitor molecule for high aspect ratio structures
JP7426538B2 (ja) ヘテロアルキルシクロペンタジエニルインジウム含有前駆体及びインジウム含有層の堆積のためのその使用方法
TWI831250B (zh) 用於沈積含鎵氧化物膜的鎵先質
US20230323530A1 (en) Niobium, vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same
WO2023200429A1 (en) Niobium vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230224

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20230302

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20230302

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230829

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231128

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240116

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240122

R150 Certificate of patent or registration of utility model

Ref document number: 7426538

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150