JP2002319583A - 半導体素子の誘電体膜及びその製造方法 - Google Patents

半導体素子の誘電体膜及びその製造方法

Info

Publication number
JP2002319583A
JP2002319583A JP2002002631A JP2002002631A JP2002319583A JP 2002319583 A JP2002319583 A JP 2002319583A JP 2002002631 A JP2002002631 A JP 2002002631A JP 2002002631 A JP2002002631 A JP 2002002631A JP 2002319583 A JP2002319583 A JP 2002319583A
Authority
JP
Japan
Prior art keywords
oxide film
layer
film
semiconductor device
multilayer structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002002631A
Other languages
English (en)
Other versions
JP4132824B2 (ja
Inventor
Jongho Lee
種 鎬 李
Nae-In Lee
来 寅 李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2002319583A publication Critical patent/JP2002319583A/ja
Application granted granted Critical
Publication of JP4132824B2 publication Critical patent/JP4132824B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors having potential barriers
    • H01L29/94Metal-insulator-semiconductors, e.g. MOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/56Capacitors with a dielectric comprising a perovskite structure material the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

(57)【要約】 【課題】 半導体素子の多層構造の誘電体膜及びその形
成方法を提供する。 【解決手段】 本発明よる多層構造の誘電体膜は、シリ
コン窒化膜より高誘電率を有するシリケート界面層12
及びシリケート界面層の上に形成された高誘電体膜14
を含む。高誘電体膜は少なくとも1回交互に積層された
第1及び第2層18、20を含む。これによって、界面
特性を改善すると共に、高誘電体膜の誘電率を最適化で
きる。又、各層が臨界厚さの上限値より薄い厚さを有す
る多層構造で誘電体膜を形成することによって、誘電体
膜の結晶化温度を増加させると共に、漏洩電流を減少さ
せることができる。これによって、素子の性能を改善で
きる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体素子の誘電体
膜及びその製造方法に関するものである。
【0002】
【従来の技術】MOS集積回路において、素子の寸法は
高集積度及び高性能を提供するために減少しつつある。
特に、ゲート誘電体膜はできるだけ薄く形成する。これ
はゲート誘電体膜の厚さが減少するほど、MOSトラン
ジスタの駆動電流が増加するためである。従って、素子
の性能を向上させるためには、薄く、信頼性があり、欠
陥が少ないゲート誘電体膜を形成することが重要になっ
た。
【0003】数十年の間、熱酸化膜、すなわち、シリコ
ン酸化膜がゲート誘電体膜として使用されてきた。これ
は熱的シリコン酸化膜が下部のシリコン基板に対して安
定であり、相対的に製造工程が簡単であるためである。
【0004】しかし、シリコン酸化膜は3.9程度の低
誘電率を有するので、シリコン酸化膜からなるゲート誘
電体膜の厚さを減少させるのには限界があり、特に、薄
いシリコン酸化膜からなるゲート誘電体膜を通じて流れ
るゲート漏洩電流を考慮すると、シリコン酸化膜の厚さ
を減少させることは難しい。このため、シリコン酸化膜
より厚いが、素子の性能を改善できる代替誘電体膜が要
求されている。このような代替誘電体膜の性能は、等価
酸化膜厚さ(EOT:equivalentoxide
thickness)として評価されたり、表現され
たりする。
【0005】これは、金属酸化膜をゲート誘電体膜とし
て使用する場合、金属酸化膜の物理的な厚さがシリコン
酸化膜の厚さより厚くても、シリコン酸化膜をゲート誘
電体膜として使用する素子に比べて、性能が落ちないた
めである。しかも、ゲート誘電体膜を厚く形成すると、
ゲート電極をパターニングする間、エッチング余裕度を
増加させることができる。このようなエッチング余裕度
の増加は、ゲート電極をパターニングする間、エッチン
グ工程によってシリコン基板が露出されることを防止す
る。
【0006】このために、高誘電率を有する金属酸化物
が、ゲート誘電体膜又はキャパシタ誘電体膜の代替誘電
物質として提案されてきた。金属酸化物の誘電率はシリ
コン酸化膜の誘電率に比べて高くできるので、シリコン
酸化膜と同一の等価酸化膜の厚さを有しながらシリコン
酸化膜より物理的に厚い金属酸化膜が、半導体素子のゲ
ート誘電体膜又はキャパシタ誘電体膜として使用され得
る。
【0007】しかし、高誘電体膜の金属酸化物はシリコ
ン基板のような通常の基板を使用するときには、様々な
問題点がある。例えば、シリコンは高誘電金属酸化物と
容易に反応し、高誘電金属酸化物を蒸着又は後続熱工程
を実施する間に容易に酸化する。従って、シリコン基板
及び金属酸化膜の間にシリコン酸化膜のような境界膜が
形成される。結果的に、等価酸化膜の厚さが増加して素
子の性能が低下する。
【0008】しかも、シリコン基板及び高誘電金属酸化
膜の間のインターフェーストラップ密度(interf
ace trap density)が増加する。従っ
て、キャリアのチャンネル移動度が減少する。結果的
に、MOSトランジスタのオン/オフ電流比率が減少し
て、MOSトランジスタのスイッチング特性が低下す
る。
【0009】又、ハフニウム酸化膜(HfO2)又はジ
ルコニウム酸化膜(ZrO2)のような高誘電金属酸化
膜は相対的に低い結晶化温度を有し、熱的に不安な状態
を示す。従って、金属酸化膜はソース/ドレイン領域に
注入された不純物を活性化するための後続の熱的アニー
リング工程を実施する間、容易に結晶化され得る。従っ
て、金属酸化膜の内部に電流が流れ得る結晶粒界が形成
される。これに加えて、金属酸化膜の表面の起伏が激し
くなって、漏洩電流特性をさらに低下させる。しかも、
高誘電金属酸化膜の結晶化は起伏のある表面を有する整
列キー上での乱反射によって、後続の整列工程に要求さ
れない影響を与える。
【0010】前述の問題点を解決するために、様々な試
みがされてきた。例えば、米国特許第6,020,02
4号にはシリコン基板及び高誘電体膜の間にシリコンオ
キシナイトライド膜(SiON)を介在する技術が開示
され、米国特許第6,013,553号にはゲート誘電
体膜としてハフニウムオキイナイトライド膜又はジルコ
ニウムオキシナイトライド膜を使用する技術が開示され
ている。これに加えて、PCT国際特許出願公開番号W
O00/01008号にはシリコン酸化膜、シリコン窒
化膜及びシリコンオキシナイトライド境界膜が開示され
ている。又、米国特許第6,020,243号には高誘
電率を有するジルコニウムシリコンオキシナイトライド
膜又はハフニウムシリコンオキシナイトライド膜が開示
されている。
【0011】しかし、このような方法は前述した問題点
を解決するのに有効ではない。例えば、高誘電体膜及び
シリコン基板の間、又は高誘電体膜及びポリシリコンゲ
ート電極の間に介在されるシリコン窒化膜又はシリコン
オキシナイトライド膜は、高い界面状態密度と共に電荷
のトラッピングを誘発する。従って、このような方法は
チャンネル移動度を減少させて、素子の性能を低下させ
る。さらに、シリコン窒化膜又はシリコンオキシナイト
ライド膜を形成するためには、相対的に多い熱工程が要
求される。
【0012】重要なことは、シリコン窒化膜の誘電率が
シリコン酸化膜の誘電率の約1.5倍にすぎないので、
等価酸化膜の厚さを顕著に減少しにくく、それに従っ
て、素子の性能を改善するのに有効な効果を得ることが
できないということである。
【0013】従って、高結晶化温度を有する改善された
誘電体膜が要求され、インターフェース特性の改善だけ
でなく、誘電体膜の等価酸化膜の厚さを減少させること
によって、素子の性能を改善するための誘電体膜を形成
する方法が要求される。
【0014】
【発明が解決しようとする課題】本発明は、高結晶化温
度を有する良好なインターフェース特性だけでなく、物
理的な厚さに比べて顕著に薄い等価酸化膜の厚さを有す
る高誘電体膜構造体を提供することを目的とする。
【0015】本発明は、高結晶化温度を有する良好なイ
ンターフェース特性だけでなく、物理的な厚さに比べて
顕著に薄い等価酸化膜厚さを有する高誘電体膜構造体の
形成方法を提供することを他の目的とする。
【0016】
【課題を解決するための手段】前述の目的を達成するた
めに、本発明は半導体素子の多層誘電体膜構造体及びそ
の形成方法を提供する。多層誘電体膜構造体はシリケー
ト界面層及びシリケート界面層の上の高誘電体膜を含
む。
【0017】本発明の望ましい実施形態によると、高誘
電体膜はシリケート界面層より高誘電率を有する。
【0018】望ましくは、シリケート界面層は金属シリ
ケート物質(M1-XSiX2)で形成される。ここで、
金属Mは、ハフニウム(Hf)、ジルコニウム(Z
r)、タンタル(Ta)、チタン(Ti)又はアルミ
(Al)であることができる。
【0019】望ましくは、高誘電体膜は金属酸化膜を含
む。金属酸化膜はハフニウム酸化膜(HfO2)、ジル
コニウム酸化膜(ZrO2)、タンタル酸化膜(Ta2
3)、アルミ酸化膜(Al23)チタン酸化膜(Ti
2)、イットリウム酸化膜(Y23)、BST膜、P
ZT膜又はこれらのいずれかの膜を組み合わせた膜を含
む。
【0020】又、高誘電体膜は順次に積層された1対の
第1層及び第2層を含む。詳細には、高電電体膜は1対
の第1層及び第2層が少なくとも2対反復的に積層され
て形成され得る。第1層はハフニウム酸化膜、タンタル
酸化膜、イットリウム酸化膜又はジルコニウム酸化膜で
形成することが望ましく、第2層はアルミ酸化膜で形成
することが望ましい。
【0021】本発明の一アスペクトによると、高誘電体
膜の誘電率は純粋固定電荷が最小値を有するように最適
化され得る。
【0022】本発明の他のアスペクトによると、インタ
ーフェース特性が改善されて、等価酸化膜の厚さが維持
され、減少される。
【0023】これに加えて、本発明の他のアスペクトに
よると、誘電体膜を多層構造に形成することによって実
現される高い結晶化温度と共に、多層構造の誘電体膜の
各層は臨界厚さよりも厚くはなく、漏洩電流が減少され
る。これによって、素子の性能が改善される。
【0024】
【発明の実施の形態】以下、添付した図を参照して、本
発明の望ましい実施形態を詳細に説明する。本発明は新
たな高誘電体膜構造体及びその製造方法を提供する。後
述される説明において、数多くの特定の内容は本発明の
完全な理解のために説明される。本発明は特定の内容に
限られなく、様々に変形されて実施され得ることは当業
者には周知である。
【0025】たとえ本発明がMOSトランジスタのゲー
ト誘電体膜に関して説明しても、本発明は本発明の思想
及び範囲内で、不揮発性メモリ素子のゲート層間誘電体
膜又はストレージキャパシタの誘電体膜のような半導体
素子のどのような誘電体膜にも適用され得る。
【0026】図1乃至図3を参照すると、本発明の望ま
しい実施形態によって、半導体基板10、すなわち、シ
リコン基板の上にシリケート物質からなるシリケート界
面層12を形成する。シリケート界面層12の厚さは約
5乃至10Åの範囲であることが望ましい。又、シリケ
ート界面層12の誘電率はシリコン窒化膜又はシリコン
オキシナイトライド膜より大きいことが望ましい。
【0027】続いて、シリケート界面層12の上に高誘
電体膜14を形成する。高誘電体膜14はシリコン酸化
膜より高誘電率を有する。望ましくは、高誘電体膜14
はシリケート界面層12より高誘電率を有する。
【0028】シリケート界面層12は金属シリケート物
質で形成することが望ましい。ここで、金属“M”はハ
フニウム、ジルコニウム、タンタル、チタン、又はアル
ミであることができる。しかし、本発明はこの金属に限
られることはなく、本発明の思想及び範囲内で、本発明
に適合した他の物質にも使用できる。
【0029】シリケート界面層12は実質的に界面特性
を向上させる。例えば、シリケート界面層12は実質的
に高誘電体膜14及び半導体基板10の間の反応を抑制
する。シリケート界面層12はシリコンの上に形成され
る時、化学的に安定した状態を維持するので、シリコン
酸化膜のように等価酸化膜の厚さを増加させる不要な界
面層が形成されない。
【0030】又、本発明は従来技術に比べてインターフ
ェーストラップ密度を減少させる。これについては、図
13及び図14を参照して詳細に説明する。
【0031】従来技術で、ハフニウム酸化膜又はジルコ
ニウム酸化膜が誘電体膜として使用される時、要求され
ないシリケート膜が自然に形成され、シリケート膜のシ
リコン造成比を制御できない。従って、等価酸化膜の厚
さを最適化できない。言い換えれば、初期の等価酸化膜
に比べて薄くなるように、等価酸化膜の厚さを減少させ
ることができず、初期の等価酸化膜の厚さをそのままに
維持することも難しい。しかも、インターフェーストラ
ップ密度が増加して、界面特性が低下する。
【0032】これに対して、本発明において、シリケー
ト界面層12を原子層蒸着(ALD:atomic l
ayer deposition)方法によって形成す
ると、シリケート界面層12の厚さ及びシリコン造成比
を要求される値に調節できる。これによって、誘電体膜
構造体15の誘電率を最適化できる。しかも、要求され
ない自然シリケート層が形成されないので、界面特性を
改善できる。
【0033】これに加えて、酸化防止膜のシリコン窒化
膜は約7程度の誘電率を有するのに対して、本発明によ
る金属シリケート界面層12は約12乃至14程度の相
対的に高い誘電率を有する。従って、従来技術に比べて
等価酸化膜の厚さを減少させることができる。
【0034】又、金属シリケート界面層12は900℃
の高温で後続熱処理工程を実施しても、実質的に非晶質
状態を維持する。従って、金属シリケート界面層12の
内部に結晶粒界がほとんど生成されないので、漏洩電流
を減少させることができる。
【0035】望ましくは、前述のように、金属シリケー
ト界面層12は原子層蒸着技術を使用して形成する。従
って、本発明は高い熱工程が要求される従来技術と違っ
て、低い熱工程によって実施できる。又、原子層蒸着技
術を使用することによって、様々な前駆体(precu
rsor)を使用することができ、膜の厚さを精密に制
御できる。このような長所は、通常の化学気相蒸着方法
によっては得ることができない。
【0036】金属シリケート界面層12を形成するため
の原子層蒸着技術は、金属ソース、シリコンソース及び
酸素ソースに対する注入工程及びパージ工程を交互に反
復的に実施することによって実行できる。金属シリケー
ト界面層12をジルコニウムシリケート層で形成する場
合には、ZrCl4が金属ソースとして使用され得る。
これと同様に、金属シリケート界面層12をハフニウム
シリケート層で形成する場合には、HfCl4が金属ソ
ースとして使用され得る。又、シリコンソースはSiH
4ガス又はSiCl42ガスを含み、酸素ガスは水蒸気
又はオゾンを含むこともできる。その外に、本発明の思
想及び範囲内で、本発明に適合した他の前駆体を使用す
ることもできる。
【0037】他の方法として、有機金属化学気相蒸着
(MOCVD)技術又は反応性スパッタリング(rea
ctive sputtering)技術が、厚さ及び
造成比において、原子層蒸着技術と類似した水準に調節
できると、金属シリケート界面層は有機金属化学気相蒸
着技術又は反応性スパッタリング技術を使用して形成す
ることもできる。有機金属化学気相蒸着技術はHf(O
−Si−R34又はZr(O−Si−R34のような前
駆体を使用できる。前記の化学式で、“R”はC 25
す。その外にも、ハフニウムソースとして、Hf−t−
butoxideが使用でき、ジルコニウムソースとし
てZr−t−butoxideが使用できる。又、シリ
コンソースとしては、tetraethoxyorth
osilane又はtetraethylothors
ilicateが使用できる。
【0038】高誘電体膜14の形成を説明すると、高誘
電体膜14は金属酸化膜で形成することが望ましい。金
属酸化膜はハフニウム酸化膜、ジルコニウム酸化膜、タ
ンタル酸化膜、アルミ酸化膜、チタン酸化膜、イットリ
ウム酸化膜、BST膜、PZT膜又はこれらのいずれか
の膜を組み合わせた膜で形成することが望ましい。
【0039】金属酸化膜は原子層蒸着技術、有機金属化
学気相蒸着技術又は反応性スパッタリング技術を使用し
て形成できる。反応性スパッタリング技術は、金属膜を
蒸着する間、工程チャンバの内部に酸素ガスを注入する
ことによって実行される。又、金属酸化膜は金属膜を蒸
着し、金属膜を酸素雰囲気でアニーリングすることによ
って形成できる。
【0040】本発明の一実施形態によると、シリケート
界面層12の金属は金属酸化膜(高誘電体膜14)の金
属と同一であることが望ましい。例えば、誘電体膜構造
体15は順次に積層されたハフニウムシリケート界面層
及びハフニウム酸化膜を含む。
【0041】一方、シリケート界面層12をジルコニウ
ムシリケート膜で形成する場合、高誘電体膜14はジル
コニウム酸化膜で形成することが望ましい。この場合に
おいて、シリケート界面層12の金属が金属酸化膜(高
誘電体膜14)の内部に含有された金属と同一であるの
で、シリケート界面層12及び高誘電体膜14の間の界
面特性は、これらの間の電気的な一貫性又は結合力によ
って改善され得る。
【0042】又、ハフニウム酸化膜をアルミ原子でドー
ピングすると、ハフニウム酸化膜が後続の熱工程を実施
する間、結晶化されることを防止できる。
【0043】前述のように、金属シリケート界面層12
の誘電率は、その内部に含有されたシリコンの造成比を
変化させることによって調節できる。本発明では金属シ
リケート物質のシリコン造成比の“x” が約0.3乃
至0.99である時、最適の誘電率を示すように決定さ
れた。
【0044】本発明の外の実施形態によると、高誘電体
膜14は図2、図3及び図4に示すように多層の構造を
含むことが望ましい。
【0045】図2、図3及び図4を参照すると、高誘電
体膜14は2種類の物質膜、すなわち、ハフニウム酸化
膜(又は、ジルコニウム酸化膜:18)及びアルミ酸化
膜20を交互に少なくとも1回反復的に積層して形成す
る。ここで、アルミ酸化膜20は、高誘電体膜としてア
ルミ酸化膜だけを有するMOS構造に対する低周波C−
Vプロットを示す図5乃至図8から分かるように、シリ
コン酸化膜に比べて、多いマイナスの固定電荷を有す
る。言い換えれば、アルミ酸化膜のフラットバンド電圧
はプラスのゲート電圧の方向に向かって移動される。こ
れは、図9乃至図12に示すように、マイナスの固定電
荷が存在することによって、MOS構造でのトランスコ
ンダクタンスが減少する結果を招来するためである。こ
れに対して、ハフニウム酸化膜又はジルコニウム酸化膜
のような金属酸化膜は、シリコン酸化膜に比べて多いプ
ラスの固定電荷を内補する。従って、本発明はいかなる
特定の動作原理にも限られることなく、本出願人はアル
ミ酸化膜の内部のマイナスの固定電荷がハフニウム酸化
膜又はジルコニウム酸化膜のような金属酸化膜の内部の
プラスの固定電荷によって補償され得ると考えた。これ
によって、ハフニウム酸化膜又はジルコニウム酸化膜の
ような金属酸化膜及びアルミ酸化膜を交互に積層するこ
とによって高誘電体膜14の純粋固定電荷量を最小化で
きる。
【0046】本発明の他の実施形態によると、高誘電体
膜14の最上部層(図4の22)はアルミ酸化膜で形成
することが望ましい。これはアルミ酸化膜の形成熱がジ
ルコニウム酸化膜又はハフニウム酸化膜の形成熱より大
きいためである。ここで、形成熱は他の物質と反応する
のに要求されるエネルギを意味する。例えば、アルミ酸
化膜の形成熱は−1678kJ/molであり、ジルコ
ニウム酸化膜及びハフニウム酸化膜の形成熱は各々−1
100kJ/mol及び−1122kJ/molであ
る。従って、ゲート電極を形成するためにアルミ酸化膜
の上にポリシリコン膜(図4の24)を直接形成して
も、アルミ酸化膜はポリシリコン膜24と反応しない。
これによって、本発明では、高誘電体膜及びゲート電極
の間の界面特性を改善できる。
【0047】前述した理由から、本発明では金属ゲート
電極を使用せずに、ポリシリコンゲート電極を使用して
いる。結果的に、ゲート電極の形成において、既に幅広
く使用されてきた製造工程を使用できるので、半導体素
子の製造原価を節減できる。
【0048】一方、シリケート界面層12をジルコニウ
ムシリケート膜で形成する場合、その上に形成される高
誘電体膜14はジルコニウム酸化膜及びアルミ酸化膜を
交互に積層して形成することが望ましい。この場合、シ
リケート界面層12の金属が金属酸化膜(高誘電体膜1
4)の内部に含有された金属と同一であるので、前述の
ように、シリケート界面層12及び高誘電体膜14の間
の界面特性は、これらの間の電気的な一貫性又は結合力
によって改善され得る。これと同様に、シリケート界面
層12をハフニウムシリケート膜で形成する場合、高誘
電体膜14はハフニウム酸化膜及びアルミ酸化膜を交互
に積層して形成することが望ましい。
【0049】本発明の一アスペクトによると、高誘電体
膜14の結晶化温度は、図2、図3及び図4に示すよう
に、ハフニウム酸化膜、タンタル酸化膜、イットリウム
酸化膜又はジルコニウム酸化膜のような金属酸化膜で形
成された第1層18及びアルミ酸化膜で形成された第2
層が順次に積層された1対の複合膜を少なくとも1回反
復的に形成することによって増加させることができる。
【0050】第1及び第2層18,20の厚さは、約2
Å乃至60Åの範囲であることが望ましい。より望まし
くは、第1及び第2層18,20の厚さは各々10Å及
び5Åである。第1及び第2層18,20の厚さが前記
範囲内の値を有する場合、結晶化温度は厚いバルク誘電
体膜に比べて増加され得る。
【0051】通常、厚いバルクハフニウム酸化膜の場
合、それの結晶化温度は600℃乃至800℃である。
活性化工程を、炉を使用して実行する時、工程温度は8
00℃乃至850℃より高く、活性化工程を、急速熱処
理工程を使用して実行する時、工程温度は900℃程度
である。従って、バルクハフニウム酸化膜は、熱処理工
程を実施する間、容易に結晶化され得るので、漏洩電流
が増加される。
【0052】しかし、前述した本発明によると、高誘電
体膜14の結晶化温度が従来技術に比べて増加し、漏洩
電流を減少させることができる。ここで、2Åは単原子
層の基本厚さであり、60Åは後続熱処理工程の間、ポ
ピング現象の発生を防止できる最大厚さである。当業者
に周知のように、高誘電体膜を形成する間、誘電体膜の
内部に捕獲されるヒドロキシル中性子は、後続アニーリ
ングの時に爆発現象を誘発する。その結果、誘電体膜が
損傷して、その内部にホールを残す。いったんこのよう
なポピング現象が発生すると、ポリシリコンゲート電極
膜形成工程のような後続工程が難しくなる。
【0053】第2層20の厚さは第1層18の厚さの約
1/2であることが望ましい。これは、アルミ酸化膜の
内部の単位厚さ当たり固定電荷量がハフニウム酸化膜又
はジルコニウム酸化膜の内部の単位厚さ当たり固定電荷
量の2倍であるためである。
【0054】又、第2層20の全体の厚さは、高誘電体
膜14の全体の厚さの1/3より厚くないことが望まし
い。このような制限は、第2層20がハフニウム酸化膜
である場合、厳格に要求される。ハフニウム酸化膜の誘
電率(k=30)はアルミ酸化膜の誘電率(k=10)
の3倍に達する。従って、純粋固定電荷量を最小化する
と同時に、20より大きい等価誘電率を得るために、ア
ルミ酸化膜の全体の厚さは高誘電体膜14の全体の厚さ
の約33%であることが望ましい。
【0055】図1乃至図3示すシリコン基板10は、本
発明の思想及び範囲内で、ドーピングされたポリシリコ
ンのような半導体又は導電体であっても良い。図4に示
すポリシリコン膜24は、メモリ素子のゲート電極又は
上部キャパシタ電極であっても良い。
【0056】図13は高誘電体膜としてアルミ酸化膜を
含むMOS構造でトランスコンダクタンス及びフラット
バンド電圧の間の関係を示すグラフである。ここで、基
準になるものは、誘電体膜としてシリコン酸化膜を有す
るMOS構造体である。
【0057】MOS構造体のトランスコンダクタンス
は、高誘電体膜の内部の固定電荷から影響を受ける。言
い換えれば、固定電荷量が大きいほど、トランスコンダ
クタンスは減少する。特に、中間程度のゲート電界での
トランスコンダクタンスは、固定電荷によるクーロンス
カタリング(coulomb scattering)
によって直接に影響を受ける。
【0058】この点において、従来技術ではチャンネル
移動度を減少させるクーロンスカタリングを誘発する固
定電荷の問題を十分考慮したり、説明したりされなかっ
た。しかし、本発明では、前述したように、アルミ酸化
膜の内部のマイナスの固定電荷量をハフニウム酸化膜又
はジルコニウム酸化膜のような金属酸化膜の内部のプラ
スの固定電荷量で補償する新たな誘電体膜構造体15を
設計することによって、固定電荷の問題点を効果的に解
決する。
【0059】図13を参照すると、フラットバンド電圧
の移動量が0Vであっても、アルミ酸化膜を含むMOS
構造体のトランスコンダクタンスは基準MOS構造体の
トランスコンダクタンスに比べて小さい、このような差
は、インターフェーストラップ密度に起因する。インタ
ーフェーストラップ密度は、図14に示す電荷ポンピン
グ電流を使用して計算され得る。インターフェーストラ
ップ密度はシリコン基板10及び高誘電体膜14の間に
金属シリケート界面層12を介在させることによって減
少させることができる。
【0060】図15を参照すると、シリコン基板の上に
30Åの厚さを有する金属酸化膜及び40Åの厚さを有
するアルミ酸化膜を順次に積層した本発明によるMOS
構造体のC−V曲線は、シリコン酸化膜を誘電体膜とし
て使用する従来のMOS構造体とほぼ同一の特性を示
す。言い換えれば、本発明によるMOS構造体のフラッ
トバンド電圧は従来のMOS構造体のフラットバンド電
圧とほぼ同一である。結果的に、本発明の一アスペクト
によると、光誘電体膜14の固定電荷量を最少化でき
る。図15で、“CET”は容量性等価厚さ(capa
citance equivalent thickn
ess)を意味するものであって、量子力学効果(qu
antum mechanical effect)を
含む値である。ここで、量子力学効果は40Åより薄い
薄膜で顕著に発生する電子の波動性による効果である。
従って、極薄膜の厚さをより正確に測定するためには量
子力学効果を排除しなければならない。結果的に、図1
5の“CET”は量子力学効果が排除されない等価酸化
膜の厚さを意味するので、一般の等価酸化膜の厚さより
は厚い。
【0061】
【発明の効果】前述のように本発明の実施形態による
と、多層の高誘電体膜14、例えば、アルミ膜と共に2
5乃至30の誘電率を有するハフニウム酸化膜又は20
乃至25の誘電率を有するジルコニウム酸化膜を交互に
積層した多層の高誘電体膜を形成することによって、高
誘電体膜14の純粋固定電荷量を最小化すると同時に、
等価誘電率を20より大きく最適化できる。
【0062】本発明によると、界面特性を改善でき、シ
リコン窒化膜又はシリコンオキシナイトライド膜のよう
な界面層又は界面層なしにバルクシリケート膜を使用す
る従来の誘電体膜構造に比べて等価酸化膜の厚さを減少
させることができる。言い換えれば、シリコン窒化膜又
はオキシナイトライド膜より高誘電率を有するシリケー
ト界面層12と高誘電体膜14を組み合わせることによ
って、界面特性を改善でき、等価酸化膜の厚さを減少さ
せることができる。
【0063】これに加えて、多層構造体、すなわち、各
層が臨界厚さの上限値より厚くない薄い層からなる多層
構造体を有する高誘電体膜を形成することによって、高
誘電体膜の結晶化温度を増加させることができ、漏洩電
流を減少させることができる。これによって、素子の性
能を改善できる。
【0064】従って、本発明は従来技術の短所を有しな
いで、シリコン酸化膜の長所を有する誘電体膜構造体を
提供する。
【図面の簡単な説明】
【図1】本発明の第1実施形態による半導体素子の断面
図である。
【図2】本発明の第2実施形態による半導体素子の断面
図である。
【図3】本発明の第3実施形態による半導体素子の断面
図である。
【図4】本発明の第4実施形態による半導体素子の断面
図である。
【図5】アルミ酸化膜を誘電体膜として使用するMOS
キャパシタとシリコン酸化膜を誘電体膜として使用する
MOSキャパシタのC−Vプロットである。
【図6】アルミ酸化膜を誘電体膜として使用するMOS
キャパシタとシリコン酸化膜を誘電体膜として使用する
MOSキャパシタのC−Vプロットである。
【図7】アルミ酸化膜を誘電体膜として使用するMOS
キャパシタとシリコン酸化膜を誘電体膜として使用する
MOSキャパシタのC−Vプロットである。
【図8】アルミ酸化膜を誘電体膜として使用するMOS
キャパシタとシリコン酸化膜を誘電体膜として使用する
MOSキャパシタのC−Vプロットである。
【図9】ゲート製造方法による標準化されたトランスコ
ンダクタンスを説明するためのグラフである。
【図10】ゲート製造方法による標準化されたトランス
コンダクタンスを説明するためのグラフである。
【図11】ゲート製造方法による標準化されたトランス
コンダクタンスを説明するためのグラフである。
【図12】ゲート製造方法による標準化されたトランス
コンダクタンスを説明するためのグラフである。
【図13】シリコン酸化膜及びアルミ酸化膜の間のフラ
ットバンド電圧を対照するグラフである。
【図14】シリコン酸化膜及びアルミ酸化膜を採択する
キャパシタに対してゲートバイアスによる電荷ポンピン
グ電流を示すグラフである。
【図15】シリコン酸化膜及びZrO2/Al23の積
層誘電体膜と共にアルミ酸化膜及びシリコン酸化膜に対
するC−V曲線を示すグラフである。
【符号の説明】
10…半導体基板 12…シリケート界面層 14…高誘電体膜 15…誘電体膜構造体 18…ジルコニウム酸化膜 20…アルミ酸化膜 22…最上部層 24…ポリシリコン膜
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 27/105 H01L 29/78 371 27/108 301G 27/115 29/78 29/788 29/792 Fターム(参考) 5F038 AC05 AC15 AC16 EZ14 EZ17 EZ20 5F058 BA11 BD02 BD05 BF13 BF27 BJ01 5F083 AD60 EP02 EP22 EP53 EP54 EP56 EP57 FR01 JA02 JA06 JA12 JA14 JA15 JA36 JA39 PR21 PR22 5F101 BA01 BA26 BA36 BB02 5F140 AA24 BA01 BD01 BD02 BD04 BD11 BD12 BD13 BD17 BD20 BE09 BE10 BF01 BF04

Claims (45)

    【特許請求の範囲】
  1. 【請求項1】 シリケート界面層と、 前記シリケート界面層の上に位置する高誘電体膜を含む
    ことを特徴とする半導体素子の多層構造体。
  2. 【請求項2】 前記シリケート界面層は、シリコン窒化
    膜より大きい誘電率を有することを特徴とする請求項1
    に記載の半導体素子の多層構造体。
  3. 【請求項3】 前記高誘電体膜は、前記シリケート界面
    層より大きい誘電率を有することを特徴とする請求項1
    に記載の半導体素子の多層構造体。
  4. 【請求項4】 前記シリケート界面層は、金属シリケー
    ト酸化膜であることを特徴とする請求項1に記載の半導
    体素子の多層構造体。
  5. 【請求項5】 前記金属シリケート酸化膜のシリコン造
    成比“x”は、0.3乃至0.99であることを特徴と
    する請求項4に記載の半導体素子の多層構造体。
  6. 【請求項6】 前記金属シリケート酸化膜の金属“M”
    は、ハフニウム、ジルコニウム、タンタル、チタン及び
    アルミからなる一群のうち、いずれか1つであることを
    特徴とする請求項4に記載の半導体素子の多層構造体。
  7. 【請求項7】 前記シリケート界面層は、原子層蒸着技
    術、有機金属化学気相蒸着技術又は反応性スパッタリン
    グ技術を使用して形成することを特徴とする請求項1に
    記載の半導体素子の多層構造体。
  8. 【請求項8】 前記シリケート界面層は、5乃至10Å
    の厚さを有することを特徴とする請求項1に記載の半導
    体素子の多層構造体。
  9. 【請求項9】 前記高誘電体膜は、金属酸化膜であるこ
    とを特徴とする請求項1に記載の半導体素子の多層構造
    体。
  10. 【請求項10】 前記金属酸化膜は、ハフニウム酸化
    膜、ジルコニウム酸化膜、タンタル酸化膜、アルミ酸化
    膜、チタン酸化膜、イットリウム酸化膜、BST膜、P
    ZT膜又はこれらのいずれかの膜を組み合わせた膜であ
    ることを特徴とする請求項9に記載の半導体素子の多層
    構造体。
  11. 【請求項11】 前記金属酸化膜は、原子層蒸着技術、
    有機金属化学気相蒸着技術又は反応性スパッタリング技
    術を使用して形成することを特徴とする請求項9に記載
    の半導体素子の多層構造体。
  12. 【請求項12】 前記シリケート界面層は金属シリケー
    ト酸化膜であり、前記金属シリケート酸化膜の内部の金
    属は前記金属酸化膜の金属と同一であることを特徴とす
    る請求項9に記載の半導体素子の多層構造体。
  13. 【請求項13】 前記高誘電体膜は、少なくとも1回交
    互に反復的に積層された第1層及び第2層を含むことを
    特徴とする請求項1に記載の半導体素子の多層構造体。
  14. 【請求項14】 前記第1層はハフニウム酸化膜、タン
    タル酸化膜、イットリウム酸化膜又はジルコニウム酸化
    膜であり、前記第2層はアルミ酸化膜であることを特徴
    とする請求項13に記載の半導体素子の多層構造体。
  15. 【請求項15】 前記第1層は第1固定電荷を有し、前
    記第2層は前記第1固定電荷の反対の極性を有する第2
    固定電荷を有することを特徴とする請求項13に記載の
    半導体素子の多層構造体。
  16. 【請求項16】 前記第2層の厚さは、前記第1層の厚
    さの1/2であることを特徴とする請求項13に記載の
    半導体素子の多層構造体。
  17. 【請求項17】 前記第1層の厚さは10Åであり、前
    記第2層の厚さは5Åであることを特徴とする請求項1
    6に記載の半導体素子の多層構造体。
  18. 【請求項18】 前記第2層の全体の厚さは、前記高誘
    電体膜の全体の厚さの1/3以下であることを特徴とす
    る請求項13に記載の半導体素子の多層構造体。
  19. 【請求項19】 前記高誘電体膜の最上部層はアルミ酸
    化膜であることを特徴とする請求項13に記載の半導体
    素子の多層構造体。
  20. 【請求項20】 シリコン窒化膜より大きい誘電率を有
    するシリケート界面層と、前記シリケート界面層の上に
    位置する高誘電体膜を含み、前記高誘電体膜は少なくと
    も1回交互に反復的に積層された第1層及び第2層を含
    み、前記高誘電体膜は前記シリケート界面層より大きい
    誘電率を有することを特徴とする半導体素子の多層構造
    体。
  21. 【請求項21】 前記シリケート界面層は金属シリケー
    ト酸化膜であり、前記金属シリケート酸化膜の金属
    “M”はハフニウム、ジルコニウム、タンタル、チタン
    及びアルミからなる一群のうち、いずれか1つであるこ
    とを特徴とする請求項20に記載の半導体素子の多層構
    造体。
  22. 【請求項22】 前記第1層はハフニウム酸化膜、タン
    タル酸化膜、イットリウム酸化膜又はジルコニウム酸化
    膜であり、前記第2層はアルミ酸化膜であることを特徴
    とする請求項20に記載の半導体素子の多層構造体。
  23. 【請求項23】 前記第2層の厚さは、前記第1層の厚
    さの1/2であることを特徴とする請求項20に記載の
    半導体素子の多層構造体。
  24. 【請求項24】 前記第2層の全体の厚さは、前記高誘
    電体の全体の厚さの1/3以下であることを特徴とする
    請求項20に記載の半導体素子の多層構造体。
  25. 【請求項25】 前記高誘電体膜の最上部層はアルミ酸
    化膜であることを特徴とする請求項20に記載の半導体
    素子の多層構造体。
  26. 【請求項26】 シリケート界面層を形成する段階と、 前記シリケート界面層の上に高誘電体膜を形成する段階
    とを含むことを特徴とする半導体素子の多層構造体の形
    成方法。
  27. 【請求項27】 前記高誘電体膜を形成する段階は、 第1電荷を有する第1層を形成する段階と、 前記第1層の上に第2層を形成する段階とを含み、前記
    第2層は前記第1電荷に反対される極性の第2電荷を有
    することを特徴とする請求項26に記載の半導体素子の
    多層構造体の形成方法。
  28. 【請求項28】 前記第1電荷はマイナスの固定電荷で
    あり、前記第2電荷はプラスの固定電荷であることを特
    徴とする請求項27に記載の半導体素子の多層構造体の
    形成方法。
  29. 【請求項29】 前記第1及び第2層を少なくとも1回
    形成することを特徴とする請求項27に記載の半導体素
    子の多層構造体の形成方法。
  30. 【請求項30】 前記高誘電体膜の最上部層はアルミ酸
    化膜で形成されることを特徴とする請求項29に記載の
    半導体素子の多層構造体の形成方法。
  31. 【請求項31】 前記高誘電体膜を形成する段階は、 第1厚さを有する第1層を形成する段階と、 前記第1層の上に第2層を形成する段階とを含み、前記
    第2層は第2厚さを有し、前記第1及び第2厚さは2Å
    乃至60Åであることを特徴とする請求項26に記載の
    半導体素子の多層構造体の形成方法。
  32. 【請求項32】 前記第2層の全体の厚さは、前記高誘
    電体膜の全体の厚さの1/3以下であることを特徴とす
    る請求項31に記載の半導体素子の多層構造体の形成方
    法。
  33. 【請求項33】 前記第2層の厚さは、前記第1層の厚
    さの1/2であることを特徴とする請求項31に記載の
    半導体素子の多層構造体の形成方法。
  34. 【請求項34】 前記第1層はハフニウム酸化膜、タン
    タル酸化膜、イットリウム酸化膜又はジルコニウム酸化
    膜であり、前記第2層はアルミ酸化膜で形成することを
    特徴とする請求項31に記載の半導体素子の多層構造体
    の形成方法。
  35. 【請求項35】 前記シリケート界面層は、金属シリケ
    ート酸化膜で形成することを特徴とする請求項26に記
    載の半導体素子の多層構造体の形成方法。
  36. 【請求項36】 前記金属シリケート酸化膜のシリコン
    造成比“x”は、0.3乃至0.99であり、前記金属
    シリケート酸化膜の金属“M”は、ハフニウム、ジルコ
    ニウム、タンタル、チタン及びアルミからなる一群のう
    ち、いずれか1つであることを特徴とする請求項35に
    記載の半導体素子の多層構造体の形成方法。
  37. 【請求項37】 前記シリケート界面層を形成する段階
    は、原子層蒸着技術、有機金属化学気相蒸着技術又は反
    応性スパッタリング技術を使用して実施することを特徴
    とする請求項26に記載の半導体素子の多層構造体の形
    成方法。
  38. 【請求項38】 前記シリケート界面層は、5乃至10
    Åの厚さで形成することを特徴とする請求項26に記載
    の半導体素子の多層構造体の形成方法。
  39. 【請求項39】 前記高誘電体膜は、ハフニウム酸化
    膜、ジルコニウム酸化膜、タンタル酸化膜、アルミ酸化
    膜、チタン酸化膜、イットリウム酸化膜、BST膜、P
    ZT膜又はこれらの組み合わせから成る膜であることを
    特徴とする請求項26に記載の半導体素子の多層構造体
    の形成方法。
  40. 【請求項40】 前記金属酸化膜は、原子層蒸着技術、
    有機金属化学気相蒸着技術又は反応性スパッタリング技
    術を使用して形成することを特徴とする請求項39に記
    載の半導体素子の多層構造体の形成方法。
  41. 【請求項41】 前記シリケート界面層は金属シリケー
    ト酸化膜で形成し、前記金属シリケート酸化膜の金属は
    前記金属酸化膜の金属と同一であることを特徴とする請
    求項39に記載の半導体素子の多層構造体の形成方法。
  42. 【請求項42】 基板と、 前記基板の上に形成されたシリケート界面層と、 前記シリケート界面層の上に形成された高誘電体膜と、 前記高誘電体膜の上に形成されたゲート電極と、 前記ゲート電極の両側の前記基板に形成されたソース/
    ドレイン領域とを含むことを特徴とするトランジスタ。
  43. 【請求項43】 前記高誘電体膜の最上部層はアルミ酸
    化膜であり、前記ゲート電極はポリシリコン膜を含むこ
    とを特徴とする請求項42に記載のトランジスタ。
  44. 【請求項44】 基板と、 前記基板の上に形成された浮遊ゲートと、 前記浮遊ゲートの上に形成されたシリケート界面層と、 前記シリケート界面層の上に形成された高誘電体膜と、 前記高誘電体膜の上に形成された制御ゲート電極とを含
    むことを特徴とする不揮発性メモリ素子。
  45. 【請求項45】 下部電極と、 前記下部電極の上に形成されたシリケート界面層と、 前記シリケート界面層の上に形成された高誘電体膜と、 前記高誘電体膜の上に形成された上部電極を含むことを
    特徴とする半導体装置のキャパシタ。
JP2002002631A 2001-02-02 2002-01-09 半導体素子の誘電体膜及びその製造方法 Expired - Fee Related JP4132824B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/776059 2001-02-02
US09/776,059 US6844604B2 (en) 2001-02-02 2001-02-02 Dielectric layer for semiconductor device and method of manufacturing the same

Publications (2)

Publication Number Publication Date
JP2002319583A true JP2002319583A (ja) 2002-10-31
JP4132824B2 JP4132824B2 (ja) 2008-08-13

Family

ID=25106336

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002002631A Expired - Fee Related JP4132824B2 (ja) 2001-02-02 2002-01-09 半導体素子の誘電体膜及びその製造方法

Country Status (4)

Country Link
US (2) US6844604B2 (ja)
JP (1) JP4132824B2 (ja)
KR (1) KR20040002818A (ja)
TW (1) TW499730B (ja)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002343965A (ja) * 2001-05-21 2002-11-29 Matsushita Electric Ind Co Ltd Mis型半導体装置及びその製造方法
JP2004304053A (ja) * 2003-03-31 2004-10-28 Fujitsu Ltd 半導体装置およびその製造方法
JP2005101529A (ja) * 2003-08-29 2005-04-14 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法および半導体装置
JP2005217409A (ja) * 2004-01-29 2005-08-11 Samsung Electronics Co Ltd 半導体素子の多層誘電体構造物、半導体及びその製造方法
WO2006028215A1 (ja) * 2004-09-09 2006-03-16 Tokyo Electron Limited 薄膜キャパシタ及びその形成方法、及びコンピュータ読み取り可能な記憶媒体
JP2006135339A (ja) * 2004-11-08 2006-05-25 Hynix Semiconductor Inc ジルコニウム酸化膜を有する半導体素子のキャパシタ及びその製造方法
JP2006522225A (ja) * 2003-04-04 2006-09-28 アプライド マテリアルズ インコーポレイテッド 窒化ハフニウム堆積の方法
JP2006324363A (ja) * 2005-05-17 2006-11-30 Elpida Memory Inc キャパシタおよびその製造方法
JP2007081265A (ja) * 2005-09-16 2007-03-29 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2007088301A (ja) * 2005-09-22 2007-04-05 Toshiba Corp 半導体装置および半導体装置の製造方法
JP2007184523A (ja) * 2005-12-29 2007-07-19 Hynix Semiconductor Inc フラッシュメモリ素子及びその製造方法
CN100350573C (zh) * 2003-11-27 2007-11-21 联华电子股份有限公司 形成介电层之间黏着力的方法与结构
US7459372B2 (en) 2004-08-11 2008-12-02 Samsung Electronics Co., Ltd. Methods of manufacturing a thin film including hafnium titanium oxide and methods of manufacturing a semiconductor device including the same
US7612404B2 (en) 2006-04-14 2009-11-03 Kabushiki Kaisha Toshiba Semiconductor device
JP2010531048A (ja) * 2006-12-20 2010-09-16 ナノシス・インコーポレイテッド 電子素子用電子ブロック層
US7902019B2 (en) 2001-02-02 2011-03-08 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US8686490B2 (en) 2006-12-20 2014-04-01 Sandisk Corporation Electron blocking layers for electronic devices
US8841664B2 (en) 2011-03-04 2014-09-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
WO2016152059A1 (ja) * 2015-03-24 2016-09-29 株式会社デンソー 半導体装置
WO2016152058A1 (ja) * 2015-03-24 2016-09-29 株式会社デンソー 半導体装置
KR20200036716A (ko) * 2018-09-27 2020-04-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 스태거드 선택적 성장을 갖는 반도체 구조체

Families Citing this family (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
JP2002094057A (ja) * 2000-09-20 2002-03-29 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7588989B2 (en) * 2001-02-02 2009-09-15 Samsung Electronic Co., Ltd. Dielectric multilayer structures of microelectronic devices and methods for fabricating the same
KR100678626B1 (ko) * 2004-01-29 2007-02-05 삼성전자주식회사 미세 전자 소자의 다층 유전체막 및 그 제조 방법
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
WO2002082554A1 (fr) * 2001-04-02 2002-10-17 Matsushita Electric Industrial Co., Ltd. Dispositif a semi-conducteur et son procede de fabrication
US6908639B2 (en) * 2001-04-02 2005-06-21 Micron Technology, Inc. Mixed composition interface layer and method of forming
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7037862B2 (en) * 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20060180851A1 (en) 2001-06-28 2006-08-17 Samsung Electronics Co., Ltd. Non-volatile memory devices and methods of operating the same
US7253467B2 (en) * 2001-06-28 2007-08-07 Samsung Electronics Co., Ltd. Non-volatile semiconductor memory devices
DE10228768A1 (de) * 2001-06-28 2003-01-16 Samsung Electronics Co Ltd Nicht-flüchtige Floating-Trap-Halbleiterspeichervorrichtungen, die Sperrisolationsschichten mit hohen Dielektrizitätskonstanten enthaltend, und Verfahren
US8253183B2 (en) 2001-06-28 2012-08-28 Samsung Electronics Co., Ltd. Charge trapping nonvolatile memory devices with a high-K blocking insulation layer
US7473959B2 (en) * 2001-06-28 2009-01-06 Samsung Electronics Co., Ltd. Non-volatile semiconductor memory devices and methods of fabricating the same
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7132711B2 (en) * 2001-08-30 2006-11-07 Micron Technology, Inc. Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers
US7135734B2 (en) * 2001-08-30 2006-11-14 Micron Technology, Inc. Graded composition metal oxide tunnel barrier interpoly insulators
US7012297B2 (en) * 2001-08-30 2006-03-14 Micron Technology, Inc. Scalable flash/NV structures and devices with extended endurance
US7042043B2 (en) * 2001-08-30 2006-05-09 Micron Technology, Inc. Programmable array logic or memory devices with asymmetrical tunnel barriers
US6963103B2 (en) * 2001-08-30 2005-11-08 Micron Technology, Inc. SRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US7075829B2 (en) * 2001-08-30 2006-07-11 Micron Technology, Inc. Programmable memory address and decode circuits with low tunnel barrier interpoly insulators
US7476925B2 (en) * 2001-08-30 2009-01-13 Micron Technology, Inc. Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interploy insulators
US6754108B2 (en) 2001-08-30 2004-06-22 Micron Technology, Inc. DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US7087954B2 (en) * 2001-08-30 2006-08-08 Micron Technology, Inc. In service programmable logic arrays with low tunnel barrier interpoly insulators
US6778441B2 (en) * 2001-08-30 2004-08-17 Micron Technology, Inc. Integrated circuit memory device and method
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
KR100415538B1 (ko) * 2001-09-14 2004-01-24 주식회사 하이닉스반도체 이중 유전막을 구비한 캐패시터 및 그 제조 방법
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6790755B2 (en) * 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
EP1324393B1 (en) * 2001-12-28 2008-04-09 STMicroelectronics S.r.l. Manufacturing process of a semiconductor non-volatile memory cell and corresponding memory-cell
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
JP4102072B2 (ja) * 2002-01-08 2008-06-18 株式会社東芝 半導体装置
US6645882B1 (en) 2002-01-17 2003-11-11 Advanced Micro Devices, Inc. Preparation of composite high-K/standard-K dielectrics for semiconductor devices
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6893984B2 (en) * 2002-02-20 2005-05-17 Micron Technology Inc. Evaporated LaA1O3 films for gate dielectrics
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6693004B1 (en) 2002-02-27 2004-02-17 Advanced Micro Devices, Inc. Interfacial barrier layer in semiconductor devices with high-K gate dielectric material
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7323422B2 (en) * 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US6642573B1 (en) 2002-03-13 2003-11-04 Advanced Micro Devices, Inc. Use of high-K dielectric material in modified ONO structure for semiconductor devices
JP2003282873A (ja) * 2002-03-22 2003-10-03 Sony Corp 半導体装置およびその製造方法
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US6617639B1 (en) 2002-06-21 2003-09-09 Advanced Micro Devices, Inc. Use of high-K dielectric material for ONO and tunnel oxide to improve floating gate flash memory coupling
JP4643884B2 (ja) * 2002-06-27 2011-03-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7326988B2 (en) * 2002-07-02 2008-02-05 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
JP2004047503A (ja) * 2002-07-08 2004-02-12 Fujitsu Ltd 半導体装置及びその製造方法
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US20040012043A1 (en) * 2002-07-17 2004-01-22 Gealy F. Daniel Novel dielectric stack and method of making same
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US7084423B2 (en) 2002-08-12 2006-08-01 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
JP2004079687A (ja) * 2002-08-13 2004-03-11 Tokyo Electron Ltd キャパシタ構造、成膜方法及び成膜装置
KR20050069986A (ko) * 2002-08-18 2005-07-05 에비자 테크놀로지, 인크. 실리콘 산화물 및 산질화물의 저온 증착
TW200408015A (en) * 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
TW200408323A (en) * 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
DE10240423B4 (de) * 2002-09-02 2007-02-22 Advanced Micro Devices, Inc., Sunnyvale Halbleiterelement mit einem Feldeffekttransistor und einem passiven Kondensator mit reduziertem Leckstrom und einer verbesserten Kapazität pro Einheitsfläche und Verfahren zu dessen Herstellung
US6607973B1 (en) * 2002-09-16 2003-08-19 Advanced Micro Devices, Inc. Preparation of high-k nitride silicate layers by cyclic molecular layer deposition
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US7101813B2 (en) * 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US20040121566A1 (en) * 2002-12-23 2004-06-24 Infineon Technologies North America Corp Method to produce low leakage high K materials in thin film form
US7015088B2 (en) * 2002-12-31 2006-03-21 Texas Instruments Incorporated High-K gate dielectric defect gettering using dopants
US6762114B1 (en) * 2002-12-31 2004-07-13 Texas Instruments Incorporated Methods for transistor gate fabrication and for reducing high-k gate dielectric roughness
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
CN100411116C (zh) * 2003-01-17 2008-08-13 富士通株式会社 电介质膜的形成方法
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
KR101159070B1 (ko) * 2003-03-11 2012-06-25 삼성전자주식회사 고유전율 산화막 형성방법, 이 방법으로 형성된 유전막이구비된 커패시터 및 그 제조방법
AU2003221174A1 (en) * 2003-03-20 2004-10-11 Fujitsu Limited Semiconductor device having high dielectric film neutralizing fixed charge
JP4507232B2 (ja) * 2003-03-24 2010-07-21 ローム株式会社 半導体装置の製造方法
US7135369B2 (en) * 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
KR100532434B1 (ko) * 2003-05-09 2005-11-30 삼성전자주식회사 반도체 메모리 소자의 커패시터 제조 방법
US7033894B1 (en) * 2003-08-05 2006-04-25 Advanced Micro Devices, Inc. Method for modulating flatband voltage of devices having high-k gate dielectrics by post-deposition annealing
KR100541551B1 (ko) * 2003-09-19 2006-01-10 삼성전자주식회사 적어도 3층의 고유전막들을 갖는 아날로그 커패시터 및그것을 제조하는 방법
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050153571A1 (en) * 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US7229880B2 (en) * 2003-11-19 2007-06-12 Promos Technologies Inc. Precision creation of inter-gates insulator
KR100550641B1 (ko) * 2003-11-22 2006-02-09 주식회사 하이닉스반도체 산화하프늄과 산화알루미늄이 혼합된 유전막 및 그 제조방법
KR100584996B1 (ko) * 2003-11-22 2006-05-29 주식회사 하이닉스반도체 산화하프늄과 산화알루미늄이 혼합된 유전막을 갖는캐패시터 및 그 제조 방법
US20050142715A1 (en) * 2003-12-26 2005-06-30 Fujitsu Limited Semiconductor device with high dielectric constant insulator and its manufacture
JP2005311300A (ja) * 2004-03-26 2005-11-04 Toshiba Corp 半導体記憶装置及びその製造方法
KR100604845B1 (ko) 2004-04-12 2006-07-26 삼성전자주식회사 질소를 포함하는 씨앗층을 구비하는 금속-절연체-금속캐패시터 및 그 제조방법
US7773365B2 (en) * 2004-04-30 2010-08-10 Hewlett-Packard Development Company, L.P. Dielectric material
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
JP2006005313A (ja) * 2004-06-21 2006-01-05 Toshiba Corp 半導体装置及びその製造方法
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
KR100688499B1 (ko) * 2004-08-26 2007-03-02 삼성전자주식회사 결정화 방지막을 갖는 유전막을 포함하는 mim 캐패시터및 그 제조방법
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7494939B2 (en) * 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US20060068190A1 (en) * 2004-09-30 2006-03-30 Intel Corporation Electronic devices with molecular sieve layers
KR100609066B1 (ko) * 2004-10-15 2006-08-09 삼성전자주식회사 미세 전자 소자의 다층 유전체막 및 그 제조 방법
KR100889362B1 (ko) * 2004-10-19 2009-03-18 삼성전자주식회사 다층 유전체막으로 이루어진 트랜지스터 및 그 제조 방법
KR100593645B1 (ko) * 2004-10-28 2006-06-28 삼성전자주식회사 반도체 장치의 제조 방법
KR100599135B1 (ko) * 2004-12-09 2006-07-12 한국전자통신연구원 반도체 트랜지스터의 게이트 절연막 및 그 제조방법
US7235501B2 (en) * 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
KR100688521B1 (ko) 2005-01-18 2007-03-02 삼성전자주식회사 고유전율 절연막을 포함하는 반도체 소자 및 그 제조 방법
US8049264B2 (en) * 2005-01-28 2011-11-01 Qimonda Ag Method for producing a dielectric material on a semiconductor device and semiconductor device
KR100601995B1 (ko) 2005-03-02 2006-07-18 삼성전자주식회사 물성 변환층을 이용한 트랜지스터와 그 동작 및 제조 방법
KR100634262B1 (ko) 2005-03-05 2006-10-13 삼성전자주식회사 복합 유전막을 갖는 반도체 장치의 제조 방법
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
JP2006310662A (ja) * 2005-04-28 2006-11-09 Toshiba Corp 不揮発性半導体メモリ装置
US7662729B2 (en) * 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7572695B2 (en) * 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
JP2006344837A (ja) * 2005-06-09 2006-12-21 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
KR100791197B1 (ko) * 2005-06-16 2008-01-02 후지쯔 가부시끼가이샤 유전체막의 형성 방법, 반도체 장치의 제조 방법 및 반도체 장치
KR100653721B1 (ko) * 2005-06-30 2006-12-05 삼성전자주식회사 질소주입활성영역을 갖는 반도체소자 및 그 제조방법
KR100596805B1 (ko) * 2005-06-30 2006-07-04 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성방법
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
KR100712521B1 (ko) * 2005-07-28 2007-04-30 삼성전자주식회사 금속-절연체-금속형 커패시터의 제조 방법
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070049023A1 (en) * 2005-08-29 2007-03-01 Micron Technology, Inc. Zirconium-doped gadolinium oxide films
JP2007088113A (ja) * 2005-09-21 2007-04-05 Sony Corp 半導体装置の製造方法
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
KR100683384B1 (ko) * 2005-12-30 2007-02-15 동부일렉트로닉스 주식회사 반도체 소자의 계면 전하포획 밀도 측정 방법
US7972974B2 (en) * 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7709402B2 (en) * 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
JP4746468B2 (ja) * 2006-04-14 2011-08-10 株式会社東芝 半導体装置
KR100717770B1 (ko) 2006-04-24 2007-05-11 주식회사 하이닉스반도체 지르코늄산화막을 포함하는 적층구조의 유전막을 구비한플래시메모리소자 및 그의 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7579646B2 (en) * 2006-05-25 2009-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Flash memory with deep quantum well and high-K dielectric
US7985995B2 (en) * 2006-08-03 2011-07-26 Micron Technology, Inc. Zr-substituted BaTiO3 films
US7727908B2 (en) * 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7759747B2 (en) * 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7544604B2 (en) * 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US20080057659A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US8816422B2 (en) * 2006-09-15 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-trapping layer flash memory cell
US8294197B2 (en) * 2006-09-22 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Program/erase schemes for floating gate memory cells
WO2008042981A2 (en) * 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US8318407B2 (en) 2006-11-01 2012-11-27 State Of Oregon Acting By And Through The State Board Of Higher Education On Behalf Of Oregon State University Solution processed thin films and laminates, devices comprising such thin films and laminates, and method for their use and manufacture
WO2008082448A1 (en) * 2006-11-01 2008-07-10 State Of Oregon Acting By And Through The State Board Of Higher Education On Behalf Of Oregon State University Solution processed thin films and laminates, devices comprising such thin films and laminates, and method for their use and manufacture
US20080150003A1 (en) * 2006-12-20 2008-06-26 Jian Chen Electron blocking layers for electronic devices
US7847341B2 (en) 2006-12-20 2010-12-07 Nanosys, Inc. Electron blocking layers for electronic devices
US20080150004A1 (en) * 2006-12-20 2008-06-26 Nanosys, Inc. Electron Blocking Layers for Electronic Devices
WO2008079684A2 (en) * 2006-12-20 2008-07-03 Nanosys, Inc. Electron blocking layers for electronic devices
KR100809724B1 (ko) * 2007-03-02 2008-03-06 삼성전자주식회사 터널링층을 구비한 바이폴라 스위칭 타입의 비휘발성메모리소자
KR100805821B1 (ko) 2007-04-02 2008-02-21 한양대학교 산학협력단 플래시 기억 소자 및 그 제조 방법
KR101338158B1 (ko) * 2007-07-16 2013-12-06 삼성전자주식회사 비휘발성 기억 소자 및 그 형성 방법
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8735963B2 (en) * 2008-07-07 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Flash memory cells having leakage-inhibition layers
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
KR20100100550A (ko) * 2009-03-06 2010-09-15 삼성전자주식회사 메모리 장치의 제조 방법
KR101647384B1 (ko) 2010-03-16 2016-08-24 삼성전자주식회사 반도체 소자
US8350341B2 (en) 2010-04-09 2013-01-08 International Business Machines Corporation Method and structure for work function engineering in transistors including a high dielectric constant gate insulator and metal gate (HKMG)
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
CN102810468B (zh) * 2011-06-03 2015-02-18 中国科学院微电子研究所 一种高k栅介质界面优化方法
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
KR101898027B1 (ko) 2011-11-23 2018-09-12 아콘 테크놀로지스 인코포레이티드 계면 원자 단일층의 삽입에 의한 ⅳ족 반도체에 대한 금속 접점의 개선
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
CN103377874A (zh) * 2012-04-23 2013-10-30 南亚科技股份有限公司 硅酸铪氮氧化合物制作方法
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US8878302B2 (en) * 2012-12-05 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having SiGe substrate, interfacial layer and high K dielectric layer
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
DE102013109357A1 (de) * 2013-08-29 2015-03-05 Endress + Hauser Conducta Gesellschaft für Mess- und Regeltechnik mbH + Co. KG Ionensensitive Schichtstruktur für einen ionensensitiven Sensor und Verfahren zur Herstellung derselben
KR20230156842A (ko) 2014-10-23 2023-11-14 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
KR102346372B1 (ko) 2015-10-13 2021-12-31 인프리아 코포레이션 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
US9620611B1 (en) 2016-06-17 2017-04-11 Acorn Technology, Inc. MIS contact structure with metal oxide conductor
KR102208520B1 (ko) 2016-07-19 2021-01-26 어플라이드 머티어리얼스, 인코포레이티드 디스플레이 디바이스들에서 활용되는 지르코늄 산화물을 포함하는 하이-k 유전체 재료들
WO2018094205A1 (en) 2016-11-18 2018-05-24 Acorn Technologies, Inc. Nanowire transistor with source and drain induced by electrical contacts with negative schottky barrier height
JP6875188B2 (ja) * 2017-04-25 2021-05-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US10748774B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR20220033538A (ko) * 2020-09-07 2022-03-17 삼성디스플레이 주식회사 발광 소자, 발광 소자의 제조 방법 및 표시 장치
US11961895B2 (en) 2021-09-08 2024-04-16 International Business Machines Corporation Gate stacks with multiple high-κ dielectric layers

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030152813A1 (en) * 1992-10-23 2003-08-14 Symetrix Corporation Lanthanide series layered superlattice materials for integrated circuit appalications
US6088216A (en) * 1995-04-28 2000-07-11 International Business Machines Corporation Lead silicate based capacitor structures
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
EP0851473A3 (en) 1996-12-23 1998-07-22 Lucent Technologies Inc. Method of making a layer with high dielectric K, gate and capacitor insulator layer and device
US6841439B1 (en) * 1997-07-24 2005-01-11 Texas Instruments Incorporated High permittivity silicate gate dielectric
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
US6727148B1 (en) 1998-06-30 2004-04-27 Lam Research Corporation ULSI MOS with high dielectric constant gate insulator
US6737716B1 (en) * 1999-01-29 2004-05-18 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6407435B1 (en) * 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US6664186B1 (en) * 2000-09-29 2003-12-16 International Business Machines Corporation Method of film deposition, and fabrication of structures
US6563183B1 (en) * 2001-12-31 2003-05-13 Advanced Micro Devices, Inc. Gate array with multiple dielectric properties and method for forming same

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7902019B2 (en) 2001-02-02 2011-03-08 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
JP2002343965A (ja) * 2001-05-21 2002-11-29 Matsushita Electric Ind Co Ltd Mis型半導体装置及びその製造方法
JP4639000B2 (ja) * 2001-05-21 2011-02-23 パナソニック株式会社 Mis型半導体装置及びその製造方法
JP4691873B2 (ja) * 2003-03-31 2011-06-01 富士通株式会社 半導体装置およびその製造方法
JP2004304053A (ja) * 2003-03-31 2004-10-28 Fujitsu Ltd 半導体装置およびその製造方法
JP2006522225A (ja) * 2003-04-04 2006-09-28 アプライド マテリアルズ インコーポレイテッド 窒化ハフニウム堆積の方法
JP2005101529A (ja) * 2003-08-29 2005-04-14 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法および半導体装置
CN100350573C (zh) * 2003-11-27 2007-11-21 联华电子股份有限公司 形成介电层之间黏着力的方法与结构
JP2005217409A (ja) * 2004-01-29 2005-08-11 Samsung Electronics Co Ltd 半導体素子の多層誘電体構造物、半導体及びその製造方法
JP4722501B2 (ja) * 2004-01-29 2011-07-13 三星電子株式会社 半導体素子の多層誘電体構造物、半導体及びその製造方法
US7459372B2 (en) 2004-08-11 2008-12-02 Samsung Electronics Co., Ltd. Methods of manufacturing a thin film including hafnium titanium oxide and methods of manufacturing a semiconductor device including the same
WO2006028215A1 (ja) * 2004-09-09 2006-03-16 Tokyo Electron Limited 薄膜キャパシタ及びその形成方法、及びコンピュータ読み取り可能な記憶媒体
JPWO2006028215A1 (ja) * 2004-09-09 2008-05-08 東京エレクトロン株式会社 薄膜キャパシタ及びその形成方法、及びコンピュータ読み取り可能な記憶媒体
KR100854428B1 (ko) * 2004-09-09 2008-08-27 도쿄엘렉트론가부시키가이샤 박막 커패시터와 그 형성 방법 및 컴퓨터 판독 가능한 기억매체
JP2012134511A (ja) * 2004-11-08 2012-07-12 Sk Hynix Inc ジルコニウム酸化膜を有する半導体素子のキャパシタ及びその製造方法
US8084804B2 (en) 2004-11-08 2011-12-27 Hynix Semiconductor Inc. Capacitor with zirconium oxide and method for fabricating the same
JP2006135339A (ja) * 2004-11-08 2006-05-25 Hynix Semiconductor Inc ジルコニウム酸化膜を有する半導体素子のキャパシタ及びその製造方法
JP2006324363A (ja) * 2005-05-17 2006-11-30 Elpida Memory Inc キャパシタおよびその製造方法
JP2007081265A (ja) * 2005-09-16 2007-03-29 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2007088301A (ja) * 2005-09-22 2007-04-05 Toshiba Corp 半導体装置および半導体装置の製造方法
JP2007184523A (ja) * 2005-12-29 2007-07-19 Hynix Semiconductor Inc フラッシュメモリ素子及びその製造方法
US7612404B2 (en) 2006-04-14 2009-11-03 Kabushiki Kaisha Toshiba Semiconductor device
JP2010531048A (ja) * 2006-12-20 2010-09-16 ナノシス・インコーポレイテッド 電子素子用電子ブロック層
US8686490B2 (en) 2006-12-20 2014-04-01 Sandisk Corporation Electron blocking layers for electronic devices
US9214525B2 (en) 2006-12-20 2015-12-15 Sandisk Corporation Gate stack having electron blocking layers on charge storage layers for electronic devices
US8841664B2 (en) 2011-03-04 2014-09-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
WO2016152059A1 (ja) * 2015-03-24 2016-09-29 株式会社デンソー 半導体装置
WO2016152058A1 (ja) * 2015-03-24 2016-09-29 株式会社デンソー 半導体装置
JP2016181618A (ja) * 2015-03-24 2016-10-13 株式会社デンソー 半導体装置
JP2016181617A (ja) * 2015-03-24 2016-10-13 株式会社デンソー 半導体装置
US10128344B2 (en) 2015-03-24 2018-11-13 Toyota Jidosha Kabushiki Kaisha Semiconductor device
US10290707B2 (en) 2015-03-24 2019-05-14 Toyota Jidosha Kabushiki Kaisha Semiconductor device
KR20200036716A (ko) * 2018-09-27 2020-04-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 스태거드 선택적 성장을 갖는 반도체 구조체
KR102235199B1 (ko) * 2018-09-27 2021-04-06 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 스태거드 선택적 성장을 갖는 반도체 구조체
US12009253B2 (en) 2018-09-27 2024-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with staggered selective growth

Also Published As

Publication number Publication date
US20050074982A1 (en) 2005-04-07
US20020106536A1 (en) 2002-08-08
JP4132824B2 (ja) 2008-08-13
US7112539B2 (en) 2006-09-26
US6844604B2 (en) 2005-01-18
KR20040002818A (ko) 2004-01-07
TW499730B (en) 2002-08-21

Similar Documents

Publication Publication Date Title
JP4132824B2 (ja) 半導体素子の誘電体膜及びその製造方法
US8841184B2 (en) Dielectric layer for semiconductor device and method of manufacturing the same
US6020024A (en) Method for forming high dielectric constant metal oxides
JP3912990B2 (ja) 集積回路構造およびその製造方法
JP4722501B2 (ja) 半導体素子の多層誘電体構造物、半導体及びその製造方法
US7227209B2 (en) Method of improved high K dielectric—polysilicon interface for CMOS devices
US6713846B1 (en) Multilayer high κ dielectric films
KR100780661B1 (ko) 비휘발성 메모리 소자의 유전체막 및 그 형성방법
JP5650185B2 (ja) 誘電体材料を含む個別要素又は半導体デバイスを含む集積回路デバイス
KR100717770B1 (ko) 지르코늄산화막을 포함하는 적층구조의 유전막을 구비한플래시메모리소자 및 그의 제조 방법
JPWO2003081667A1 (ja) 半導体装置及びその製造方法
JP2009177192A (ja) 半導体デバイスとゲート誘電体組み合わせ層の形成方法
KR100729354B1 (ko) 유전막의 전기적 특성 향상을 위한 반도체 소자의 제조방법
KR20020064624A (ko) 반도체소자의 유전체막 및 그 제조방법
US6855594B1 (en) Methods of forming capacitors
KR101131968B1 (ko) 비휘발성 메모리 소자 및 그 제조방법
KR100753079B1 (ko) 비휘발성 메모리 소자의 형성 방법
US7262098B2 (en) Manufacturing process of a semiconductor non-volatile memory cell
KR20050050003A (ko) 원자층증착 기술을 사용하여 탄소 불순물들을 함유하는유전막을 형성하는 방법
JP2006128333A (ja) キャパシタおよびキャパシタの製造方法および半導体装置
KR20050061077A (ko) 반도체 장치에서 유전막 형성 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041015

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070525

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070612

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070810

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070904

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071130

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20071225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080310

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080416

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080507

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080602

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110606

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4132824

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120606

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130606

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees