JP3912990B2 - 集積回路構造およびその製造方法 - Google Patents

集積回路構造およびその製造方法 Download PDF

Info

Publication number
JP3912990B2
JP3912990B2 JP2001020773A JP2001020773A JP3912990B2 JP 3912990 B2 JP3912990 B2 JP 3912990B2 JP 2001020773 A JP2001020773 A JP 2001020773A JP 2001020773 A JP2001020773 A JP 2001020773A JP 3912990 B2 JP3912990 B2 JP 3912990B2
Authority
JP
Japan
Prior art keywords
dielectric
layer
dielectric layer
integrated circuit
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001020773A
Other languages
English (en)
Other versions
JP2001267566A (ja
Inventor
マー ヤンジュン
オノ ヨシ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Publication of JP2001267566A publication Critical patent/JP2001267566A/ja
Application granted granted Critical
Publication of JP3912990B2 publication Critical patent/JP3912990B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Inorganic Insulating Materials (AREA)
  • Laminated Bodies (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、一般的に大規模集積回路(LSI)製造プロセスに関し、より詳細には、多層誘電体スタック、およびそのようなスタックを有するトランジスタを製造するための方法に関する。
【0002】
【従来の技術】
現在のVLSI技術は、MOSデバイスのゲート誘電体としてSiO2を用いる。デバイス寸法が縮小され続けるにつれ、ゲートとチャネル領域間で同一のキャパシタンスを維持するために、SiO2層の厚さも減少しなければならない。将来には、2ナノメートル(nm)未満の厚さが予想される。しかしながら、そのようなSiO2膜ではトンネル電流を無視できなくなる為、代替の材料を考慮する必要がある。高誘電率を有する材料では、ゲート誘電体層を逆に厚くすることができるので、トンネル電流問題を改善できる。これらの、いわゆるhigh−k誘電体膜は、本明細書において、二酸化シリコンを凌ぐ高誘電率を有するものとして定義される。典型的には、二酸化シリコンは、約4の比誘電率を有するが、約10を超える比誘電率を有するゲート誘電体材料を用いることが望ましい。
【0003】
【発明が解決しようとする課題】
上記のhigh−k誘電体に関する一般的な問題の一つは、通常の集積回路製造条件を用いていかに均一な膜を成長させるかということである。現在の常法を用いた場合には、膜の表面の平坦性が大きく低下する。表面の平坦性の低下により、誘電体膜に隣接するチャネル領域に不均一な電界を生じる。そのような膜は、MOSFETデバイスのゲート誘電体として不適切である。
【0004】
高いトンネル電流のために、1.5nmよりも薄いSiO2膜は、一般的にCMOSデバイスのゲート誘電体として使用できない。現在、SiO2をTiO2およびTa25に置き換えるための研究における多大な努力が、最大の関心を呼んでいる。堆積後の高温アニーリング界面に副成されるSiO2層は、酸化物換算膜厚(EOT):1.5nmを有するhigh−k膜の実現を非常に困難にしている。
【0005】
【課題を解決するための手段】
全体的な性能を劣化させたり、またはトンネル電流を増加させることなく、酸化物薄膜に関する問題を改善する代替誘電体が用いられれば有利である。
【0006】
MOSトランジスタのゲート電極とその下のチャネル領域との間の絶縁バリアとして、high−k誘電体膜が用いられ得れば有利である。
【0007】
high−k誘電体膜が、低減された表面平坦性および低リーク電流を有して形成され得れば有利である。これらの特性を有する高誘電率材料が、集積回路のゲート誘電体および格納キャパシタに用いられ得れば有利である。
【0008】
本発明によるMOSトランジスタは、a)ゲート電極と、b)該ゲート電極の下に上面を有するチャネル領域と、c)第1の誘電体材料を含む第1の誘電体層、第2の誘電体材料を含む第2の誘電体層、および該第1の誘電体材料を含む第3の誘電体層を含み、該ゲート電極と該チャネル領域の上面との間に挿入させたゲート誘電体スタックとを含み、これにより上記目的を達成する。
【0009】
本発明によル多層誘電体スタックを含むICのための集積回路(IC)構造は、a)第1の誘電体材料を含み、半導体基板を覆う第1の誘電体層と、b)第2の誘電体材料を含み、該第1の誘電体層を覆う第2の誘電体層と、c)該第1の誘電体材料を含み、該第1および第2の誘電体層を覆う第3の誘電体層と、d)該誘電体スタックを覆う電極とを含み、これにより上記目的を達成する。
【0010】
前記第1の誘電体材料は、ZrO2、HfO2、TiO2、およびTa25からなる群から選択され、前記第2の誘電体材料は、Al23、AlN、SiN、Si34、およびSiO2からなる群から選択されてもよい。
【0011】
前記第1の誘電体材料は、Al23、AlN、SiN、Si34、およびSiO2からなる群から選択され、前記第2の誘電体材料は、ZrO2、HfO2、TiO2、およびTa25からなる群から選択されてもよい。
【0012】
前記第1の誘電体層は、50オングストローム未満の厚さであってもよい。
【0013】
前記第1の誘電体層は、約2〜5オングストロームの厚さであってもよい。
【0014】
前記第2の誘電体層は、50オングストローム未満の厚さであってもよい。
【0015】
前記第2の誘電体層は、約2〜5オングストロームの厚さであってもよい。
【0016】
前記第1の誘電体層と前記半導体基板との間に挿入された酸化バリアをさらに含んでもよい。
【0017】
前記酸化バリアが、窒化シリコンおよび酸窒化シリコンからなる群から選択される材料で構成されてもよい。
【0018】
前記半導体基板と前記電極との間に、複数の前記第1の誘電体材料および前記第2の誘電体材料の複数の交互の層が挿入されてもよい。
【0019】
前記複数の交互の層は、約20〜200オングストロームの厚さの合計厚さを有してもよい。
【0020】
本発明による誘電体スタックを形成する方法は、a)半導体基板の上面に第1の誘電体層を形成する工程と、b)該第1の誘電体層の上に第2の誘電体層を形成する工程と、c)該第2の誘電体層の上に、第3の誘電体層を形成する工程であって、該第3の誘電体層は、該第1の誘電体材料と同じ誘電体材料を含む、工程とを含み、これにより上記目的を達成する。
【0021】
前記半導体基板を摂氏約400度から900度の間の温度でアニーリングし前記誘電体スタックを改質する工程をさらに含んでもよい。
【0022】
前記誘電体スタック上に電極層を堆積する工程と、該電極層およびその下の該誘電体スタックをパターニングして、所望の集積回路構造を形成する工程とをさらに含んでもよい。
【0023】
前記第1の誘電体層を形成する工程は、単原子層堆積法を用いて、前記第1の誘電体材料を堆積してもよい。
【0024】
前記第2の誘電体層を形成する工程は、単原子層堆積法を用いて、前記第2の誘電体材料を堆積してもよい。
【0025】
前記第1の誘電体層を形成する工程は、単原子層堆積法を用いて、前記第1の誘電体材料の第1の前駆体を堆積してもよい。
【0026】
前記第1の前駆体を酸化して、前記第1の誘電体材料を形成する工程をさらに含んでもよい。
【0027】
前記第1の前駆体は、自己制限的に形成された単分子層として堆積されてもよい。
【0028】
前記第1の前駆体は、ZrCl4、ジルコニウムイソプロポキシド(以下Zr(iOPr)4と記す)、およびジルコニウムテトラメチルヘプタンジオネート(以下Zr(tmhd)4と記す)からなる群から選択されてもよい。
【0029】
前記第1の誘電体層を形成する工程は、所定の時間、第1のターゲットのスパッタリングを用い、前記第2の誘電体層を形成する工程は、所定の時間、第2のターゲットのパルススパッタリングを用いてもよい。
【0030】
前記第1のターゲットおよび前記第2のターゲットのスパッタリングの前記時間は、シャッターにより制御されてもよい。
【0031】
スパッタリングが酸化雰囲気で実行されてもよい。
【0032】
前記第1の誘電体層を形成する工程は、所定の時間、第1のターゲット材料の蒸着を用い、前記第2の誘電体層を形成する工程は、所定の時間、第2のターゲット材料の蒸着を用いてもよい。
【0033】
前記第1のターゲットおよび前記第2のターゲットの蒸着の時間は、シャッターにより制御されてもよい。
【0034】
従って、SiO2を凌ぐ高誘電率を有するhigh−k材料と挿入材料とで交互に構成される多層誘電体スタックが提供される。high−k材料は、酸化チタン(TiO2)、酸化ジルコニウム(ZrO2)、酸化ハフニウム(HfO2)、酸化タンタル(Ta25)、およびバリウムストロンチウムチタン酸化物((Ba,Sr)TiO3)から選択され、好ましくは、酸化ジルコニウムまたは酸化ハフニウムである。挿入材料は、酸化アルミニウム(Al23)、窒化アルミニウム(AlN)、窒化シリコン(SiNまたはSi34)、または二酸化シリコン(SiO2)から選択され、好ましくは、酸化アルミニウム、窒化アルミニウム、または窒化シリコンである。
【0035】
好ましくは、high−k材料と挿入材料との交互の層は、集積回路における従来技術の二酸化シリコン誘電体層に取って代わる。それぞれの層は、好ましくは厚さ50オングストローム未満である。挿入材料と接するhigh−k材料の薄層は、挿入材料によって膜の結晶化が抑制される。多層は、トンネル電流を低減し、これにより、より優れたデバイス性能を可能にする。全体の高さは変えずに、追加の層がトンネル電流を低減する。所与の高さを有するスタックの全キャパシタンスは、挿入材料およびhigh−k材料の両方の誘電率に非常に依存する。所望の全厚に対する層の数は、各層で得られる最小厚さおよび所望のデバイス特性により限定される。
【0036】
さらに、半導体基板上の誘電体材料からなる第1の層と、第1の層上の誘電体材料からなる第2の層と、第2の層上の第1の層と同じ材料で構成された第3の層とを有する多層誘電体スタック、および誘電体スタック上の電極と含むICのための集積回路(IC)構造も提供される。誘電体スタックの構成材料は上述したとおりである。誘電体スタックの全厚は、好ましくは、20〜200オングストロームの間である。
【0037】
本発明の別の実施形態では、集積回路構造は、ゲート電極と、上面がゲート電極の下に重なるチャネル領域と、第1の誘電体材料を含む第1の誘電体層、第2の誘電体材料を含む第2の誘電体層、および第1の誘電体層と同じ材料を含む第3の誘電体層を含み、ゲート電極とチャネル領域の上面との間に挿入されたゲート誘電体スタックとを含むMOSトランジスタである。
【0038】
本発明のいくつかの局面は、シリコン基板と誘電体スタックとの間に挿入され、誘電体スタックの下にあるシリコン基板に酸素が移動するのを防ぐ酸化バリアをさらに含む。界面材料は、窒化アルミニウム、窒化シリコン、および酸窒化シリコンからなる群から選択される。
【0039】
上面を有する半導体基板上へのICの形成において、半導体基板上に多層誘電体スタックを形成するための方法が提供される。この方法は、
a)第1の誘電体層を半導体基板の上面に形成する工程と、
b)第2の誘電体層を第1の誘電体層の上に形成する工程と、
c)第3の誘電体層を第2の誘電体層の上に形成する工程であって、第3の誘電体層は第1の誘電体材料と同一の誘電体材料を含む、工程と、
を含む。
【0040】
好ましくは、各誘電体層は前駆体の単原子層堆積法(Atomic Layer CVD)(ときにパルスCVDとも称される)と、所望の酸化材料を形成するためのその後の前駆体の酸化とにより形成される。単原子層堆積法(Atomic Layer CVD)が好ましいが、各誘電体層を堆積するその他の方法は、スパッタリングや蒸着を含む。
【0041】
複数の誘電体層の堆積に続いて、誘電体スタック全体が好適には、摂氏約400〜900度の間の温度でアニーリングされ、スタック、層間の界面、および基板との界面が改質される。
【0042】
続く処理は、ICの形成を完了するために実行され得、電極層を堆積する工程と電極層とその下の複数の誘電体層をパターンニングする工程とを含み、多層誘電体スタック構造を形成する。
【0043】
【発明の実施の形態】
以下、例示目的である図面(倍率は一定ではない)を参照して、図1は、一般的な従来技術による集積回路のMOSトランジスタゲート構造10を示す。MOSトランジスタゲート構造10は、半導体基板12上のチャネル領域15を含む活性領域14の上に形成されている。MOSトランジスタゲート構造10は、一定の幅を備えるチャネル領域15の上に位置する二酸化シリコン誘電体層16を有する。電極18は、典型的にはドープされたポリシリコンであり、誘電体層16の上に形成され、ゲート構造10が完成される。
【0044】
完成されたMOSトランジスタは、図1および他のいくつかの図に示されるソース領域21、ドレイン領域23、および電界絶縁領域27も含む。しかし、これらの特徴は当業者に周知であるので、さらに説明または明示しない。以下の説明において、このMOSトランジスタゲート構造10に類似する構造は、本発明が誘電体材料を用いる他のデバイス構造に適用可能であることを強調するために、しばしば集積回路構造と呼ばれる。
【0045】
ICデバイスの寸法を縮小し続けるにつれ、二酸化シリコン誘電体層16の厚さも、同じキャパシタンスレベルおよびデバイス全体の他の特性を維持するためにより薄くしなければならない。ICデバイスの「寸法」とは、一般的に、チャネル領域15の長さを言う。その長さは、図1に示すように、一般的に、ゲート電極18の長さに等しい。この長さが縮小し続けるにつれ、チャネル領域の面積も減少する。キャパシタンスを維持するためには、二酸化シリコン層の厚さも減少しなければならない。二酸化シリコン誘電体層の必要な厚さが非常に薄くなる(約20オングストローム)と、一般的に、高いトンネル電流の発生により代替材料の使用を必要とする。代替材料は、二酸化シリコンに対して高誘電率を有するために、「high−k」材料と呼ばれる。high−k材料のための現在の候補は、酸化チタン(TiO2)、酸化ジルコニウム(ZrO2)、酸化ハフニウム(HfO2)、酸化タンタル(Ta25)、およびバリウムストロンチウムチタン酸化物((Ba,Sr)TiO3)である。残念ながら、これらの材料は、通常の成膜プロセス条件または後続するプロセス温度において多結晶構造を成長する傾向にある。これらの多結晶構造は、リーク電流の増加に関係してきた。
【0046】
本発明は、high−k材料および挿入材料の交互の層を用いることにより、これらの問題に取り組む。挿入材料は好ましくは非晶質である。薄いhigh−k層を分離する薄い挿入層は、high−k層内での多結晶構造の形成を低減または排除する。挿入材料は非晶質なので、隣接層内の結晶化の量もさらに低減する傾向にある。また、薄いhigh−k層を有することにより、発生し得る任意の結晶化は、比較的小さな結晶構造を形成する。非晶質の挿入材料が好ましいが、単結晶材料または小さな多結晶構造を有する材料も、本発明の範囲内である。
【0047】
以下、図2を参照して、半導体基板112の活性領域114上に集積回路構造110が形成される。集積回路構造は、図1に示す二酸化シリコン誘電体層16に取って代わる多層誘電体スタック116を有する。多層誘電体スタックは、活性領域114の上に挿入層130を有し、挿入層130の上にhigh−k層140を有する。挿入層130は、酸化アルミニウム(Al23)、窒化アルミニウム(AlN)、窒化シリコン(SiNまたはSi34)、または二酸化シリコン(SiO2)で構成されるが、好ましくは、酸化アルミニウムである。挿入層130は、厚さ50オングストローム未満である。high−k層140は、high−k誘電体材料で構成される。high−k誘電体材料は、酸化チタン(TiO2)、酸化ジルコニウム(ZrO2)、酸化ハフニウム(HfO2)、酸化タンタル(Ta25)、またはバリウムストロンチウムチタン酸化物((Ba,Sr)TiO3)であるが、好ましくはZrO2、またはHfO2である。第2の挿入層150はhigh−k層140上にあり、好ましくは、挿入層130と同一の材料で構成される。本発明の好適な実施形態では、例えば、Al23/ZrO2/Al23/ZrO2/Al23/ZrO2のように層が繰り返す。
【0048】
図3に示すように、層の数またはパターンが何度も繰返し得る。それぞれの追加の層は、多層誘電体スタックのトンネル電流を低減する傾向にあるが、同時に全体のキャパシタンスを低減する。低いトンネル電流で、高いキャパシタンスを有することが望ましいので、ICデバイスの所望の性能に基づき、平衡が好適に決定される。また、high−k層140を挿入層130よりも先に堆積するというように、材料の順序を逆にすることも可能である。
【0049】
偶数の層を上述したが、最上層として特別の層を備えることもまた、本発明の範囲内である。最上層は、電極118に良好な界面を設けるように選択され得る。
【0050】
挿入層130は、好ましくは、酸化バリアとして機能し、その下のシリコンを保護する材料から選択される。挿入層130が酸化バリアとして機能しない場合、すなわちさもなくばhigh−k層140がその下のシリコン基板に接触している場合、酸化バリア170は、図4に示すように、半導体基板112と多層誘電体スタック116との間に設けられる。本発明で必要とする厚さにおいて、酸化バリアとして機能する材料は、酸化アルミニウム、窒化シリコン、および酸窒化シリコンを含む。
【0051】
図5は、本発明による多層誘電体スタック216を備える集積回路デバイスの製造の中間段階の実施形態を示す。複数のhigh−k材料230および挿入材料240の層が、ウェハ212全体に交互に堆積され、多層誘電体スタック216を形成している。次に、電極層218もウェハ全体に堆積される。電極層218とその下の多層誘電体スタック216は、パターニングされてからエッチングされ、図2に示す集積回路構造を製造する。所望の接合または他の構造を製造するためにさらなるプロセスが実行され得る。
【0052】
また、本発明の多層誘電体スタックは、新規な置き換えゲート製造法と組み合わせて用いるのにも適している。置き換えゲートは、後に実質的に除去される予備ゲート構造の形成を含む。予備ゲート構造が除去された後には、予備ゲート構造が存在した箇所に開口部が残される。次に、最終的なゲートがこの開口に形成され得る。図6は、置き換えゲートの形成における中間段階での半導体基板を示す。予備ゲート構造は、すでに除去されており、プレーナ材料311により囲まれた開口部300を形成している。プレーナ材料311は、好ましくは二酸化シリコンまたは窒化シリコンである。
【0053】
図7は、置き換えゲートを形成するための開口部を有する半導体基板312上に、挿入材料330およびhigh−k材料340の交互の層で形成される多層誘電体スタック層316と電極層318とが堆積されたその後の段階を示す。図8に示す構造を製造するためには、多層誘電体スタック層316および電極層318が、化学機械研磨または他の適切なプロセスにより、それらがプレーナ材料311上にある領域から除去され得る。
【0054】
図8は、置き換えゲート構造に適用された本発明を示す。多層誘電体スタック416は、活性領域414上にある。多層誘電体スタック416の形成中に、多層壁422および424も形成される。電極418は好ましくは金属であり、多層壁422と424との間で多層誘電体スタック416上にある。
【0055】
本発明の方法における工程を図9に模式的に示す。第1の工程510は、半導体基板を提供する工程である。本発明の別の実施形態では、半導体基板上に酸化バリアも提供される。
【0056】
工程520は、酸化アルミニウム(Al23)、窒化アルミニウム(AlN)、窒化シリコン(SiNまたはSi34)、または二酸化シリコン(SiO2)などの挿入材料、あるいは酸化チタン(TiO2)、酸化ジルコニウム(ZrO2)、酸化ハフニウム(HfO2)、酸化タンタル(Ta25)、またはバリウムストロンチウムチタン酸化物((Ba,Sr)TiO3)などのhigh−k材料からなる50Å未満の薄層を堆積する工程である。high−k材料は、好ましくはZrO2、またはHfO2である。好ましくは、35オングストローム以下の薄層が堆積される。20オングストローム以下のさらに薄い層が望ましい。
【0057】
工程530は、50Å未満のhigh−k材料または挿入材料のうち、工程520で堆積されなかった材料を堆積する。好ましくは、35オングストローム以下の薄層が堆積される。20オングストローム以下のさらに薄い層が望ましい。
【0058】
本発明の好適な実施形態では、基板上に極薄層の材料を堆積するのに、単原子層堆積法(Atomic Layer CVD)(「パルスCVD」または「原子層エピタクシ」とも呼ばれる)が用いられる。単原子層堆積法(AtomicLayer CVD)は、化学吸着として知られる化学現象を用いる。化学吸着では、気相の材料がそれを飽和させる表面に吸着し、単分子層を形成する。たいていの従来の堆積技術は、物理吸着プロセスを用いる。物理吸着プロセスでは、純粋に統計的な表面カバレッジで多層堆積領域を形成する。化学吸着の利点を生かすことで、厚さおよび組成が極めて均質な膜を成長させ得る。例えば、この方法では、第1の単分子層を形成するために、塩化ジルコニウム(ZrCl4)を用いてZrCl4系をパージし、次いで表面を水蒸気(H2O)に曝すことにより、シリコン上に酸化ジルコニウム膜を報告されているように成長する。酸化ジルコニウム層を形成するための他の前駆体は、ジルコニウムプロポキシド(Zr(iOPr)4)およびジルコニウムテトラメチルヘプタンジオネート(Zr(tmhd)4)を含む。化学吸着は、所与の気体−固体の組み合わせに対し、非常に限られた範囲の温度および圧力で起こる。例えば、酸化ジルコニウムは、ZrCl4およびH2Oを用いて摂氏300度の温度で、シリコン基板上に報告されているように堆積されてきた。そのプロセスは単分子層を形成するので、さらなる単分子層を加えることにより、酸化ジルコニウムのより厚い層が形成される。いったん所望の厚さのhigh−k材料が堆積されたら、一つ以上の単分子層を所望の厚さに達するまで堆積することにより、挿入材料の層を形成し得る。例えば、Al23を生成するために、水素化ジメチルアルミニウム(DMAH)およびH2Oが用いられる。選択した前駆体に関する化学吸着を利用するためには、過度の実験を行わずに一般的なプロセスが最適化されなければならない。この堆積スキームの重要な局面は、次の成分を導入する前に、その前の成分を十分に除去することと、high−k材料および挿入材料により異なり得る温度および圧力を制御する能力とである。単原子層堆積法(Atomic Layer CVD)により、10オングストローム以下の厚さの層、好ましくは、約2〜5オングストロームの間の厚さの層を形成することを可能にする。そのような超薄原子層の半導体基板上への堆積を達成するための実用的な装置は現存しないが、原子層堆積が実行可能であるという実験的堆積は実施されている。
【0059】
従来のシステムを用いた別の堆積技術は、ターゲットをスパッタリングして、high−kまたは挿入材料の薄層を堆積することである。高純度金属の2つのスパッタリングターゲットが用いられる。例えば、一つのターゲットがジルコニウムで、一つのターゲットがアルミニウムである。それぞれのターゲットは、堆積時間を制御するための各自のシャッターを有する。ウェハを用意し、堆積チャンバ内に配置する。次に、このウェハを室温〜摂氏500度の間の温度に加熱する。次に、アルゴン(Ar)および酸素(O2)の混合物が堆積チャンバに導入される。チャンバ内に約500W〜5kWの間のスパッタリング出力によりプラズマが生成される。アルミニウムターゲットのシャッターは、好ましくは、約1〜10秒の時間開き、ウェハ上にアルミニウムを堆積し、その後閉じる。アルミニウムターゲットのシャッターが閉じた後、ジルコニウムシャッターが約1〜20秒の時間開き、ウェハを上にジルコニウムを堆積し、その後閉じる。チャンバ内に存在する酸素により、ターゲット材料のウェハ上への堆積と同時に堆積されたターゲット材料の酸化物を形成し、それぞれAl23およびZrO2を生成する。その後、所望によりこの工程が繰り返され、Al23/ZrO2/Al23/ZrO2/Al23/ZrO2のような多層誘電体スタックが形成される。
【0060】
本発明の堆積方法の別のさらなる実施形態では、薄層を堆積するためにターゲットからの蒸着法が用いられる。基本的なプロセスは、スパッタリングに関して上述した説明と実質的に同一だが、プラズマにターゲットを曝す代わりに、摂氏約1,000〜2,000度の間の温度でターゲットを加熱する点が異なる。上述のように、堆積時間を制御し、ターゲット間を交互にするためにシャッターが用いられ得る。
【0061】
上述の例では、挿入層は、high−k層よりも前に堆積されるが、high−k層を最初に堆積することも本発明の範囲内である。また、最初の層と最後の層が同一の材料となり得るか、または最後の層がまったく異なる材料になり得るように、奇数の層を形成することも本発明の範囲内である。
【0062】
工程540は、所望の数の層が堆積されるまで、工程520および530の繰返しを提供する。各層の堆積は、シャッター、または他の手段を利用して、堆積時間を制御することにより制御され得る。
【0063】
工程550は、アルゴン、窒素、または窒素および水素の混合物を含む不活性ガス雰囲気または酸素、水蒸気、一酸化二窒素または亜酸化窒素を含む酸化雰囲気のいずれかで、多層誘電体スタックをアニーリングする工程である。アニーリングは、好ましくは、high−k層および挿入層、ならびに様々な層間の界面およびその下のシリコンとの界面を改善するために、摂氏400度〜900度に上昇された温度で実施される。
【0064】
工程560は、電極の堆積と電極およびその下の多層誘電体スタックのパターニングを行う。パターニングは、所望により従来のパターニングプロセスまたは置き換えゲートプロセスのいずれかを用い得る。
【0065】
本発明は、トランジスタのゲート誘電体として二酸化シリコンを置き換えることに特によく適しているが、キャパシタ、強誘電体メモリデバイス、または他の種類の集積回路用の誘電体としても利用できる。
【0066】
さらなる実施形態が本発明の範囲で可能である。例示的実施形態から明白なように、本発明は、いくつかの異なる集積回路構造に関する様々な構成で実施され得る。本発明の範囲内で本方法の他の変形が、当業者により行われ得る。従って、上述の開示および説明は、例示目的のみであって、本発明の限定を意図していない。本発明は特許請求の範囲により規定される。
【0067】
【発明の効果】
上述したように、high−k材料および挿入材料の交互の層を有する多層誘電体スタックが提供される。挿入材料の存在およびhigh−k材料層の薄さは、比較的高いアニーリング温度であっても、high−k材料が結晶化する影響を低減または排除する。high−k誘電体層は、好ましくはジルコニウムまたはハフニウムの金属酸化物である。挿入層は、好ましくは非晶質の酸化アルミニウム、窒化アルミニウム、または窒化シリコンである。この層が、個々の層内で結晶化により形成されるグレインバウンダリーの貫通成長を抑制するので、全体的なトンネル電流が低減される。また、上述の多層誘電体スタックを形成するための所望の材料を堆積する方法として、単原子層堆積法、スパッタリング、および蒸着が提供される。
【図面の簡単な説明】
【図1】二酸化シリコン誘電体層を有する集積回路構造(従来技術)を示す模式断面図。
【図2】多層誘電体スタックを有する集積回路構造を示す模式断面図。
【図3】多層誘電体スタックの層数が異なり得ることを示す模式断面図。
【図4】シリコン基板と多層誘電体スタックとの間に挿入された酸化バリアを示す模式断面図。
【図5】複数の誘電体層および電極層の堆積に続く中間段階を示す模式断面図。
【図6】置き換えゲート法を用いた、本発明によるデバイスの形成の中間段階を示す模式断面図であって、予備ゲートの除去後の構造を示す図。
【図7】置き換えゲート法を用いた、本発明によるデバイスの形成の中間段階を示す模式断面図であって、多層誘電体材料および電極材料層を堆積した後の構造を示す図。
【図8】余分な材料を除去するためのプレーナプロセス後の図7のデバイスを示す模式断面図。
【図9】本発明の方法の工程をまとめたフローチャート。
【符号の説明】
110 集積回路構造
112、312 半導体基板
114、414 活性領域
116、216、316、416 多層誘電体スタック
118 電極
130 挿入層
140 high−k層
150 第2の挿入層
170 酸化バリア
218、318、418 電極層
230、340 high−k材料
240、330 挿入材料
300 開口部
311 プレーナ材料
422 多層壁

Claims (13)

  1. 半導体基板上に多層誘電体スタックを介して電極が積層されたICのための集積回路(IC)構造であって、
    前記多層誘電体スタックは、第1の誘電体層と第2の誘電体層と第3の誘電体層との積層構造を備え、
    前記第1および第3の誘電体層は、それぞれ、ZrO2、HfO2、TiO2、およびTa25からなる群から選択される第1の誘電体材料によって、2〜5オングストロームの厚さに構成され、
    前記第2の誘電体層は、Al23、AlN、SiN、Si34、およびSiO2からなる群から選択される第2の誘電体材料によって、50オングストローム未満の厚さに構成されていることを特徴とする、集積回路構造。
  2. 前記多層誘電体スタックは、前記半導体基板と第1の誘電体層との間に設けられた第4の誘電体層を有し、該第4の誘電体層は、前記第2の誘電体材料によって、50オングストローム未満の厚さに構成されている、請求項1に記載の集積回路構造。
  3. 前記半導体基板と前記誘電体スタックとの間に酸化バリアが設けられている、請求項1に記載の集積回路構造。
  4. 前記酸化バリアが、窒化シリコンおよび酸窒化シリコンからなる群から選択される材料で構成される、請求項3に記載の集積回路構造。
  5. 前記多層誘電体スタックは、前記第3の誘電体層上に第5の誘電体層を有し、該第5の誘電体層が、前記第2の誘電体材料によって、50オングストローム未満の厚さに構成されている、請求項1に記載の集積回路構造。
  6. 前記誘電体スタックは、20〜200オングストロームの厚さを有する、請求項1に記載の集積回路構造。
  7. 半導体基板上に多層誘電体スタックを形成する工程と、該多層誘電体スタック上に電極を形成する工程とを包含する集積回路(IC)構造の製造方法であって、
    前記多層誘電体スタックを形成する工程が、
    ZrO2、HfO2、TiO2、およびTa25からなる群から選択される第1の誘電体材料によって、2〜5オングストロームの厚さの第1の誘電体層を単原子層堆積法を用いて形成する工程と、
    該第1の誘電体層上に、Al23、AlN、SiN、Si34、およびSiO2からなる群から選択される第2の誘電体材料によって、50オングストローム未満の厚さの第2の誘電体層を形成する工程と、
    該第2の誘電体層上に、前記第1の誘電体材料によって、2〜5オングストロームの厚さの第3の誘電体層を単原子層堆積法を用いて形成する工程と、
    を包含することを特徴とする集積回路構造の製造方法。
  8. 前記誘電体スタックを形成する工程に次いで、前記誘電体スタックを摂氏400度から900度の間の温度でアニーリングして該誘電体スタックを改質する工程をさらに含む、請求項7に記載の方法。
  9. 前記電極層を形成する工程が、該電極層およびその下の前記誘電体スタックをパターニングする工程をさらに含む、請求項7に記載の方法。
  10. 前記第2の誘電体層を形成する工程において、単原子層堆積法を用いて、前記第2の誘電体材料を堆積する、請求項7に記載の方法。
  11. 前記第1の誘電体層および前記第3の誘電体層を形成する工程のそれぞれにおいて、堆積された前駆体の化学吸着を用いる単原子層堆積法によって前記第1の誘電体材料がそれぞれ形成される、請求項7に記載の方法。
  12. 前記化学吸着により前記前駆体を酸化して、前記第1の誘電体材料がそれぞれ形成される、請求項11に記載の方法。
  13. 前記前駆体は、ZrCl4、ジルコニウムイソプロポキシド(以下Zr(iOPr)4と記す)、およびジルコニウムテトラメチルヘプタンジオネート(以下Zr(tmhd)4と記す)からなる群から選択される、請求項11に記載の方法。
JP2001020773A 2000-02-11 2001-01-29 集積回路構造およびその製造方法 Expired - Fee Related JP3912990B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/502.420 2000-02-11
US09/502,420 US6407435B1 (en) 2000-02-11 2000-02-11 Multilayer dielectric stack and method

Publications (2)

Publication Number Publication Date
JP2001267566A JP2001267566A (ja) 2001-09-28
JP3912990B2 true JP3912990B2 (ja) 2007-05-09

Family

ID=23997739

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001020773A Expired - Fee Related JP3912990B2 (ja) 2000-02-11 2001-01-29 集積回路構造およびその製造方法

Country Status (5)

Country Link
US (2) US6407435B1 (ja)
EP (1) EP1124262B1 (ja)
JP (1) JP3912990B2 (ja)
KR (1) KR100419440B1 (ja)
DE (1) DE60118817T2 (ja)

Families Citing this family (636)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040224459A1 (en) * 1999-07-07 2004-11-11 Matsushita Electric Industrial Co., Ltd. Layered structure, method for manufacturing the same, and semiconductor element
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
JP2001257344A (ja) * 2000-03-10 2001-09-21 Toshiba Corp 半導体装置及び半導体装置の製造方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
EP1297565A2 (en) * 2000-06-26 2003-04-02 North Carolina State University Novel non-crystalline oxides for use in microelectronic, optical, and other applications
US6458416B1 (en) * 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
US7192888B1 (en) * 2000-08-21 2007-03-20 Micron Technology, Inc. Low selectivity deposition methods
US7094690B1 (en) * 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
KR100814980B1 (ko) 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6602805B2 (en) * 2000-12-14 2003-08-05 Macronix International Co., Ltd. Method for forming gate dielectric layer in NROM
US6831339B2 (en) * 2001-01-08 2004-12-14 International Business Machines Corporation Aluminum nitride and aluminum oxide/aluminum nitride heterostructure gate dielectric stack based field effect transistors and method for forming same
US6713846B1 (en) * 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
US7371633B2 (en) * 2001-02-02 2008-05-13 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6844604B2 (en) * 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US20050145959A1 (en) * 2001-03-15 2005-07-07 Leonard Forbes Technique to mitigate short channel effects with vertical gate transistor with different gate materials
JP3792589B2 (ja) 2001-03-29 2006-07-05 富士通株式会社 半導体装置の製造方法
US6908639B2 (en) * 2001-04-02 2005-06-21 Micron Technology, Inc. Mixed composition interface layer and method of forming
JP2002314072A (ja) * 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
US6891231B2 (en) * 2001-06-13 2005-05-10 International Business Machines Corporation Complementary metal oxide semiconductor (CMOS) gate stack with high dielectric constant gate dielectric and integrated diffusion barrier
US7037862B2 (en) * 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
US20050040478A1 (en) * 2001-06-25 2005-02-24 Gerald Lucovsky Novel non-crystalline oxides for use in microelectronic, optical, and other applications
US6933566B2 (en) * 2001-07-05 2005-08-23 International Business Machines Corporation Method of forming lattice-matched structure on silicon and structure formed thereby
US6852575B2 (en) * 2001-07-05 2005-02-08 International Business Machines Corporation Method of forming lattice-matched structure on silicon and structure formed thereby
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US7368014B2 (en) * 2001-08-09 2008-05-06 Micron Technology, Inc. Variable temperature deposition methods
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
KR100431740B1 (ko) * 2001-09-14 2004-05-17 주식회사 하이닉스반도체 고유전막을 구비한 반도체소자 및 그 제조 방법
KR100415538B1 (ko) * 2001-09-14 2004-01-24 주식회사 하이닉스반도체 이중 유전막을 구비한 캐패시터 및 그 제조 방법
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6559014B1 (en) 2001-10-15 2003-05-06 Advanced Micro Devices, Inc. Preparation of composite high-K / standard-K dielectrics for semiconductor devices
US6562491B1 (en) * 2001-10-15 2003-05-13 Advanced Micro Devices, Inc. Preparation of composite high-K dielectrics
DE10153288A1 (de) * 2001-10-31 2003-05-15 Infineon Technologies Ag Herstellungsverfahren für ein Halbleiterbauelement
JP2003152102A (ja) * 2001-11-15 2003-05-23 Hitachi Ltd 半導体集積回路装置の製造方法
DE10158019C2 (de) 2001-11-27 2003-09-18 Infineon Technologies Ag Floatinggate-Feldeffekttransistor
US6953730B2 (en) 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6900122B2 (en) 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6790755B2 (en) * 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
FR2842830B1 (fr) * 2002-07-25 2004-08-27 Memscap Structure multicouche utilisee notamment en tant que materiau de forte permittivite
US20030129446A1 (en) * 2001-12-31 2003-07-10 Memscap Le Parc Technologique Des Fontaines Multilayer structure used especially as a material of high relative permittivity
FR2835970B1 (fr) * 2002-02-11 2005-02-25 Memscap Micro-composant electronique incluant une structure capacitive
FR2834242B1 (fr) * 2001-12-31 2004-07-02 Memscap Structure multicouche, utilisee notamment en tant que materiau de forte permittivite relative
US6504214B1 (en) * 2002-01-11 2003-01-07 Advanced Micro Devices, Inc. MOSFET device having high-K dielectric layer
US6645882B1 (en) 2002-01-17 2003-11-11 Advanced Micro Devices, Inc. Preparation of composite high-K/standard-K dielectrics for semiconductor devices
TW200306664A (en) * 2002-02-12 2003-11-16 Matsushita Electric Ind Co Ltd Ferroelectric capacitor device
US6893984B2 (en) * 2002-02-20 2005-05-17 Micron Technology Inc. Evaporated LaA1O3 films for gate dielectrics
US6586349B1 (en) 2002-02-21 2003-07-01 Advanced Micro Devices, Inc. Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices
US6617209B1 (en) 2002-02-22 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6451641B1 (en) * 2002-02-27 2002-09-17 Advanced Micro Devices, Inc. Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material
US6717226B2 (en) * 2002-03-15 2004-04-06 Motorola, Inc. Transistor with layered high-K gate dielectric and method therefor
US6891227B2 (en) 2002-03-20 2005-05-10 International Business Machines Corporation Self-aligned nanotube field effect transistor and method of fabricating same
JP2003282873A (ja) * 2002-03-22 2003-10-03 Sony Corp 半導体装置およびその製造方法
AU2003221212A1 (en) * 2002-03-26 2003-10-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and production method therefor
US6750066B1 (en) * 2002-04-08 2004-06-15 Advanced Micro Devices, Inc. Precision high-K intergate dielectric layer
KR100464650B1 (ko) * 2002-04-23 2005-01-03 주식회사 하이닉스반도체 이중 유전막 구조를 가진 반도체소자의 캐패시터 및 그제조방법
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6621114B1 (en) * 2002-05-20 2003-09-16 Advanced Micro Devices, Inc. MOS transistors with high-k dielectric gate insulator for reducing remote scattering
US7205218B2 (en) * 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US6996009B2 (en) * 2002-06-21 2006-02-07 Micron Technology, Inc. NOR flash memory cell with high storage density
US6970370B2 (en) * 2002-06-21 2005-11-29 Micron Technology, Inc. Ferroelectric write once read only memory for archival storage
US6804136B2 (en) * 2002-06-21 2004-10-12 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US7154140B2 (en) * 2002-06-21 2006-12-26 Micron Technology, Inc. Write once read only memory with large work function floating gates
US7326988B2 (en) 2002-07-02 2008-02-05 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US7221017B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US7221586B2 (en) * 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
JP2004047503A (ja) * 2002-07-08 2004-02-12 Fujitsu Ltd 半導体装置及びその製造方法
US7847344B2 (en) * 2002-07-08 2010-12-07 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6884739B2 (en) 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6790791B2 (en) 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US6897106B2 (en) 2002-08-16 2005-05-24 Samsung Electronics Co., Ltd. Capacitor of semiconductor memory device that has composite Al2O3/HfO2 dielectric layer and method of manufacturing the same
KR100450681B1 (ko) 2002-08-16 2004-10-02 삼성전자주식회사 반도체 메모리 소자의 커패시터 및 그 제조 방법
KR100475116B1 (ko) * 2002-11-12 2005-03-11 삼성전자주식회사 산화알루미늄/산화하프늄 복합유전막을 가지는 반도체메모리 소자의 커패시터 및 그 제조 방법
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US7425493B2 (en) * 2002-08-17 2008-09-16 Samsung Electronics Co., Ltd. Methods of forming dielectric structures and capacitors
US7279392B2 (en) * 2003-08-04 2007-10-09 Samsung Electronics Co., Ltd. Thin film structure, capacitor, and methods for forming the same
US6753570B1 (en) * 2002-08-20 2004-06-22 Advanced Micro Devices, Inc. Memory device and method of making
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7084078B2 (en) 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US6607973B1 (en) * 2002-09-16 2003-08-19 Advanced Micro Devices, Inc. Preparation of high-k nitride silicate layers by cyclic molecular layer deposition
JP3840207B2 (ja) * 2002-09-30 2006-11-01 株式会社東芝 絶縁膜及び電子素子
US6686212B1 (en) * 2002-10-31 2004-02-03 Sharp Laboratories Of America, Inc. Method to deposit a stacked high-κ gate dielectric for CMOS applications
TW569313B (en) * 2002-11-28 2004-01-01 Univ Nat Chiao Tung Method for improving properties of high k materials by CF4 plasma pre-treatment
US7122414B2 (en) * 2002-12-03 2006-10-17 Asm International, Inc. Method to fabricate dual metal CMOS devices
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
KR100447979B1 (ko) * 2002-12-09 2004-09-10 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
EP1570525B1 (en) * 2002-12-09 2015-12-02 Imec Method for forming a dielectric stack
US6787440B2 (en) * 2002-12-10 2004-09-07 Intel Corporation Method for making a semiconductor device having an ultra-thin high-k gate dielectric
US6716695B1 (en) * 2002-12-20 2004-04-06 Texas Instruments Incorporated Semiconductor with a nitrided silicon gate oxide and method
US20040121566A1 (en) * 2002-12-23 2004-06-24 Infineon Technologies North America Corp Method to produce low leakage high K materials in thin film form
JP4290421B2 (ja) * 2002-12-27 2009-07-08 Necエレクトロニクス株式会社 半導体装置及びその製造方法
US6762114B1 (en) 2002-12-31 2004-07-13 Texas Instruments Incorporated Methods for transistor gate fabrication and for reducing high-k gate dielectric roughness
US6750126B1 (en) 2003-01-08 2004-06-15 Texas Instruments Incorporated Methods for sputter deposition of high-k dielectric films
JP2004241612A (ja) 2003-02-06 2004-08-26 Fujitsu Ltd 半導体装置及びその製造方法
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
JP4507232B2 (ja) * 2003-03-24 2010-07-21 ローム株式会社 半導体装置の製造方法
WO2004086484A1 (ja) * 2003-03-24 2004-10-07 Fujitsu Limited 半導体装置及びその製造方法
JP4644830B2 (ja) * 2003-03-26 2011-03-09 独立行政法人理化学研究所 誘電体絶縁薄膜の製造方法
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
JP4691873B2 (ja) * 2003-03-31 2011-06-01 富士通株式会社 半導体装置およびその製造方法
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
KR100885910B1 (ko) * 2003-04-30 2009-02-26 삼성전자주식회사 게이트 적층물에 oha막을 구비하는 비 휘발성 반도체메모리 장치 및 그 제조방법
US6930060B2 (en) * 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7049192B2 (en) 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
KR100937988B1 (ko) * 2003-06-25 2010-01-21 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100703833B1 (ko) * 2003-06-30 2007-04-05 주식회사 하이닉스반도체 이중 유전막을 구비한 캐패시터의 제조 방법
US7030430B2 (en) * 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
JP2005064317A (ja) * 2003-08-18 2005-03-10 Semiconductor Leading Edge Technologies Inc 半導体装置
US20050070120A1 (en) * 2003-08-28 2005-03-31 International Sematech Methods and devices for an insulated dielectric interface between high-k material and silicon
KR100541551B1 (ko) * 2003-09-19 2006-01-10 삼성전자주식회사 적어도 3층의 고유전막들을 갖는 아날로그 커패시터 및그것을 제조하는 방법
US7157769B2 (en) * 2003-12-18 2007-01-02 Micron Technology, Inc. Flash memory having a high-permittivity tunnel dielectric
KR100639673B1 (ko) * 2003-12-22 2006-10-30 삼성전자주식회사 고유전 합금으로 이루어지는 게이트 유전막을 구비하는반도체 소자 및 그 제조 방법
US20050142715A1 (en) * 2003-12-26 2005-06-30 Fujitsu Limited Semiconductor device with high dielectric constant insulator and its manufacture
US20050242301A1 (en) * 2004-01-12 2005-11-03 Advanced Light Technologies, Llc, A Corporation Of The State Of California Targeted radiation treatment using a spectrally selective radiation emitter
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US20080217678A1 (en) * 2004-03-11 2008-09-11 National University Of Singapore Memory Gate Stack Structure
KR100538096B1 (ko) * 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
JP2005277223A (ja) * 2004-03-25 2005-10-06 National Institute Of Advanced Industrial & Technology 半導体装置およびその製造方法
KR100568448B1 (ko) * 2004-04-19 2006-04-07 삼성전자주식회사 감소된 불순물을 갖는 고유전막의 제조방법
US7380586B2 (en) * 2004-05-10 2008-06-03 Bsst Llc Climate control system for hybrid vehicles using thermoelectric devices
US8183629B2 (en) * 2004-05-13 2012-05-22 Vishay-Siliconix Stacked trench metal-oxide-semiconductor field effect transistor device
DE102004024665A1 (de) * 2004-05-18 2005-12-15 Infineon Technologies Ag Verfahren zum Herstellen von dielektrischen Mischschichten und kapazitives Element und Verwendung derselben
US7105889B2 (en) * 2004-06-04 2006-09-12 International Business Machines Corporation Selective implementation of barrier layers to achieve threshold voltage control in CMOS device fabrication with high k dielectrics
GB0412790D0 (en) * 2004-06-08 2004-07-14 Epichem Ltd Precursors for deposition of silicon nitride,silicon oxynitride and metal silicon oxynitrides
US7279413B2 (en) * 2004-06-16 2007-10-09 International Business Machines Corporation High-temperature stable gate structure with metallic electrode
KR100642635B1 (ko) * 2004-07-06 2006-11-10 삼성전자주식회사 하이브리드 유전체막을 갖는 반도체 집적회로 소자들 및그 제조방법들
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7595538B2 (en) * 2004-08-17 2009-09-29 Nec Electronics Corporation Semiconductor device
KR100688499B1 (ko) * 2004-08-26 2007-03-02 삼성전자주식회사 결정화 방지막을 갖는 유전막을 포함하는 mim 캐패시터및 그 제조방법
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
KR100653702B1 (ko) * 2004-08-26 2006-12-04 삼성전자주식회사 플래시 메모리 소자 및 그 제조 방법
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US20070228442A1 (en) * 2004-09-09 2007-10-04 Tokyo Electron Limited Thin Film Capacitor, Method for Forming Same, and Computer Readable Recording Medium
US7507629B2 (en) * 2004-09-10 2009-03-24 Gerald Lucovsky Semiconductor devices having an interfacial dielectric layer and related methods
JP4309320B2 (ja) * 2004-09-13 2009-08-05 株式会社東芝 半導体装置及びその製造方法
US7282773B2 (en) * 2004-09-14 2007-10-16 Advanced Micro Devices Inc. Semiconductor device with high-k dielectric layer
US7138680B2 (en) * 2004-09-14 2006-11-21 Infineon Technologies Ag Memory device with floating gate stack
KR100660840B1 (ko) * 2004-10-08 2006-12-26 삼성전자주식회사 다층의 터널링 장벽층을 포함하는 비휘발성 메모리 소자및 그 제조 방법
KR100728962B1 (ko) * 2004-11-08 2007-06-15 주식회사 하이닉스반도체 지르코늄산화막을 갖는 반도체소자의 캐패시터 및 그 제조방법
US7365389B1 (en) 2004-12-10 2008-04-29 Spansion Llc Memory cell having enhanced high-K dielectric
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7564108B2 (en) * 2004-12-20 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Nitrogen treatment to improve high-k gate dielectrics
KR20060072338A (ko) * 2004-12-23 2006-06-28 주식회사 하이닉스반도체 유전체막 형성방법 및 이를 이용한 반도체 소자의캐패시터 형성방법
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US20060151822A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan DRAM with high K dielectric storage capacitor and method of making the same
US20060151845A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan Method to control interfacial properties for capacitors using a metal flash layer
US7316962B2 (en) * 2005-01-07 2008-01-08 Infineon Technologies Ag High dielectric constant materials
KR100703966B1 (ko) * 2005-01-19 2007-04-05 삼성전자주식회사 미세 전자 소자의 다층 유전막 및 그 제조 방법
US7479425B2 (en) * 2005-01-20 2009-01-20 Chartered Semiconductor Manufacturing, Ltd Method for forming high-K charge storage device
US7863128B1 (en) 2005-02-04 2011-01-04 Spansion Llc Non-volatile memory device with improved erase speed
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
JP4372024B2 (ja) 2005-02-14 2009-11-25 株式会社東芝 Cmos半導体装置
US7927933B2 (en) * 2005-02-16 2011-04-19 Imec Method to enhance the initiation of film growth
US7217643B2 (en) * 2005-02-24 2007-05-15 Freescale Semiconductors, Inc. Semiconductor structures and methods for fabricating semiconductor structures comprising high dielectric constant stacked structures
US7492001B2 (en) * 2005-03-23 2009-02-17 Spansion Llc High K stack for non-volatile memory
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
TW200731404A (en) * 2005-04-07 2007-08-16 Aviza Tech Inc Multilayer, multicomponent high-k films and methods for depositing the same
US7743614B2 (en) 2005-04-08 2010-06-29 Bsst Llc Thermoelectric-based heating and cooling system
US7598545B2 (en) * 2005-04-21 2009-10-06 International Business Machines Corporation Using metal/metal nitride bilayers as gate electrodes in self-aligned aggressively scaled CMOS devices
JP2006310662A (ja) * 2005-04-28 2006-11-09 Toshiba Corp 不揮発性半導体メモリ装置
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7294547B1 (en) * 2005-05-13 2007-11-13 Advanced Micro Devices, Inc. SONOS memory cell having a graded high-K dielectric
JP2006324363A (ja) * 2005-05-17 2006-11-30 Elpida Memory Inc キャパシタおよびその製造方法
US20060267113A1 (en) * 2005-05-27 2006-11-30 Tobin Philip J Semiconductor device structure and method therefor
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
KR100653721B1 (ko) * 2005-06-30 2006-12-05 삼성전자주식회사 질소주입활성영역을 갖는 반도체소자 및 그 제조방법
KR100717813B1 (ko) 2005-06-30 2007-05-11 주식회사 하이닉스반도체 나노믹스드 유전막을 갖는 캐패시터 및 그의 제조 방법
JP2007019177A (ja) * 2005-07-06 2007-01-25 Toshiba Corp 半導体装置
US8783397B2 (en) * 2005-07-19 2014-07-22 Bsst Llc Energy management system for a hybrid-electric vehicle
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
KR100724566B1 (ko) * 2005-07-29 2007-06-04 삼성전자주식회사 다층구조의 게이트 층간 유전막을 갖는 플래시 메모리 소자및 그 제조방법들
US7575978B2 (en) 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
US7989290B2 (en) 2005-08-04 2011-08-02 Micron Technology, Inc. Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps
US7446369B2 (en) * 2005-08-04 2008-11-04 Spansion, Llc SONOS memory cell having high-K dielectric
KR100628875B1 (ko) * 2005-08-19 2006-09-26 삼성전자주식회사 소노스 타입의 비휘발성 메모리 장치 및 그 제조 방법
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US7410910B2 (en) 2005-08-31 2008-08-12 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
KR100648860B1 (ko) * 2005-09-08 2006-11-24 주식회사 하이닉스반도체 유전막 및 그 형성방법과, 상기 유전막을 구비한 반도체메모리 소자 및 그 제조방법
US20070099417A1 (en) 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
KR100670747B1 (ko) 2005-11-28 2007-01-17 주식회사 하이닉스반도체 반도체소자의 캐패시터 제조 방법
US7824990B2 (en) * 2005-12-05 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-metal-oxide high-K gate dielectrics
US7592251B2 (en) * 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7482651B2 (en) * 2005-12-09 2009-01-27 Micron Technology, Inc. Enhanced multi-bit non-volatile memory device with resonant tunnel barrier
TWI274379B (en) * 2005-12-26 2007-02-21 Ind Tech Res Inst MIM capacitor structure and method of manufacturing the same
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7870745B2 (en) * 2006-03-16 2011-01-18 Bsst Llc Thermoelectric device efficiency enhancement using dynamic feedback
US8471390B2 (en) 2006-05-12 2013-06-25 Vishay-Siliconix Power MOSFET contact metallization
JP2007318012A (ja) * 2006-05-29 2007-12-06 Nec Electronics Corp 半導体装置およびその製造方法
US20080001237A1 (en) * 2006-06-29 2008-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nitrided high-k gate dielectric and metal gate electrode and methods of forming same
US20100155018A1 (en) * 2008-12-19 2010-06-24 Lakhi Nandlal Goenka Hvac system for a hybrid vehicle
US7727908B2 (en) * 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7776765B2 (en) * 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7960810B2 (en) 2006-09-05 2011-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with reliable high-voltage gate oxide and method of manufacture thereof
JP2010506408A (ja) 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080150009A1 (en) * 2006-12-20 2008-06-26 Nanosys, Inc. Electron Blocking Layers for Electronic Devices
US8686490B2 (en) * 2006-12-20 2014-04-01 Sandisk Corporation Electron blocking layers for electronic devices
US20080150004A1 (en) * 2006-12-20 2008-06-26 Nanosys, Inc. Electron Blocking Layers for Electronic Devices
US20080150003A1 (en) * 2006-12-20 2008-06-26 Jian Chen Electron blocking layers for electronic devices
US7847341B2 (en) 2006-12-20 2010-12-07 Nanosys, Inc. Electron blocking layers for electronic devices
US20080164582A1 (en) * 2007-01-05 2008-07-10 Shrinivas Govindarajan Semiconductor devices and methods of manufacture thereof
US20080211065A1 (en) * 2007-03-02 2008-09-04 Shrinivas Govindarajan Semiconductor devices and methods of manufacture thereof
KR100877100B1 (ko) * 2007-04-16 2009-01-09 주식회사 하이닉스반도체 비휘발성 메모리 소자 제조 방법
US8368126B2 (en) 2007-04-19 2013-02-05 Vishay-Siliconix Trench metal oxide semiconductor with recessed trench material and remote contacts
EP2167887B1 (en) 2007-05-25 2021-01-13 Gentherm Incorporated System and method for distributed thermoelectric heating and cooling
JP5037242B2 (ja) * 2007-07-06 2012-09-26 キヤノンアネルバ株式会社 半導体素子の製造方法
US7998820B2 (en) 2007-08-07 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. High-k gate dielectric and method of manufacture
US7772073B2 (en) * 2007-09-28 2010-08-10 Tokyo Electron Limited Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
JP5280670B2 (ja) * 2007-12-07 2013-09-04 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US20090278120A1 (en) * 2008-05-09 2009-11-12 Korea Institute Of Science And Technology Thin Film Transistor
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US7863126B2 (en) * 2008-05-15 2011-01-04 International Business Machines Corporation Fabrication of a CMOS structure with a high-k dielectric layer oxidizing an aluminum layer in PFET region
US8945675B2 (en) 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
TWI626744B (zh) 2008-07-31 2018-06-11 半導體能源研究所股份有限公司 半導體裝置及半導體裝置的製造方法
JP2010073867A (ja) * 2008-09-18 2010-04-02 Tokyo Electron Ltd 半導体装置及び半導体装置の製造方法
CN102264563A (zh) 2008-10-23 2011-11-30 Bsst有限责任公司 带有热电装置的多模式hvac系统
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8557702B2 (en) * 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
KR101065060B1 (ko) 2009-03-25 2011-09-15 광운대학교 산학협력단 전하 트랩형 비휘발성 메모리
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100327364A1 (en) * 2009-06-29 2010-12-30 Toshiba America Electronic Components, Inc. Semiconductor device with metal gate
US9478637B2 (en) * 2009-07-15 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Scaling EOT by eliminating interfacial layers from high-K/metal gates of MOS devices
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011043794A2 (en) * 2009-09-29 2011-04-14 Yale University Ferroelectric devices including a layer having two or more stable configurations
JP5809152B2 (ja) * 2009-10-20 2015-11-10 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 誘電体膜をパッシベーションする方法
US9306056B2 (en) 2009-10-30 2016-04-05 Vishay-Siliconix Semiconductor device with trench-like feed-throughs
US9490179B2 (en) * 2010-05-21 2016-11-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and semiconductor device
US8420208B2 (en) 2010-08-11 2013-04-16 Micron Technology, Inc. High-k dielectric material and methods of forming the high-k dielectric material
US8501634B2 (en) 2011-03-10 2013-08-06 United Microelectronics Corp. Method for fabricating gate structure
US8324118B2 (en) 2011-03-28 2012-12-04 United Microelectronics Corp. Manufacturing method of metal gate structure
US8952458B2 (en) 2011-04-14 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Gate dielectric layer having interfacial layer and high-K dielectric over the interfacial layer
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8383490B2 (en) 2011-07-27 2013-02-26 International Business Machines Corporation Borderless contact for ultra-thin body devices
US8440520B2 (en) 2011-08-23 2013-05-14 Tokyo Electron Limited Diffused cap layers for modifying high-k gate dielectrics and interface layers
US8637381B2 (en) 2011-10-17 2014-01-28 International Business Machines Corporation High-k dielectric and silicon nitride box region
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE112012004803B4 (de) 2011-11-17 2022-03-03 Gentherm Inc. Thermoelektrische Vorrichtung mit Grenzflächenmaterialien und Verfahren zur Herstellung derselben
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
WO2013101007A1 (en) 2011-12-28 2013-07-04 Intel Corporation Methods of integrating multiple gate dielectric transistors on a tri-gate (finfet) process
US8633118B2 (en) 2012-02-01 2014-01-21 Tokyo Electron Limited Method of forming thin metal and semi-metal layers by thermal remote oxygen scavenging
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8865538B2 (en) 2012-03-30 2014-10-21 Tokyo Electron Limited Method of integrating buried threshold voltage adjustment layers for CMOS processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9006094B2 (en) * 2012-04-18 2015-04-14 International Business Machines Corporation Stratified gate dielectric stack for gate dielectric leakage reduction
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
TWI562368B (en) * 2012-05-15 2016-12-11 United Microelectronics Corp Semiconductor structure and process thereof
US9478627B2 (en) * 2012-05-18 2016-10-25 United Microelectronics Corp. Semiconductor structure and process thereof
CN103456785B (zh) * 2012-05-31 2018-01-02 联华电子股份有限公司 半导体结构及其制作工艺
US8702944B2 (en) * 2012-06-15 2014-04-22 International Business Machines Corporation Nanopore device wetting
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
FR2995135B1 (fr) * 2012-09-05 2015-12-04 Commissariat Energie Atomique Procede de realisation de transistors fet
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8865581B2 (en) 2012-10-19 2014-10-21 Tokyo Electron Limited Hybrid gate last integration scheme for multi-layer high-k gate stacks
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102148338B1 (ko) * 2013-05-03 2020-08-26 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
DE102013109353B4 (de) * 2013-08-29 2023-07-06 Endress+Hauser Conducta Gmbh+Co. Kg Verfahren zur Herstellung einer ionensensitiven Schichtstruktur für einen ionensensitiven Sensor und ionensensitiver Sensor
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150187900A1 (en) * 2013-12-26 2015-07-02 Sadasivan Shankar Composite materials for use in semiconductor components
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9263541B2 (en) * 2014-04-25 2016-02-16 Globalfoundries Inc. Alternative gate dielectric films for silicon germanium and germanium channel materials
US10038063B2 (en) * 2014-06-10 2018-07-31 International Business Machines Corporation Tunable breakdown voltage RF FET devices
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9540729B1 (en) 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11120884B2 (en) 2015-09-30 2021-09-14 Sunrise Memory Corporation Implementing logic function and generating analog signals using NOR memory strings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10304936B2 (en) 2016-05-04 2019-05-28 International Business Machines Corporation Protection of high-K dielectric during reliability anneal on nanosheet structures
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
TWI661076B (zh) * 2016-10-06 2019-06-01 南韓商圓益Ips股份有限公司 複合膜製造方法
KR102268492B1 (ko) * 2017-09-22 2021-06-25 주식회사 원익아이피에스 복합막 제조방법
KR102228412B1 (ko) * 2016-11-03 2021-03-17 주식회사 원익아이피에스 하드 마스크 제조방법
KR102228408B1 (ko) * 2016-10-06 2021-03-17 주식회사 원익아이피에스 하드 마스크 제조방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10840350B2 (en) * 2016-10-31 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Nanolaminate structure, semiconductor device and method of forming nanolaminate structure
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11075331B2 (en) 2018-07-30 2021-07-27 Gentherm Incorporated Thermoelectric device having circuitry with structural rigidity
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11417517B2 (en) 2019-05-03 2022-08-16 Applied Materials, Inc. Treatments to enhance material structures
EP3998371A1 (en) 2019-05-03 2022-05-18 Nuclera Nucleics Ltd Layered structure with high dielectric constant for use with active matrix backplanes
US10872763B2 (en) 2019-05-03 2020-12-22 Applied Materials, Inc. Treatments to enhance material structures
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
EP4026644A4 (en) * 2019-09-05 2023-09-27 Tohoku University CHEMICAL BONDING METHOD AND BONDED STRUCTURE
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
JP7397186B2 (ja) 2019-11-01 2023-12-12 アプライド マテリアルズ インコーポレイテッド FinFET形成のためのキャップ酸化
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11515309B2 (en) 2019-12-19 2022-11-29 Sunrise Memory Corporation Process for preparing a channel region of a thin-film transistor in a 3-dimensional thin-film transistor array
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
DE102020126060A1 (de) * 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Mehrschichtige high-k-gatedielektrikumstruktur
US12022643B2 (en) * 2020-03-31 2024-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer high-k gate dielectric structure
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022178083A1 (en) * 2021-02-22 2022-08-25 Sunrise Memory Corporation Thin-film storage transistor with ferroelectric storage layer
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
TW202310429A (zh) 2021-07-16 2023-03-01 美商日升存儲公司 薄膜鐵電電晶體的三維記憶體串陣列
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11961895B2 (en) 2021-09-08 2024-04-16 International Business Machines Corporation Gate stacks with multiple high-κ dielectric layers

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4151537A (en) * 1976-03-10 1979-04-24 Gte Laboratories Incorporated Gate electrode for MNOS semiconductor memory device
US4335391A (en) * 1978-12-11 1982-06-15 Texas Instruments Incorporated Non-volatile semiconductor memory elements and methods of making
CA1138795A (en) * 1980-02-19 1983-01-04 Goodrich (B.F.) Company (The) Escape slide and life raft
JPH0363A (ja) * 1989-05-29 1991-01-07 Teranishi Denki Seisakusho:Kk 浴用マッサージ機
US5527596A (en) * 1990-09-27 1996-06-18 Diamonex, Incorporated Abrasion wear resistant coated substrate product
KR930012120B1 (ko) * 1991-07-03 1993-12-24 삼성전자 주식회사 반도체장치 및 그의 제조방법
JPH0677402A (ja) * 1992-07-02 1994-03-18 Natl Semiconductor Corp <Ns> 半導体デバイス用誘電体構造及びその製造方法
KR0151241B1 (ko) * 1994-11-18 1998-10-01 선우 중호 강유전체막을 구비한 반도체장치
US5646583A (en) * 1996-01-04 1997-07-08 Rockwell International Corporation Acoustic isolator having a high impedance layer of hafnium oxide
US5742471A (en) * 1996-11-25 1998-04-21 The Regents Of The University Of California Nanostructure multilayer dielectric materials for capacitors and insulators
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6015739A (en) 1997-10-29 2000-01-18 Advanced Micro Devices Method of making gate dielectric for sub-half micron MOS transistors including a graded dielectric constant
KR100275727B1 (ko) * 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
US6086727A (en) * 1998-06-05 2000-07-11 International Business Machines Corporation Method and apparatus to improve the properties of ion beam deposited films in an ion beam sputtering system
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same

Also Published As

Publication number Publication date
EP1124262B1 (en) 2006-04-19
JP2001267566A (ja) 2001-09-28
DE60118817D1 (de) 2006-05-24
US20020130340A1 (en) 2002-09-19
US6407435B1 (en) 2002-06-18
KR20010082118A (ko) 2001-08-29
EP1124262A2 (en) 2001-08-16
DE60118817T2 (de) 2007-03-29
EP1124262A3 (en) 2002-10-09
US6627503B2 (en) 2003-09-30
KR100419440B1 (ko) 2004-02-19

Similar Documents

Publication Publication Date Title
JP3912990B2 (ja) 集積回路構造およびその製造方法
JP3761419B2 (ja) Mosfetトランジスタおよびその製造方法
US6844604B2 (en) Dielectric layer for semiconductor device and method of manufacturing the same
US7235448B2 (en) Dielectric layer forming method and devices formed therewith
US8772050B2 (en) Zr-substituted BaTiO3 films
US7183186B2 (en) Atomic layer deposited ZrTiO4 films
US8541276B2 (en) Methods of forming an insulating metal oxide
TWI297947B (en) Semiconductor memory device with dielectric structure and method for fabricating the same
KR100422565B1 (ko) 반도체 소자의 캐패시터 제조방법
US6461931B1 (en) Thin dielectric films for DRAM storage capacitors
JP2002313951A (ja) 半導体集積回路装置及びその製造方法
KR20020064624A (ko) 반도체소자의 유전체막 및 그 제조방법
KR100609066B1 (ko) 미세 전자 소자의 다층 유전체막 및 그 제조 방법
WO2010082605A1 (ja) キャパシタ及びキャパシタの製造方法
KR100744026B1 (ko) 플래시 메모리 소자의 제조방법
JP4087998B2 (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060825

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060831

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061026

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070109

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070130

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070130

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100209

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110209

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120209

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120209

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130209

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130209

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140209

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees