KR100766843B1 - 순환 증착을 통한 금속 규소 질화물 필름의 제조 - Google Patents

순환 증착을 통한 금속 규소 질화물 필름의 제조 Download PDF

Info

Publication number
KR100766843B1
KR100766843B1 KR1020060012812A KR20060012812A KR100766843B1 KR 100766843 B1 KR100766843 B1 KR 100766843B1 KR 1020060012812 A KR1020060012812 A KR 1020060012812A KR 20060012812 A KR20060012812 A KR 20060012812A KR 100766843 B1 KR100766843 B1 KR 100766843B1
Authority
KR
South Korea
Prior art keywords
bis
tert
tetrakis
tris
tantalum
Prior art date
Application number
KR1020060012812A
Other languages
English (en)
Other versions
KR20060091240A (ko
Inventor
진쟌 레이
하리쉬 쓰리단담
커크 스콧 커씰
아서 케네쓰 호크베르그
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20060091240A publication Critical patent/KR20060091240A/ko
Application granted granted Critical
Publication of KR100766843B1 publication Critical patent/KR100766843B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47GHOUSEHOLD OR TABLE EQUIPMENT
    • A47G33/00Religious or ritual equipment in dwelling or for general use
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F21LIGHTING
    • F21VFUNCTIONAL FEATURES OR DETAILS OF LIGHTING DEVICES OR SYSTEMS THEREOF; STRUCTURAL COMBINATIONS OF LIGHTING DEVICES WITH OTHER ARTICLES, NOT OTHERWISE PROVIDED FOR
    • F21V21/00Supporting, suspending, or attaching arrangements for lighting devices; Hand grips
    • F21V21/06Bases for movable standing lamps; Fixing standards to the bases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/0215Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing tantalum, e.g. TaSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02153Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing titanium, e.g. TiSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47GHOUSEHOLD OR TABLE EQUIPMENT
    • A47G2200/00Details not otherwise provided for in A47G
    • A47G2200/08Illumination
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides

Abstract

본 발명은 전구체의 순환 증착에 의하여 3중의 금속 규소 질화물 필름을 제조하는 개선된 방법에 관한 것이다. 이러한 개선은 NH 및 SiH 작용기 모두를 갖는 금속 아미드 및 실란 성분을 전구체로서 사용하여 상기 금속-SiN 필름이 형성되게 함에 의한 것이다. 이들 전구체는 금속 증착을 통해 기판의 표면 상으로 순차적으로 도포된다. 대표적인 실란 성분은 하기 화학식 1로 표시되는 모노알킬아미노실란 및 하기 화학식 2로 표시되는 히드라지노실란이다:
(R1NH)nSiR2 mH4 -n-m,
(R3 2N-NH)xSiR4 yH4 -x-y
상기 식 중, R1 -4는 동일하거나 상이하고, 알킬, 비닐, 알릴, 페닐, 환형 알킬, 플루오로알킬, 실릴알킬로 이루어진 군 중에서 독립적으로 선택되며, n=1,2; m=0,1,2; n+m=<3, x=1,2; y=0,1,2; x+y=<3이다.

Description

순환 증착을 통한 금속 규소 질화물 필름의 제조{PREPARATION OF METAL SILICON NITRIDE FILMS VIA CYCLIC DEPOSITION}
도 1은 ALD 방법에서 TDMAT : BTBAS의 주입 비율에 대한 증착 속도 및 필름 조성을 도시하는 그래프이다.
금속 규소 질화물 필름은 공지되어 있고 인터커넥트용 확산 배리어를 제공하기 위하여 반도체 산업에서 사용되어 왔으며 게이트 전극으로서 사용되어 왔다. 전통적으로는, 알루미늄이 반도체 장치의 인터커넥트에 사용되어 왔으나, 최근 알루미늄보다 낮은 저항 및 우수한 전자이동 수명을 갖는 구리가 집적화를 위해 사용되어 왔다. 그러나, 구리는 반도체 장치의 제조에 사용되는 다수의 재료에 있어서 이동성이 너무 크고, 유전체를 포함하는 특정 재료를 통해 빠르게 확산할 수가 있다. 구리가 규소 기판으로 전자이동하는 것은 장치 성능을 열화시킨다. 따라서, 반도체 장치내의 임의의 확산을 막기 위하여 적소에 배리어 층이 존재할 필요가 있다.
금속 질화물 층, 예를 들어 질화티타늄(TiN) 층은 반도체 장치의 구조물, 예를 들어 콘택트, 바이어스 및 트렌치에 있어서, 구리 확산을 포함하는 확산을 방지 하기 위한 배리어 층으로서 사용되어 왔다. 그러나 이들 배리어 층이 오늘날의 장치의 더 높은 종횡비를 수용하기 위해서는 가능한 얇아야 한다. 이들은 불활성이어야 하고 잇따른 열적 사이클 동안 인접한 재료와 역반응하지 않아야 하며, 인접한 재료가 이를 통해 확산하거나 이동하는 것을 막아야 하고, 낮은 저항성을 가지고(높은 전도율을 보여주고), 낮은 접촉 저항 또는 바이아(via) 저항을 가지며, 접합부의 누설이 작아야 한다.
예를 들어, 구리 확산에 대한 배리어 성능은 달성하기가 힘들다. 금속 규소 질화물 필름, 특히 티타늄-규소-질화물 층이 질화티탄 배리어보다 더 우수한 알루미늄 또는 구리 인터커넥트용 확산 배리어를 제공하는 것으로 발견되었고, 이는 질화규소가 금속 질화물의 입계를 차단하기 때문이다. 다결정성 금속 질화물내의 입계는 구리 원자에 대한 확산 경로를 제공한다.
일반적으로 3중 필름의 형성에 있어서, 금속 아미드, 실란, 및 암모니아는 순환 증착을 통해 기판 상에 순차적으로 증착되지만, 이러한 방법은 처리 문제를 일으킨다. 실란은 인화성 기체이고 잠재적인 안전 문제를 야기한다. 또한, 3개의 전구체가 증착 방법에서 이용되어, 각각 퍼지 단계를 갖춘 3단계의 증착 단계가 요구된다. 반면, 아미노실란 또는 히드라지노실란 및 암모니아가 질화규소를 형성하는 것으로 보고되어 있다. 그러나, 중요한 점은, 이들 필름에 있어서 화학 기상 증착 또는 원자층 증착에 의해 금속 규소 질화물내에 직접적인 금속-규소 결합이 형성되지 않는다는 점이고, 이는 생성되는 필름 중 금속 질화물과 질화규소가 별도의 상으로 존재하며, 즉 금속 질화물이 질화규소로 채워진다는 것을 의미한다.
하기의 특허 및 문헌은 금속-규소 질화물 필름 및 질화규소의 제조 방법 및 전자 산업에서의 이들의 용도를 대표적으로 보여준다.
US2004/0009336은 순환 증착 방법을 사용한 티타늄 규소 질화물(TiSiN) 층의 형성 방법을 개시한다. 순환 증착 방법에 있어서, 티타늄 함유 전구체, 규소 함유 기체 및 질소 함유 기체를 기판 상에 교대로 증착시킨다. 하나의 대표적인 방법에서는 테트라키스(디메틸아미노)티타늄의 펄스, 암모니아 및 실란의 펄스를 교대로 제공하여 기판 상에 티타늄 규소 질화물(TiSiN) 층을 형성시킨다.
USA2004/0197492는 테트라키스(디메틸아미노)티타늄의 기화를 통해 반도체 웨이퍼 상에 질화티타늄을 증착시키는 단계; N2/H2 플라스마내에서 이 질화티타늄 층을 플라스마 처리하는 단계; 및 이 플라스마 처리된 질화티타늄을 실란 주위 공기에 노출시키는 단계를 포함하는, 반도체 웨이퍼 상에 티타늄 규소 질화물 배리어 층을 형성시키는 방법을 개시한다. 문헌 [Alen, P., T, Aaltonen, M. Ritala, M. Leskela, T. Sajavaara, J. Keinonen, J. C. Hooker and J. W. Maes, ALD of Ta ( Si )N Thin Films Using TDMAS as a Reducing Agent and as a Si Precursor, Journal of The Electrochemical Society 151(8): G523-G527(2004)]은 반응종으로서 TaCl5, NH3 및 트리(디메틸아미노)실란(TDMAS)을 사용함에 의한 Ta(Si)N 필름의 증착을 개시한다. 복수개의 펄싱 순서가 개시되어 있고, TaCl5, TDMAS, 및 NH3 의 순서가 최상의 결과를 제공한다.
US 2003/0190423은 3개 이상의 전구체를 사용하는 복수개의 전구체의 순환 증착 시스템을 개시하고, 이때 2개 이상의 전구체는 적어도 부분적으로는 겹쳐서 기판 구조물로 전달된다. 금속 전구체인 Ta, Ti 및 Hf, 예컨대 펜타디메틸아미노 탄탈 및 염화하프늄이 금속 전구체의 예이고, 규소 전구체는 실란, 클로로실란, 및 염화규소를 포함하며, 질소 전구체는 암모니아 및 히드라진을 포함한다.
US 2003/0190804는 복수개의 화합물을 상이한 처리 영역내에 있는 기판 상에 동시에 증착시키는 방법을 개시한다. 이 방법에 있어서, 금속 전구체, 예를 들어 TiCl4 또는 PDMAT는 질소 화합물의 펄싱 이후에 펄싱된다. 증착 속도를 증가시키기 위하여, 초기에는 시간 간격을 둠에 의해 제1 화합물의 주입 및 제2 화합물의 주입을 분리시키고, 그 후 제1 화합물 및 제2 화합물 모두가 기판 표면과 흐름 소통 상태로 존재하는 1회 이상의 주입을 실행한다.
US 6,426,117은 기판 상의 반도체 장치에서 사용하기 위한, 금속, 규소 및 질소를 함유하는 3 성분 필름의 형성 방법을 개시한다. 이 방법은 기체 금속 화합물과 암모니아 기체가 혼합물을 형성하지 않는 조건 하에서, 기체 금속 화합물, 기체 규소 화합물 및 암모니아 기체로 이루어진 개별 반응성 기체를 제조하는 단계를 포함한다. 실시예에서는 테트라키스(디메틸아미노)티타늄, 이어서 암모니아 기체 및 그 후 실란을 챔버로 도입하는 공정 사이클을 보여준다. 또다른 실시예에서, 실란을 테트라키스(디메틸아미노)티타늄 기체와 혼합하고 증착시킨다.
문헌 [Marcadal, C., M. Eizenberg, A. Yoon and L. Chen, Metallorganic Chemical Vapor Deposited TiN Barrier Enhancement With SiH 4 Treatment, Journal of The Electrochemical Society, 149: C52-C58(2002)]은 반도체 용도에 있어서 3중 TiSiN 층을 형성하여 구리 확산에 대한 배리어 저항을 강화시키는 것을 개시한다. 이 TiSiN 필름은 금속유기 전구체(MOCVD-TiN), 예를 들어 (디메틸아미노)티타늄(TDMAT), 실란 및 질소 성분을 사용한 화학 기상 증착(CVD)에 의해 제조된다. 이 방법에 있어서, TDMAT가 먼저 증착된 후, 질소의 기체 혼합물에 의해 플라스마 처리되고, 마지막으로 증착된 필름이 실란에 노출된다. 이러한 공정 경로에 의해 TiSiN 필름 중 Si-N 결합 층이 형성된다.
문헌 [Min, J.-S., J.-S. Park, H.-S. Park and S.-W. Kang, The Mechanism of Si Incorporation and the Digital Control of Si Content During the Metallorganic Atominc Layter Deposition of Ti - Si -N Thin Films, Journal of The Electrochemical Society 147: 3868-3872(2000)]은 전구체로서 테트라키스(디메틸아미노)티타늄(TDMAT), 암모니아, 및 실란을 사용하여 금속유기 원자층 증착(MOALD)에 의해 티타늄-규소-질화물 박막(thin film)을 형성하는 것을 개시한다. 반응물이 TDMAT 펄스, SiH4 펄스, 및 NH3 펄스의 순서로 반응기내로 주입되는 경우, Ti-Si-N 필름 중 Si 함량은 18 원자% 로 포화된다. 이 순서를 TDMAT, NH3, 및 SiH4로 변화시킴에 의해, Si 함량은 21 원자%로 증가한다.
하기 특허 및 문헌은 질화규소 필름의 제조 방법을 대표적으로 보여준다.
문헌 [Laxman, R.K., T.D. Anderson, and J.A. Mestemacher, "A low-temperature solution for silicon nitride deposition, in Solid State Technology p. 79-80(2000)]은 비스(tert-부틸아미노)실란 및 암모니아를 이용하여 질화규소를 제조하는 방법을 개시한다.
US 5,874,368은 비스(tert-부틸아미노)실란 및 암모니아를 이용하여 550℃ 미만의 온도에서 질화규소를 형성하는 것을 개시한다.
US 2004/0146644는 암모니아의 존재 및 부재 하에 히드라지노실란을 이용하여 질화규소를 형성하는 방법을 개시한다. 이제까지 모든 질화규소 공정은 500℃ 초과의 온도에서 증착시켰다.
본 발명은 전술한 전구체의 순환 증착에 의하여 3중의 금속 규소 질화물 필름을 제조하는 개선된 방법에 관한 것이다. 이러한 개선은 NH 및 SiH 작용기 모두를 갖는 금속 아미드 및 실란 성분을 전구체로서 사용하여 상기 금속-SiN 필름이 형성되게 함에 의한 것이다. 이들 전구체는 순환 증착을 통해 기판의 표면 상으로 순차적으로 도포된다. 대표적인 규소 성분은 하기 화학식 1로 표시되는 모노알킬아미노실란 및 하기 화학식 2로 표시되는 히드라지노실란이다:
화학식 1
(R1NH)nSiR2 mH4 -n-m,
화학식 2
(R3 2N-NH)xSiR4 yH4 -x-y.
상기 식 중, R1 -4는 동일하거나 상이하고, 알킬, 비닐, 알릴, 페닐, 환형 알킬, 플루오로알킬, 실릴알킬로 이루어진 군 중에서 독립적으로 선택되며, n=1,2; m=0,1,2; n+m=<3, x=1,2; y=0,1,2; x+y=<3이다.
본 발명의 실시에 의해 여러 이점이 달성될 수 있고, 이들 이점 중 일부는 하기와 같다:
고품질의 3중의 금속 규소 질화물 필름을 제조하는 능력;
유의적인 안전 문제 및 부식 문제를 초래하는 통상의 전구체의 일부를 제거하면서 고품질의 필름을 형성시키는 능력; 및,
일반적으로 통상의 공정 온도 미만, 예를 들어 500℃ 미만에서 바람직한 규소 수준을 TiN으로 도입시키는 능력;
순환 증착 방법, 예를 들어 CVD 방법에 있어서 규소 성분의 펄스 시간을 조절하여 금속 규소 질화물 중 규소 함량을 조절하는 능력;
순환 CVD에 있어서 탁월한 증착 속도를 달성하여, 이에 따라 생산 규모에서 웨이퍼 산출량의 증가를 가능하게 하는 능력;
ALD를 사용하여 초박막의 금속 규소 질화물 필름을 제조하는 능력;
별개의 질소 성분, 예를 들어 암모니아의 사용을 배제하면서, 2개의 전구체를 사용하여 금속 규소 질화물 필름을 제조하는 능력;
생성되는 금속 규소 중 금속 중심을 환원시켜, 이에 따라 생성되는 필름의 저항성을 감소시키는 능력; 및
생성되는 금속 규소 질화물에서 금속-질소-규소 결합을 형성시킴에 의해 필 름 안정성을 증가시키는 능력.
본 발명은 순환 증착을 통한 3중의 금속 규소 질화물 필름의 제조 방법에 있어서의 개선에 관한 것이다. 화학 기상 증착 기술 및 원자층 증착 기술을 통한 선별 전구체의 순차적 증착은 탁월한 품질의 필름을 제공하고 다수의 전구체 제형물과 관련된 위험을 감소시킨다.
본원에서 사용된 바와 같이, "순환 증착"이라는 용어는 전구체(반응물)를 순차적으로 도입하여 기판 구조물 상에 얇은 층을 증착시키는 것을 의미하고, 원자층 증착 및 급속의 순차적 화학 기상 증착과 같은 처리 기술을 포함한다. 반응물의 순차적 도입은 기판 상의 복수개의 얇은 층의 증착을 가져오고, 이 방법을 필요한 만큼 반복하여 소정의 두께를 갖는 필름 층을 형성한다.
원자층 증착은 순환 증착의 한 형태이고, 제1 전구체의 펄스 및, 이 경우에 있어서는, 제2 전구체의 펄스의 순차적 도입을 포함한다. 다수의 종래 기술의 방법에 있어서, 제3 전구체의 펄스가 사용되었다. 예를 들어, ALD 방법에 있어서, 제1 전구체의 펄스, 이어서 퍼지 기체의 펄스 및/또는 펌프 배출, 이어서 제2 전구체의 펄스, 이어서 퍼지 기체의 펄스 및/또는 펌프 배출이 순차적으로 도입된다. 필요하거나 또는 원하는 경우, 제3 전구체의 펄스가 도입될 수 있다. 개별 펄스의 순차적 도입은 기판의 표면 상에 각 전구체의 단층이 교번하는 자체 제어 화학흡착을 가져오고, 각 사이클에 대해서 증착된 재료의 단층을 형성시킨다. 이 사이클을 필요한만큼 반복하여 소정의 두께의 필름을 형성시킨다.
ALD의 성장 속도는 통상의 CVD 방법과 비교시 매우 느리다. ALD 방법의 통상적인 성장 속도는 1-2 Å/사이클이다. 성장 속도를 증가시키기 위한 한 가지 방법은 ALD보다 더 높은 기판 온도에서 조작함에 의해 ALD 방법을 수정하여, CVD와 유사한 방법이지만 전구체의 순차적 도입을 여전히 이용하도록 하는 것이다. 이 방법은 순환 CVD로 불린다.
순환 CVD 증착은 또한 소정의 조성 및 두께인 3중 필름의 형성 방법으로서도 사용될 수도 있다. 이 방법에서, 전구체(반응물)는 CVD 챔버로 도입되고 기판 상에서 기화된다. ALD 방법에서와 마찬가지로 잇따른 반응물이 공급되지만, 순환 CVD 방법에 있어서 개별 필름 두께가 단층으로 제한되는 것은 물론 아니다.
본원에서 계획하는 바와 같은, 3중 필름의 형성을 위한 순환 증착 방법에 대한 이해를 돕기 위하여, 기판 상의 증착을 위한 제1 전구체는 금속 아미드이다. 반도체 제조에 있어서 일반적으로 사용되고, 금속 아미드에 대한 금속 성분으로서 적절한 금속은 티타늄, 탄탈, 텅스텐, 하프늄, 지르코늄 등을 포함한다. 증착 방법에서 사용하기에 적절한 금속 아미드의 구체예는 테트라키스(디메틸아미노)티타늄(TDMAT), 테트라키스(디에틸아미노)티타늄(TDEAT), 테트라키스(에틸메틸아미노)티타늄(TEMAT), 테트라키스(디메틸아미노)지르코늄(TDMAZ), 테트라키스(디에틸아미노)지르코늄(TDEAZ), 테트라키스(에틸메틸아미노)지르코늄(TEMAZ), 테트라키스(디메틸아미노)하프늄(TDMAH), 테트라키스(디에틸아미노)하프늄(TDEAH), 테트라키스(에틸메틸아미노)하프늄(TEMAH),tert-부틸이미노트리스(디에틸아미노)탄탈(TBTDET), tert-부틸이미노 트리스(디메틸아미노)탄탈(TBTDMT), tert-부틸이미노 트리스(에틸메틸아미노)탄탈(TBTEMT), 에틸이미노 트리스(디에틸아미노)탄탈(EITDET), 에틸이미노 트리스(디메틸아미노)탄탈(EITDMT), 에틸이미노 트리스(에틸메틸아미노)탄탈(EITEMT), tert-아밀이미노 트리스(디메틸아미노)탄탈(TAIMAT), tert-아밀이미노 트리스(디에틸아미노)탄탈, 펜타키스(디메틸아미노)탄탈, tert-아밀이미노 트리스(에틸메틸아미노)탄탈, 비스(tert-부틸이미노)비스(디메틸아미노)텅스텐(BTBMW), 비스(tert-부틸이미노)비스(디에틸아미노)텅스텐, 비스(tert-부틸이미노)비스(에틸메틸아미노)텅스텐, 및 이의 혼합물로 이루어진 군 중에서 선택된 금속 아미드를 포함한다.
금속 아미드는 예정된 몰 부피로 예정된 시간 동안 증착 챔버로 공급된다. 통상적으로, 금속 아미드는 0.1 초 내지 80 초의 시간 동안 CVD 또는 ALD 챔버로 공급되어, 표면을 포화시키도록 재료가 충분히 증착되게 한다. 증착시, 금속 아미드는 기체상인 것이 바람직하고, 통상적으로 1 μM 내지 100 μM의 범위에서 예정된 몰 부피로 공급된다. 증착 온도는 통상적인 온도이고, 이는 약 200℃ 내지 500℃의 범위이고, 200℃ 내지 350℃인 것이 바람직하다. 압력은 50 mtorr 내지 100 torr인 것이 통상적이다.
이러한 방법의 제2 단계에서, 및 금속 아미드의 증착 이후에 있어서, 불활성 기체, 예컨대 Ar, N2, 또는 He가 사용되어 미반응 금속 아미드를 챔버로부터 제거한다. 순환 증착 방법에서 기체, 예컨대 Ar, N2 또는 He가 50 sccm 내지 2000 sccm의 유속으로 챔버에 공급되어, 이에 따라 챔버 내에 잔류하는 금속 아미드 및 임의의 부산물을 퍼지시키는 것이 통상적이다.
순환 증착 방법에서 사용되는 제2 전구체는 규소 성분이고, 이는 하나 이상의 반응성 N-H 단편 및 하나 이상의 Si-H 단편을 함유하는 규소 성분이다. N-H 단편 및 Si-H 단편 모두는 상기 언급한 금속 아미드와 화학적으로 반응성이어서, M-N-Si 결합, 예를 들어 Ti-N-Si 결합을 형성시키고 Si-H에 대한 금속 중심을 환원시킨다. 순환 증착 방법에서 사용하기에 적절한 규소 성분의 하나의 예는 하기 화학식 1을 갖는 모노알킬아미노실란이다:
화학식 1
(R1NH)nSiR2 mH4 -n-m.
상기 화학식에서, n=1,2; m=0,1,2; n+m=<3이다.
모노알킬아미노실란의 대체제이며 순환 증착을 위해 규소 성분으로서 적절한 성분은 하기 화학식 2를 갖는 히드라지노실란이다:
화학식 2
(R3 2N-NH)xSiR4 yH4 -x-y.
상기 화학식에서, x=1,2; y=0,1,2; x+y=<3이다.
상기 화학식 1 및 2에서, 모노알킬아미노실란 및 히드라진 중 R1 -4는 동일하거나 상이하고, 알킬, 비닐, 알릴, 페닐, 환형 알킬, 플루오로알킬, 실릴알킬 및 암모니아로 이루어진 군 중에서 독립적으로 선택된다. 각 화합물 중 알킬 작용기는 통상적으로 1 내지 10의 탄소수를 갖지만, 바람직한 경우에 있어서, 알킬 작용기는 1 내지 4의 탄소수를 갖는다.
본 방법에서 사용하기에 적절한 모노알킬아미노 실란의 예는 비스(tert-부틸아미노)실란(BTBAS), 트리스(tert-부틸아미노)실란, 비스(이소-프로필아미노)실란, 및 트리스(이소-프로필아미노)실란을 포함한다. 적절한 히드라지노실란의 예는 비스(1,1-디메틸히드라지노)실란, 트리스(1,1-디메틸히드라지노)실란, 비스(1,1-디메틸히드라지노)에틸실란, 비스(1,1-디메틸히드라지노)이소프로필실란, 비스(1,1-디메틸히드라지노)비닐실란을 포함한다. 모노알킬아미노실란 중 비스(tert-부틸아미노)실란은 질소 및 규소 작용기 모두를 공급할 수 있는 바람직한 반응물의 좋은 예이고, 이는 바람직한 모노알킬아미노실란이다.
SH 및 NH를 갖는 규소 성분으로 이루어진 제2 전구체는 예정된 몰 부피, 예를 들어 1 μM 내지 100 μM로, 예정된 시간, 예를 들어 약 0.1 초 내지 약 100 초 동안 챔버로 도입된다. 규소 전구체는 금속 아미드와 반응하고 기판의 표면 상으로 증착되어 금속-질소-규소 결합을 통해 질화규소가 형성된다. 200℃ 내지 500℃의 일반적인 증착 온도 및 50 mtorr 내지 100 mtorr의 압력이 사용된다.
규소 성분의 증착에 이어서, 기체, 예컨대 Ar, N2, 또는 He는 통상적으로 50 sccm 내지 2000 sccm의 유속에서 챔버로 도입되어, 미반응 규소 성분 및 부산물을 증착 챔버로부터 퍼지시킨다. 때때로, 미반응 성분 또는 부산물을 퍼지시키기 위하여, 퍼지 기체가 전 증착 사이클 동안 지속적으로 도입될 수 있다.
임의로, 제3 전구체가 순환 증착 방법, 특히 질소 성분, 예컨대 암모니아 또는 히드라진을 필요로 할 수 있는 ALD 방법에서 사용될 수 있다. 이들 기체가 사용 되어 질소가 풍부한 필름을 제조하고, 전술한 단계에서 필름에 도입된 탄소 함량을 더 감소시킨다.
본 방법의 수행에 있어서,
1. 금속 아미드의 증기를 반응 또는 증착 챔버내에 로딩된 가열된 기판에 노출시키고;
2. 금속 아미드가 기판의 표면과 반응하게 하고;
3. 미반응 금속 아미드를 퍼지 제거하고;
4. 모노알킬아미노실란 또는 히드라지노실란의 증기를 반응 챔버로 도입하여 흡수된 금속 아미드와 반응시키고;
5. 미반응 모노알킬아미노실란 또는 히드라지노실란을 퍼지 제거하고;
6. 필요에 따라, 암모니아와 같은 질소 반응 화합물을 반응 챔버로 도입하고;
7. 미반응 질소 함유 반응물을 퍼지 제거시키며;
8. 소정의 필름 두께가 달성될 때까지 상기 기술한 사이클을 반복하는
증착 사이클이 제안된다.
상기 사이클에서 전구체 반응물을 챔버로 도입시키는 순서를 역으로 하는 것이 가능하고, 예를 들어, 규소 성분이 먼저 도입된 후 금속 아미드가 첨가될 수 있다. 그러나, 규소 성분이 먼저 증착되는 경우, 더 높은 증착 온도가 일반적으로 요구된다. 설명한 바와 같이, 금속 아미드는 일반적으로 규소 성분에 비해 더 낮은 온도에서 증착되고, 더욱이, 더 낮은 온도에서 이의 증착은 촉매를 사용하여 촉진시킨다.
본원발명에 따라 생성되는 금속 규소 질화물 필름은 플라스마 처리에 노출시켜 생성되는 금속 규소 질화물 필름을 고밀화시킴과 동시에 금속 규소 질화물 필름의 저항성을 감소시킬 수가 있다.
하기 반응식 1은 테트라키스(디메틸아미노)티타늄(TDMAT) 및 비스(tert-부틸아미노)실란(BTBAS)를 예로서 사용하는 화학 반응을 예시하는 2-반응물 순환 증착 방법을 기재하고 있다. 이 반응식에서, 규소 기판을 먼저 전처리하여 반응성 부위, 예컨대 Si-OH, Si-H, 및 Si-NH 단편을 기판 상에 생성시킨다. 그 후, 이 반응성 부위와 TDMAT 사이에 화학 반응을 일으키는 조건 하에, 표면을 금속 아미드, 예컨대 TDMAT에 노출시켜 Ti-NMe2 단편에 의해 점유된 표면을 생성시킨다. 디메틸아민이 부산물로서 방출된다. 이 단계가 ALD 방법에서와 같은 자체 제어 공정이거나, 순환 증착 CVD 방법에서와 같은 비제어적 공정인 것에 따라서, 챔버는 질소로 퍼지되어 미반응 TDMAT 및 임의의 부산물을 제거한다. 이 시점에서, 규소 성분, 예컨대 BTBAS을 도입하여 Ti-NMe2와 반응하도록 하여, 표면이 Si-H 및 Si-NH2 부위로 덮이게 한다. 이러한 반응 동안 부텐 및 디메틸아민이 방출된다. 이 단계도, 자체 제어적 공정인 경우는 ALD 방법이고, 비자체 제어적 공정인 경우에는 순환 CVD이다. 이 반응은 소정의 필름 두께가 달성될 때까지 반복된다.
질화규소를 형성하는 데 있어서 Ti-NMe2의 흡수는 결정적인데, 이는 BTBAS를 단독을 사용하는 질화규소의 증착은 일반적으로 500℃ 초과의 기판 온도를 요구하기 때문이다. 금속 아미드가 증착 방법에서 사용되는 경우, 훨씬 낮은 온도가 사용될 수 있고, 이는 이 금속 아미드가 질화규소의 증착을 촉매화하는 작용을 하기 때문이다.
하기 반응식 1은 순환 증착 방법에서의 각 반응을 기재하고 있다:
[반응식 1]
Figure 112006009836815-pat00001
하기 반응식 2는 테트라키스(디메틸아미노)티타늄(TDMAT), 암모니아, 및 비스(tert-부틸아미노)실란(BTBAS)을 전구체로서 사용하는 화학 작용을 예시하는, 통상의 3-반응물 방법을 기재하고 있다. 규소 기판이 먼저 전처리되어 반응성 부위, 예컨대 Si-OH, Si-H, 및 Si-NH 단편이 기판 상에 생성된다. 그 후, 이 반응성 부위와 TDMAT 사이에 화학 반응을 일으키는 조건 하에서, 표면을 금속 아미드, 예컨대 TDMAT에 노출시켜, Ti-NMe2 단편으로 점유된 표면을 생성시킨다. 디메틸아민이 부산 물로서 방출된다. 다시 말하지만, 이 단계가 자체 제어적 공정이면 이는 ALD이고, 그렇지 않은 경우 이는 순환 CVD 방법이다. 미반응 TDMAT 및 임의의 부산물을 질소로 퍼지시킴에 의해 챔버로부터 제거한다. 반응식 1과는 다르게, 암모니아가 도입되어 생성된 모든 TiNMe2 부위를 Ti-NH2 부위로 전환시켜 디메틸아민을 방출하도록 한다. BTBAS가 증착 챔버로 도입되어 상기 형성된 Ti-NH2 부위와 BTBAS 사이의 반응이 일어나 표면이 Si-H 및 Si-NH2로 덮이게 된다. 부텐, tert-부틸아민 및 디메틸아민이 이 단계에서 방출된다. 이 마지막 단계가 자체 제어적 공정이라면 이 방법은 ALD 방법이고, 그렇지 않은 경우 이는 순환 CVD 방법이다. 이러한 증착 사이클은 소정의 필름 두께가 달성될 때까지 반복된다.
이러한 화학 반응은 하기 반응식 2에서 예시되어 있다.
[반응식 2]
Figure 112006009836815-pat00002
하기 반응식 3은 테트라키스(디메틸아미노)티타늄(TDMAT), 및 비스(tert-부틸아미노)실란(BTBAS), 및 암모니아를 전구체로서 사용하는 화학 작용을 예시하는, 통상의 3-반응물 방법을 기재하고 있다. 규소 기판이 먼저 전처리되어 반응성 부위, 예컨대 Si-OH, Si-H, 및 Si-NH 단편이 기판 상에 생성되게 한다. 그 후, 이 반응성 부위와 TDMAT 사이에 화학 반응을 일으키는 조건 하에 표면을 금속 아미드, 예컨대 TDMAT에 노출시켜, 표면이 Ti-NMe2 단편으로 덮이게 한다. 디메틸아민이 부산물로서 방출된다. 다시 말하지만, 이 단계가 자체 제어적 공정이면 이는 ALD이고, 그렇지 않은 경우 이는 순환 CVD 방법이다. 미반응 TDMAT 및 임의의 부산물은 질소로 퍼지시킴에 의해 챔버로부터 제거된다. 반응식 2와는 다르게, BTBAS가 증착 챔버로 도입되어 상기 형성된 Ti-NMe2 부위와 BTBAS 사이에 반응이 일어나 표면이 Si-H 및 Si-NHBut로 덮이게 된다. tert-부틸아민, 부탄 및 디메틸아민이 이 단계에서 방출된다. 이 마지막 단계도, 만일 자체 제어적 공정이라면 이 방법은 ALD 방법이고, 그렇지 않은 경우 이는 증착 CVD 방법이다. 암모니아가 도입되어 모든 Si-NHBut을 이후의 사이클을 위한 반응성 Si-NH2로 전환시킨다. 이러한 증착 사이클은 소정의 필름 두께가 달성될 때까지 반복된다.
이러한 화학 반응은 하기 반응식 3에서 예시되어 있다.
[반응식 3]
Figure 112006009836815-pat00003
하기의 실시예는 본 발명의 각종 실시양태를 예시하기 위해 제공되며 본 발명을 제한하고자 하는 것은 아니다.
실시예 1
200℃에서 TDMAT 및 BTBAS로부터 TiSiN 필름의 증착
규소 웨이퍼를 증착 챔버에 충전시키고 이를 200℃의 온도 및 200 Pa(1.5 Torr)의 압력에서 유지하였다. 2.6 μM의 Ti 함유 화합물인 테트라키스(디메틸아미노)티타늄(TDMAT)을 100 sccm의 N2와 함께 10초 간의 펄스에 걸쳐 챔버로 혼입하였다. Ti 아미드의 증착 이후, 미반응 Ti 아미드 및 부산물을 7.5초 동안 2000 sccm의 N2로 퍼지시켰다. 그 후, 4.73 μM의 Si 함유 화합물인 비스(tert-부틸아미노)실란(BTBAS)의 주입물을 100 sccm의 N2와 함께 80초의 시간에 걸쳐 혼입하였다. 미반응 BTBAS 및 부산물을 2000 sccm의 N2에 의한 40초의 퍼지에 의해 제거하였다.
상기 사이클을 (4 단계의) 200 사이클 동안 반복하여 45 Å 두께의 필름을 생성하였다. 사이클당 증착 속도는 0.22 Å이고, 이 속도는 통상의 ALD 방법에 비해 훨씬 느린 것이며, 이는 상기 온도는 이들 전구체가 표면 포화를 달성하기에 불충분한 것임을 의미한다.
실시예 2
250℃에서 TDMAT 및 BTBAS로부터 TiSiN 필름의 ALD 형성
규소 웨이퍼를 250℃의 온도 및 200 Pa(1.5 Torr)의 압력에서 유지시킨 것을 제외하고는 실시예 1의 방법을 따랐다. 2.6 μM의 Ti 함유 화합물인 테트라키스(디메틸아미노)티타늄(TDMAT)을 100 sccm의 N2와 함께 10초 동안 챔버로 혼입하였다. 2000 sccm의 N2의 퍼지를 7.5초 동안 실시하였다. 그 후, 4.73 μM의 Si 함유 화합물인 비스(tert-부틸아미노)실란(BTBAS)의 주입물을 100 sccm의 N2와 함께 80초 동 안 혼입하였다. 이어서 2000 sccm의 N2에 의해 40초 동안 퍼지시켰다. 이 사이클을 (4 단계의) 100 사이클 동안 반복하여 144 Å 두께의 필름을 생성하였다.
사이클당 증착 속도는 1.44 Å 이고, 이 속도는 통상의 ALD 방법에 대한 범위에 속하며, 이는 상기 온도가 단층의 표면 포화를 달성시키기에 충분한 것임을 의미한다. Ti : Si 투입 비율은 0.55이고, 증착된 필름 중 Ti : Si 원자 비율은 5.2로 분석되었다.
BTBAS의 주입량은 변화시키지 않는 반면, 상이한 TDMAT의 주입량을 사용하는 추가의 실험을 실시하였다(도면 참조). 도면의 그래프는 ALD 방법에서의 필름 조성(Ti : Si 비율)이 티타늄 및 규소 반응물의 주입 비율을 변화시킴에 의해 조절될 수 있음을 보여준다. 따라서, 필름 두께를 유의하게 변화시키지 않으면서 광범위한 조성을 수득할 수 있다.
실시예 3
TDMAT 및 BTBAS로부터 TiSiN 필름의 순환 CVD 형성
규소 웨이퍼를 300℃의 온도 및 200 Pa(1.5 Torr)의 압력에서 유지시킨 것을 제외하고는 실시예 1의 방법을 따랐다. 2.6 μM의 Ti 함유 화합물인 테트라키스(디메틸아미노)티타늄(TDMAT)을 100 sccm의 N2와 함께 10초 동안 챔버로 혼입하였다. 2000 sccm의 N2의 퍼지 7.5초 동안 실시하였다. 그 후, 4.73 μM의 Si 함유 화합물인 비스(tert-부틸아미노)실란(BTBAS)의 주입물을 100 sccm의 N2와 함께 80초 동안 혼입하였다. 이어서 2000 sccm의 N2에 의해 40초 동안 퍼지시켰다. 이 사이클을 (4 단계의) 100 사이클 동안 반복하여 629 Å 두께의 필름을 생성하였다. 사이클당 속도는 6.29 Å 이고, 이는 상기 온도가 너무 높아서 사이클당 증착을 단층으로 제한할 수 없음을 의미한다. 실시예 1 및 2와는 대조적으로, 순환 CVD와 유사한 과정이 이 온도에서 발생하여, ALD 방법에서보다 훨씬 빠른 증착 속도를 발생시킨다.
실시예 4
300℃에서 오로지 BTBAS를 사용한 순환 CVD
실시예 3의 방법을 따랐다. 4.73 μM의 Si 함유 화합물인 비스(tert-부틸아미노)실란(BTBAS)의 주입물을 100 sccm N2와 함께 80초 동안 혼입하였다. 이어서 2000 sccm의 N2로 40초 동안 퍼지시켰다. 이 사이클을 (4 단계의) 100 사이클 동안 반복하였으나 필름이 형성되지 않았고, 이는 500℃ 미만의 온도에서 질화규소의 CVD를 촉매화하기 위해서는 증착된 금속 아미드가 필요하며, 이 금속 아미드는 금속 규소 질화물의 형성시 결정적인 역할을 한다는 것을 의미한다.
실시예 5
300℃에서 BTBAS 및 암모니아를 사용하는 순환 CVD
실시예 3의 방법을 따랐다. 암모니아(NH3)를 100 sccm의 N2와 함께 10초 동안 챔버로 혼입하였다. 2000 sccm의 N2의 퍼지를 7.5초 동안 실시하였다. 그 후, 4.73 μM의 Si 함유 화합물인 비스(tert-부틸아미노)실란(BTBAS)의 주입물을 100 sccm N2와 함께 80초 동안 혼입하였다. 이어서 2000 sccm의 N2로 40초 동안 퍼지시켰다. 이 사이클을 (4 단계의) 100 사이클 동안 반복하였으나 필름이 생성되지 않 았다. 이 실시예는 비스(tert-부틸아미노)실란(BTBAS)을 분해하여 질화규소를 형성시키는 촉매 작용을 하기 위하여 증착된 금속 아미드가 필요함을 보여준다.
실시예 6
350℃에서 TBTDET 및 BTBAS로부터 TaSiN 필름의 ALD 형성
규소 웨이퍼를 350℃의 온도 및 200 Pa(1.5 Torr)의 압력에서 유지시킨 것을 제외하고는 실시예 1의 방법을 따랐다. 1.1 μM의 Ta 함유 화합물인 tert-부틸이미노 트리스(디에틸아미노)탄탈(TBTDET)을 50 sccm의 N2와 함께 20초 동안 챔버로 혼입하였다. 이어서 500 sccm의 N2에 의한 퍼지를 15초 동안 실시하였다. 그 후, 4.73 μM의 Si 함유 화합물인 비스(tert-부틸아미노)실란(BTBAS)의 주입물을 50 sccm의 N2와 함께 80초 동안 혼입하였다. 이어서 500 sccm의 N2에 의해 40초 동안 퍼지시켰다. 이 사이클을 (4 단계의) 200 사이클 동안 반복하여 281 Å 두께의 필름을 생성하였다.
사이클당 증착 속도는 1.82 Å 이고, 이 속도는 통상의 ALD 방법에 대한 범위에 속하며, 이는 상기 온도가 단층의 표면 포화를 달성하기에 충분한 것임을 의미한다.
실시예 7
TBTDET 및 BTBAS로부터 TaSiN 필름의 순환 CVD 형성
규소 웨이퍼를 400℃의 온도 및 200 Pa(1.5 Torr)의 압력에서 유지시킨 것을 제외하고는 실시예 1의 방법을 따랐다. 1.1 μM의 Ta 함유 화합물인 tert-부틸이미 노 트리스(디에틸아미노)탄탈(TBTDET)을 50 sccm의 N2와 함께 20초 동안 챔버로 혼입하였다. 이어서 500 sccm의 N2에 의한 퍼지를 15초 동안 실시하였다. 그 후, 4.73 μM의 Si 함유 화합물인 비스(tert-부틸아미노)실란(BTBAS)의 주입물을 50 sccm의 N2와 함께 80초 동안 혼입하였다. 이어서 500 sccm의 N2에 의해 40초 동안 퍼지시켰다. 이 사이클을 (4 단계의) 200 사이클 동안 반복하여 2400 Å 두께의 필름을 생성하였다. 사이클당 증착 속도는 12 Å 이고, 이는 상기 온도가 너무 높아서 사이클당 증착을 단층으로 제한할 수 없음을 의미한다. 실시예 6과는 대조적으로, 순환 CVD와 유사한 과정이 이 온도에서 발생하여, 이는 ALD 방법에서의 증착 속도보다 훨씬 빠른 속도를 발생시킨다.
종래 기술 및 비교예를 요약하면, 공지되어 있는 바와 같이 테트라키스(디메틸아미노)티타늄을 실란 또는 클로로실란과 함께 사용하거나 또는 테트라키스(디메틸아미노)티타늄을 암모니아 및 실란과 함께 사용하여 티타늄 규소 질화물 필름을 증착시키는 것에 대한 집중적인 조사가 행해져 왔다. 이러한 방법에 있어서, 실란은 안전 문제를 야기하였고, 클로로실란은 안전 문제뿐 아니라 부식 문제를 야기하였다. 또한, TaCl5, TDMAS, 및 암모니아를 사용하여 탄탈 규소 질화물 필름을 형성하는 것에 대한 조사도 행해져 왔다. 이 방법은 염화물로 오염된 탄탈 규소 질화물 필름을 생성시키고, 이는 부식 및 기타 장기간의 안정성 문제를 야기할 수 있다.
종래 기술의 방법과는 대조적으로, 본원에서 기재되어 있는 실시에 1 내지 7은, 순환 증착 방법에서 금속 아미드 및 모노알킬아미노 실란을 전구체로서 사용하 는 순환 증착은 3개의 전구체 대신 오로지 2개의 전구체만을 사용하면서 고품질 필름을 생성시킬 수 있음을 보여준다. 또한, 이들 전구체의 사용은 실란과 같은 전구체의 사용과 관련된 일부 안전 문제를 방지한다.
바람직한 실시양태가 제시되고 기술된 반면, 본 발명의 의미 및 범위를 벗어나지 않으면서 이에 대한 각종 수정 및 대체를 할 수 있다. 따라서, 본 발명은 오로지 예시의 방법으로서 기술된 것이고, 본원에서 개시되어 있는 바와 같은 예시 및 실시양태는 특허청구범위를 제한하는 것으로 해석되어서는 안된다.
본 발명은 N-H 및 Si-H 작용기 모두를 갖는 금속 아미드 및 실란 성분을 사용한 순환 증착 방법에 의하여 고품질의 금속 규소 질화물 필름이 생성되게 한다.

Claims (20)

  1. 금속 아미드를 증착 챔버로 도입하고 가열된 기판 상에 필름을 증착시키는 단계;
    증착 챔버를 퍼지하여 미반응 금속 아미드를 제거하는 단계;
    N-H 단편 및 Si-H 단편을 함유하는 규소 화합물을 증착 챔버로 도입하고 가열된 기판 상에 필름을 증착시키는 단계;
    증착 챔버를 퍼지하여 미반응 화합물 및 부산물을 제거하는 단계; 및
    소정의 필름 두께가 얻어질 때까지 순환 증착 방법을 반복하는 단계
    를 포함하는, 기판 상에 금속 규소 질화물 필름을 형성하기 위한 순환 증착 방법.
  2. 제1항에 있어서, 금속 아미드는 테트라키스(디메틸아미노)티타늄(TDMAT), 테트라키스(디에틸아미노)티타늄(TDEAT), 테트라키스(에틸메틸아미노)티타늄(TEMAT), 테트라키스(디메틸아미노)지르코늄(TDMAZ), 테트라키스(디에틸아미노)지르코늄(TDEAZ), 테트라키스(에틸메아미노틸)지르코늄(TEMAZ), 테트라키스(디메틸아미노)하프늄(TDMAH), 테트라키스(디에틸아미노)하프늄(TDEAH), 테트라키스(에틸메틸아미노)하프늄(TEMAH), tert-부틸이미노 트리스(디에틸아미노)탄탈(TBTDET), tert-부틸이미노 트리스(디메틸아미노)탄탈(TBTDMT), tert-부틸이미노 트리스(에틸메틸아미노)탄탈(TBTEMT), 에틸이미노 트리스(디에틸아미노)탄탈(EITDET), 에틸이미노 트리스(디메틸아미노)탄탈(EITDMT), 에틸이미노 트리스(에틸메틸아미노)탄탈(EITEMT), tert-아밀이미노 트리스(디메틸아미노)탄탈(TAIMAT), tert-아밀이미노 트리스(디에틸아미노)탄탈, 펜타키스(디메틸아미노)탄탈, tert-아밀이미노 트리스(에틸메틸아미노)탄탈, 비스(tert-부틸이미노)비스(디메틸아미노)텅스텐(BTBMW), 비스(tert-부틸이미노)비스(디에틸아미노)텅스텐, 비스(tert-부틸이미노)비스(에틸메틸아미노)텅스텐, 및 이의 혼합물로 이루어진 군 중에서 선택된 것인 방법.
  3. 제2항에 있어서, N-H 단편 및 Si-H 단편 모두를 함유하는 규소 화합물은 하기 화학식 1을 갖는 모노알킬아미노실란 및 하기 화학식 2를 갖는 히드라지노실란으로 이루어진 군 중에서 선택된 것인 방법:
    화학식 1
    (R1NH)nSiR2 mH4 -n-m,
    화학식 2
    (R3 2N-NH)xSiR4 yH4 -x-y
    상기 식 중, R1 -4는 동일하거나 상이하고, 알킬, 비닐, 알릴, 페닐, 환형 알킬, 플루오로알킬, 실릴알킬로 이루어진 군 중에서 독립적으로 선택되며, n=1,2; m=0,1,2; n+m=<3, x=1,2; y=0,1,2; x+y=<3이다.
  4. 제3항에 있어서, 금속 규소 질화물은 티타늄 규소 질화물인 것인 방법.
  5. 제3항에 있어서, 금속 아미드는 테트라키스(디메틸아미노)타티늄(TDMAT), 테트라키스(디에틸아미노)티타늄(TDEAT), 테트라키스(에틸메틸아미노)티타늄(TEMAT)로 이루어진 군 중에서 선택된 것인 방법.
  6. 제4항에 있어서, N-H 단편 및 Si-H 단편을 함유하는 규소 화합물은 비스(tert-부틸아미노)실란(BTBAS), 트리스(tert-부틸아미노)실란, 비스(이소-프로필아미노)실란, 트리스(이소-프로필아미노)실란, 비스(1,1-디메틸히드라지노)실란, 트리스(1,1-디메틸히드라지노)실란, 비스(1,1-디메틸히드라지노)에틸실란, 비스(1,1-디메틸히드라지노)이소프로필실란, 비스(1,1-디메틸히드라지노)비닐실란으로 이루어진 군 중에서 선택된 것인 방법.
  7. 제3항에 있어서, 금속 규소 질화물은 탄탈 규소 질화물인 것인 방법.
  8. 제3항에 있어서, 금속 규소 질화물은 텅스텐 규소 질화물인 것인 방법.
  9. 제3항에 있어서, 순환 증착 방법은 순환 화학 기상 증착 방법인 것인 방법.
  10. 제3항에 있어서, 순환 증착 방법은 원자층 증착 방법인 것인 방법.
  11. 제3항에 있어서, 증착 챔버 내의 압력은 50 mtorr 내지 100 torr 이고, 상기 증착 챔버내의 온도는 200℃ 내지 500℃인 것인 방법.
  12. 제11항에 있어서, 암모니아는 제3 전구체로서 사용되고, 첨가 순서는 금속 아미드-암모니아-모노알킬아미노실란 및 금속 아미드-모노알킬아미노실란-암모니아로 이루어진 군 중에서 선택된 것인 방법.
  13. 제12항에 있어서, 생성되는 금속 규소 질화물 필름을 플라스마 처리에 노출시켜 생성되는 금속 규소 질화물 필름을 고밀화시킬뿐 아니라 금속 규소 질화물 필름의 저항성을 감소시키는 것인 방법.
  14. 복수개의 전구체는 증착 챔버로 순차적으로 도입시키고, 기화시키며, 기판 상에서 증착시키는 3중 금속 규소 질화물 필름을 형성하기 위한 순환 증착 방법으로서,
    금속 아미드를 전구체로서 이용하고;
    NH 단편 및 SiH 단편을 갖는 규소 화합물을 전구체로서 이용하며; 또
    질소 함유 기체를 사용하는 것
    을 포함하는 것을 특징으로 하는 방법.
  15. 제14항에 있어서, 상기 증착 챔버내의 압력은 50 mtorr 내지 100 torr이고, 상기 증착 챔버내의 온도는 200℃ 내지 350℃인 것인 순환 증착 방법.
  16. 제14항에 있어서, 금속 아미드는 상기 규소 화합물 이전에 증착되고, 상기 금속 아미드는 테트라키스(디메틸아미노)티타늄(TDMAT), 테트라키스(디에틸아미노)티타늄(TDEAT), 테트라키스(에틸메틸아미노)티타늄(TEMAT), 테트라키스(디메틸아미노)지르코늄(TDMAZ), 테트라키스(디에틸아미노)지르코늄(TDEAZ), 테트라키스(에틸메틸아미노)지르코늄(TEMAZ), 테트라키스(디메틸아미노)하프늄(TDMAH), 테트라키스(디에틸아미노)하프늄(TDEAH), 테트라키스(에틸메틸아미노)하프늄(TEMAH), tert-부틸이미노 트리스(디에틸아미노)탄탈(TBTDET), tert-부틸이미노 트리스(디메틸아미노)탄탈(TBTDMT), tert-부틸이미노 트리스(에틸메틸아미노)탄탈(TBTEMT), 에틸이미노 트리스(디에틸아미노)탄탈(EITDET), 에틸이미노 트리스(디메틸아미노)탄탈(EITDMT), 에틸이미노 트리스(에틸메틸아미노)탄탈(EITEMT), tert-아밀이미노 트리스(디메틸아미노)탄탈(TAIMAT), tert-아밀이미노 트리스(디에틸아미노)탄탈, 펜타키스(디메틸아미노)탄탈, tert-아밀이미노 트리스(에틸메틸아미노)탄탈, 비스(tert-부틸이미노)비스(디메틸아미노)텅스텐(BTBMW), 비스(tert-부틸이미노)비스(디에틸아미노)텅스텐, 비스(tert-부틸이미노)비스(에틸메틸아미노)텅스텐으로 이루어진 군 중에서 선택된 것인 순환 증착 방법.
  17. 제14항에 있어서, N-H 단편 및 Si-H 단편을 함유하는 규소 화합물은 비스(tert-부틸아미노)실란(BTBAS), 트리스(tert-부틸아미노)실란, 비스(이소-프로필아미노)실란, 트리스(이소-프로필아미노)실란, 비스(1,1-디메틸히드라지노)실란, 트리스(1,1-디메틸히드라지노)실란, 비스(1,1-디메틸히드라지노)에틸실란, 비스(1,1-디메틸히드라지노)이소프로필실란, 비스(1,1-디메틸히드라지노)비닐실란으로 이루어진 군 중에서 선택된 것인 방법.
  18. 제14항에 있어서, 퍼지 기체는 각 전구체의 도입 이후에 상기 증착 챔버를 통해 통과되는 것인 방법.
  19. 제14항에 있어서, 질소 함유 기체는 암모니아, 히드라진, 알킬 히드라진, 및 디알킬 히드라진으로 이루어진 군 중에서 선택된 것인 방법.
  20. 삭제
KR1020060012812A 2005-02-14 2006-02-10 순환 증착을 통한 금속 규소 질화물 필름의 제조 KR100766843B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/057,446 2005-02-14
US11/057,446 US20060182885A1 (en) 2005-02-14 2005-02-14 Preparation of metal silicon nitride films via cyclic deposition

Publications (2)

Publication Number Publication Date
KR20060091240A KR20060091240A (ko) 2006-08-18
KR100766843B1 true KR100766843B1 (ko) 2007-10-17

Family

ID=36218346

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060012812A KR100766843B1 (ko) 2005-02-14 2006-02-10 순환 증착을 통한 금속 규소 질화물 필름의 제조

Country Status (8)

Country Link
US (1) US20060182885A1 (ko)
EP (1) EP1691400B1 (ko)
JP (1) JP4347855B2 (ko)
KR (1) KR100766843B1 (ko)
CN (1) CN100537842C (ko)
AT (1) ATE421166T1 (ko)
DE (1) DE602006004779D1 (ko)
TW (1) TWI265207B (ko)

Families Citing this family (314)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4403824B2 (ja) * 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
KR20050091488A (ko) * 2004-03-12 2005-09-15 주식회사 유피케미칼 세라믹 또는 금속박막 증착용 전구체 화합물 및 그제조방법
US7927933B2 (en) * 2005-02-16 2011-04-19 Imec Method to enhance the initiation of film growth
US20060286800A1 (en) * 2005-06-15 2006-12-21 Dominguez Juan E Method for adhesion and deposition of metal films which provide a barrier and permit direct plating
WO2007148760A1 (ja) * 2006-06-21 2007-12-27 Tokyo Electron Limited TaSiN膜の成膜方法
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
JP4845782B2 (ja) 2007-03-16 2011-12-28 東京エレクトロン株式会社 成膜原料
US7589020B2 (en) 2007-05-02 2009-09-15 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR100956210B1 (ko) * 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
US8507040B2 (en) 2008-05-08 2013-08-13 Air Products And Chemicals, Inc. Binary and ternary metal chalcogenide materials and method of making and using same
US8765223B2 (en) * 2008-05-08 2014-07-01 Air Products And Chemicals, Inc. Binary and ternary metal chalcogenide materials and method of making and using same
WO2010012595A1 (en) * 2008-08-01 2010-02-04 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming a tantalum-containing layer on a substrate
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8580993B2 (en) * 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2012091297A1 (ko) * 2010-12-30 2012-07-05 주성엔지니어링㈜ 박막 트랜지스터 및 그 제조 방법
KR101295031B1 (ko) * 2011-04-22 2013-08-09 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭 증착방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101189642B1 (ko) * 2012-04-09 2012-10-12 아익스트론 에스이 원자층 증착법을 이용한 TiSiN 박막의 형성방법
CN102703880B (zh) * 2012-06-12 2014-01-15 浙江大学 利用原子层沉积制备高精度光学宽带抗反射多层膜的方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP5852147B2 (ja) * 2014-01-23 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015193878A (ja) * 2014-03-31 2015-11-05 東京エレクトロン株式会社 TiSiN膜の成膜方法および成膜装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
EP3347504A1 (en) * 2015-09-11 2018-07-18 Air Products and Chemicals, Inc. Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
WO2017062614A1 (en) * 2015-10-06 2017-04-13 Versum Materials Us, Llc Methods for depositing a conformal metal or metalloid silicon nitride film
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) * 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210109046A (ko) * 2019-01-24 2021-09-03 어플라이드 머티어리얼스, 인코포레이티드 질화규소를 증착하는 방법들
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11251261B2 (en) * 2019-05-17 2022-02-15 Micron Technology, Inc. Forming a barrier material on an electrode
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US11832537B2 (en) * 2019-10-08 2023-11-28 Eugenus, Inc. Titanium silicon nitride barrier layer
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0144104A2 (de) * 1983-11-25 1985-06-12 Sipuro Ag Behälter für ein flüssiges oder pulverförmiges WC-Reinigungsmittel
US6426117B1 (en) 1998-09-10 2002-07-30 Genitech Co., Ltd. Method for forming a three-component nitride film containing metal and silicon
US20030108674A1 (en) * 2001-12-07 2003-06-12 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20040194706A1 (en) * 2002-12-20 2004-10-07 Shulin Wang Method and apparatus for forming a high quality low temperature silicon nitride layer

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6933021B2 (en) * 1995-07-06 2005-08-23 Applied Materials, Inc. Method of TiSiN deposition using a chemical vapor deposition (CVD) process
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US5976991A (en) * 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
US6403465B1 (en) * 1999-12-28 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to improve copper barrier properties
US6518626B1 (en) * 2000-02-22 2003-02-11 Micron Technology, Inc. Method of forming low dielectric silicon oxynitride spacer films highly selective of etchants
US20020127883A1 (en) * 2001-01-09 2002-09-12 Conti Richard A. Bis (tertiarybutylamino) silane and ozone based doped and undoped oxides
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
WO2003025243A2 (en) * 2001-09-14 2003-03-27 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US6559074B1 (en) * 2001-12-12 2003-05-06 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6875271B2 (en) * 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US6677201B1 (en) * 2002-10-01 2004-01-13 Texas Instruments Incorporated Method of fabricating thermal CVD oxynitride and BTBAS nitride sidewall spacer for metal oxide semiconductor transistors
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7030023B2 (en) * 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0144104A2 (de) * 1983-11-25 1985-06-12 Sipuro Ag Behälter für ein flüssiges oder pulverförmiges WC-Reinigungsmittel
US6426117B1 (en) 1998-09-10 2002-07-30 Genitech Co., Ltd. Method for forming a three-component nitride film containing metal and silicon
US20030108674A1 (en) * 2001-12-07 2003-06-12 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20040194706A1 (en) * 2002-12-20 2004-10-07 Shulin Wang Method and apparatus for forming a high quality low temperature silicon nitride layer

Also Published As

Publication number Publication date
EP1691400B1 (en) 2009-01-14
TW200628628A (en) 2006-08-16
JP2006225764A (ja) 2006-08-31
JP4347855B2 (ja) 2009-10-21
ATE421166T1 (de) 2009-01-15
TWI265207B (en) 2006-11-01
DE602006004779D1 (de) 2009-03-05
KR20060091240A (ko) 2006-08-18
EP1691400A1 (en) 2006-08-16
CN100537842C (zh) 2009-09-09
CN1821440A (zh) 2006-08-23
US20060182885A1 (en) 2006-08-17

Similar Documents

Publication Publication Date Title
KR100766843B1 (ko) 순환 증착을 통한 금속 규소 질화물 필름의 제조
US6451119B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US8017182B2 (en) Method for depositing thin films by mixed pulsed CVD and ALD
US8329599B2 (en) Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
TWI432597B (zh) 金屬矽氮化物膜的電漿增強循環性沉積方法
KR101427142B1 (ko) 금속 규산염 막의 원자층 증착
US20060178019A1 (en) Low temperature deposition of silicon oxides and oxynitrides
KR20110081181A (ko) 박막 침착을 위한 니오븀 및 바나듐 유기금속 전구체
EP2310551B1 (en) Method of forming a tantalum-containing layer on a substrate
US11289328B2 (en) Deposition and etch processes of chromium-containing thin films for semiconductor manufacturing
US11286564B2 (en) Tin-containing precursors and methods of depositing tin-containing films
US11370669B2 (en) Amorphous silicon doped yttrium oxide films and methods of formation
TWI837142B (zh) 形成含鉻膜的方法與以含氧化鉻膜或含鉻膜填充縫隙的方法
KR20040102754A (ko) 원자층 적층 방법 및 이를 이용한 박막 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20120927

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130927

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140929

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150930

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160929

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170919

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180918

Year of fee payment: 12