JP4347855B2 - 循環堆積による金属ケイ素窒化物膜の調製 - Google Patents

循環堆積による金属ケイ素窒化物膜の調製 Download PDF

Info

Publication number
JP4347855B2
JP4347855B2 JP2006036223A JP2006036223A JP4347855B2 JP 4347855 B2 JP4347855 B2 JP 4347855B2 JP 2006036223 A JP2006036223 A JP 2006036223A JP 2006036223 A JP2006036223 A JP 2006036223A JP 4347855 B2 JP4347855 B2 JP 4347855B2
Authority
JP
Japan
Prior art keywords
bis
tert
tetrakis
tantalum
deposition method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006036223A
Other languages
English (en)
Other versions
JP2006225764A (ja
Inventor
レイ シンジャン
スリダンダム ハリーシュ
スコット クシール カーク
ケネス ホッホバーグ アーサー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2006225764A publication Critical patent/JP2006225764A/ja
Application granted granted Critical
Publication of JP4347855B2 publication Critical patent/JP4347855B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47GHOUSEHOLD OR TABLE EQUIPMENT
    • A47G33/00Religious or ritual equipment in dwelling or for general use
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F21LIGHTING
    • F21VFUNCTIONAL FEATURES OR DETAILS OF LIGHTING DEVICES OR SYSTEMS THEREOF; STRUCTURAL COMBINATIONS OF LIGHTING DEVICES WITH OTHER ARTICLES, NOT OTHERWISE PROVIDED FOR
    • F21V21/00Supporting, suspending, or attaching arrangements for lighting devices; Hand grips
    • F21V21/06Bases for movable standing lamps; Fixing standards to the bases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/0215Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing tantalum, e.g. TaSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02153Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing titanium, e.g. TiSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47GHOUSEHOLD OR TABLE EQUIPMENT
    • A47G2200/00Details not otherwise provided for in A47G
    • A47G2200/08Illumination
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Formation Of Insulating Films (AREA)

Description

金属ケイ素窒化物膜は公知であり、半導体産業において使用されて相互接続のための拡散バリアを提供し、ゲート電極として使用されている。従来、アルミニウムが半導体デバイスにおいて相互接続のために使用されてきたが、近来、アルミニウムよりも低い抵抗及び優れたエレクトロマイグレーション寿命を有する銅が集積化のために使用されている。しかしながら、銅は、半導体デバイスを製作するのに使用される多くの材料の中でも非常に流動性であり、誘電体を含む幾つかの材料を介して直ちに拡散し得る。ケイ素基材への銅のエレクトロマイグレーションによりデバイスの性能が低下する。したがって、半導体デバイス内の如何なる拡散も回避するため、しかるべき場所にバリア層を有することが必要である。
金属窒化物層、例えば、窒化チタン(TiN)層は、半導体デバイス構造、例えば、接点、ビア及びトレンチにおいて、拡散、例えば、銅の拡散に対するバリア層として使用されている。しかしながら、これらのバリア層は、今日のデバイスのより高いアスペクト比に適応するよう可能な限り薄くしなければならない。それは不活性でなければならず、その後の熱サイクルの際に隣接材料と不利に反応してはならず、それを介して隣接材料が拡散又は移動することを防がなければならず、低い抵抗率(高い導電率を示す)、低い接点又はビア抵抗及び低い接合リークを有していなければならない。
例えば、銅の拡散に対するバリア性能は達成することが困難であった。金属ケイ素窒化物膜、特にチタン−ケイ素−窒化物層は、窒化ケイ素が金属窒化物中の粒界をブロックするので、窒化チタンバリアよりも優れたアルミニウム又は銅の相互接続に対する拡散バリアを提供することが見出された。多結晶質の金属窒化物中の粒界は、銅原子のための拡散路を提供する。
近年、三成分膜の形成においては、金属アミド、シラン及びアンモニアが循環堆積によって基材上に逐次的に堆積されるが、このプロセスでは処理上の問題が生じる。シランは自然発火性のガスであり、安全性に危険を生じる可能性がある。その上、それぞれのパージ工程に加えて3つの堆積工程を必要とする循環プロセスにおいて3つの前駆体が用いられる。他方では、アミノシラン又はヒドラジノシラン及びアンモニアが窒化ケイ素を形成すると報告されている。重要なことに、これらの膜においては、化学気相成長又は原子層堆積により形成された金属ケイ素窒化物中に直接的な金属−ケイ素結合がないことが見出されたが、そのことは、金属窒化物と窒化ケイ素が得られた膜において別々の相にあること、即ち、金属窒化物中に窒化ケイ素が詰め込まれていることを意味している。
以下の特許及び論文は、金属−ケイ素窒化物膜及び窒化ケイ素を製造するための方法並びにエレクトロニクス産業におけるそれらの使用の代表的なものである。
米国特許出願公開第2004/0009336号明細書(特許文献1)は、循環堆積法を用いてチタンケイ素窒化物(TiSiN)層を形成する方法を開示している。循環堆積法においては、チタン含有前駆体、ケイ素含有ガス及び窒素含有ガスが交互に基材上に吸着される。1つの例示的なプロセスは、テトラキス(ジメチルアミド)チタンのパルス、アンモニア及びシランのパルスを交互に提供して基材上にチタンケイ素窒化物(TiSiN)層を形成する。
米国特許出願公開第2004/0197492号明細書(特許文献2)は、半導体ウェハ上にチタンケイ素窒化物のバリア層を形成する方法であって、テトラキス(ジメチルアミノ)チタンを気化することにより半導体ウェハ上に窒化チタン層を堆積させる工程;N2/H2プラズマ中で窒化チタン層をプラズマ処理する工程;及びプラズマ処理した窒化チタン層をシラン環境にさらす工程を含む方法を開示している。ケイ素は窒化ケイ素として窒化チタン層に組み込まれ、それによってチタンケイ素窒化物のバリア層が形成される。
Alen,P.,T,Aaltonen,M.Ritala,M.Leskela,T.Sajavaara,J.Keinonen,J.C.Hooker及びJ.W.MaesのALD of Ta(Si)N Thin Films Using TDMAS as a Reducing Agent and as a Si Precursor,Journal of The Electrochemical Society,151(8):G523−G527(2004)(非特許文献1)は、反応性種としてTaCl5、NH3及びトリ(ジメチルアミノ)シラン(TDMAS)を用いることによるTa(Si)N膜の堆積を開示している。マルチパルスシーケンスが開示され、TaCl5、TDMAS及びNH3の順序が最良の結果を与えている。
米国特許出願公開第2003/0190423号明細書(特許文献3)は、前駆体のうちの少なくとも2種の基材構造への供給が少なくとも部分的に重複する、3種以上の前駆体を利用した複数の前駆体の循環堆積システムを開示している。Ta、Ti及びHfの金属前駆体、例えば、ペンタジメチルアミノタンタル及び塩化ハフニウムは金属前駆体の実例であり、ケイ素前駆体はシラン、クロロシラン及び塩化ケイ素を含み、窒素前駆体はアンモニア及びヒドラジンを含む。
米国特許出願公開第2003/0190804号明細書(特許文献4)は、異なる処理領域において複数の化合物を基材上に同時に堆積するための方法を開示している。この方法においては、金属前駆体、例えば、TiCl4又はPDMATがパルスされ、次いで窒素化合物がパルスされる。堆積速度を増すために、第1と第2の化合物の投与が最初に時間の遅れによって分離され、次いで、第1と第2の両方の化合物が基材表面と流体連絡するところで少なくとも1回の投与が行われる。
米国特許第6,426,117号明細書(特許文献5)は、基材上の半導体デバイスにおいて使用するための、金属、ケイ素及び窒素を含有する三成分膜を形成する方法を開示している。この方法は、ガス状金属化合物、ガス状ケイ素化合物及びアンモニアガスからなる別々の反応性ガスを、ガス状金属化合物とアンモニアガスが混合物を形成しないような条件下で調製する工程を含む。実施例では、テトラキス(ジメチルアミド)チタンがチャンバーに導入された後、アンモニアガスが導入され、次いでシランが導入されるプロセスサイクルを示している。別の実施例では、シランは、テトラキス(ジメチルアミド)チタンのガスと混合され、堆積されている。
Marcadal,C.,M.Eizenberg,A.Yoon及びL.ChenのMetallorganic Chemical Vapor Deposited TiN Barrier Enhancement With SiH4 Treatment,Journal of The Electrochemical Society,149:C52−C58(2002)(非特許文献2)は、半導体用途における銅の拡散に対するバリア抵抗性を高めるための三成分TiSiN層の形成を開示している。TiSiN膜は、有機金属前駆体(MOCVD−TiN)、例えば、(ジメチルアミノ)チタン(TDMAT)、シラン及び窒素源を用いた化学気相成長(CVD)により調製される。この方法においては、TDMATが最初に堆積され、次いで窒素の混合ガスでプラズマ処理され、最後に堆積された膜がシランにさらされる。このプロセス経路によってTiSiN膜中にSi−N結合層が形成される。
Min,J.−S.,J.−S.Park,H.−S.Park及びS.−W.KangのThe Mechanism of Si Incorporation and the Digital Control of Si Content During the Metallorganic Atomic Layer Deposition of Ti−Si−N Thin Films,Journal of The Electrochemical Society 147:3868−3872(2000)(非特許文献3)は、前駆体としてテトラキス(ジメチルアミド)チタン(TDMAT)、アンモニア及びシランを用いた有機金属原子層堆積(MOALO)によるチタン−ケイ素−窒化物薄膜の形成を開示している。反応体がTDMATパルス、SiH4パルス及びNH3パルスの順序で反応器へ注入されると、Ti−Si−N膜におけるSiの含有量は18原子%で飽和する。TDMAT、NH3及びSiH4の順に順序を変更することにより、Siの含有量は21原子%まで増加する。
以下の特許及び論文は、窒化ケイ素膜を製造する方法の代表的なものである。
Laxman,R.K.,T.D.Anderson及びJ.A.Mestemacherの「A low−temperature solution for silicon nitride deposition」,Solid State Technology 79−80頁(2000)(非特許文献4)は、ビス(tert−ブチルアミノ)シラン及びアンモニアを用いて窒化ケイ素を製造する方法を開示している。
米国特許第5,874,368号明細書(特許文献6)は、ビス(tert−ブチルアミノ)シラン及びアンモニアを用いた550℃より低い温度での窒化ケイ素の形成を記載している。
米国特許出願公開第2004/0146644号明細書(特許文献7)は、アンモニアがある場合とない場合の、ヒドラジノシランを用いた窒化ケイ素の形成方法を開示している。これまで、すべての窒化ケイ素プロセスは500℃よりも高い温度で堆積されてきた。
米国特許出願公開第2004/0009336号明細書 米国特許出願公開第2004/0197492号明細書 米国特許出願公開第2003/0190423号明細書 米国特許出願公開第2003/0190804号明細書 米国特許第6,426,117号明細書 米国特許第5,874,368号明細書 米国特許出願公開第2004/0146644号明細書 Alen,P.,T,Aaltonen,M.Ritala,M.Leskela,T.Sajavaara,J.Keinonen,J.C.Hooker及びJ.W.MaesのALD of Ta(Si)N Thin Films Using TDMAS as a Reducing Agent and as a Si Precursor,Journal of The Electrochemical Society,151(8):G523−G527(2004) Marcadal,C.,M.Eizenberg,A.Yoon及びL.ChenのMetallorganic Chemical Vapor Deposited TiN Barrier Enhancement With SiH4 Treatment,Journal of The Electrochemical Society,149:C52−C58(2002) Min,J.−S.,J.−S.Park,H.−S.Park及びS.−W.KangのThe Mechanism of Si Incorporation and the Digital Control of Si Content During the Metallorganic Atomic Layer Deposition of Ti−Si−N Thin Films,Journal of The Electrochemical Society 147:3868−3872(2000) Laxman,R.K.,T.D.Anderson及びJ.A.Mestemacherの「A low−temperature solution for silicon nitride deposition」,Solid State Technology 79−80頁(2000)
本発明は、列挙される前駆体の循環堆積によって三成分金属ケイ素窒化物膜を製造するための改善された方法に関する。この改善は、金属アミドと、NH及びSiH官能性の両方を有するケイ素源とを前駆体として使用することにあり、それによりこのような金属−SiN膜が形成される。これらの前駆体は、循環堆積によって基材表面に逐次的に適用される。例示的なケイ素源は、以下の式、即ち、
(R1NH)nSiR2 m4-n-m(n=1、2;m=0、1、2;n+m≦3);及び
(R3 2N−NH)xSiR4 y4-x-y(x=1、2;y=0、1、2;x+y≦3)
によって表されるモノアルキルアミノシラン及びヒドラジノシランであり、式中、R1-4が同じであるか又は異なり、アルキル、ビニル、アリル、フェニル、環状アルキル、フルオロアルキル、シリルアルキルからなる群より独立して選択される。
本発明の実施によって複数の利点を達成することができ、そのうちの幾つかは以下のとおりである。
高品質の三成分金属ケイ素窒化物膜を製造できること;
重大な安全上及び腐食の問題がある通常の前駆体のうちの幾つかを除きつつ、高品質の膜を形成できること;
従来のプロセスよりも一般に低い温度、例えば、500℃よりも低い温度でTiN中に望ましいケイ素含量を組み込むことができること;
循環堆積法、例えば、CVD法においてケイ素源のパルス時間を制御することにより金属ケイ素窒化物中のケイ素含有量を制御できること;
循環CVDにおいて優れた堆積速度を達成することにより、生産規模でウェハ処理量の増加を可能にできること;
ALDを用いて超薄金属ケイ素窒化物膜を製造できること;
別の窒素源、例えば、アンモニアを使用することなく、2種の前駆体を用いて金属ケイ素窒化物膜を製造できること;
得られた金属ケイ素中の金属中心を低減することにより、得られた膜の抵抗率を低減できること;並びに
得られる金属ケイ素窒化物中に金属−窒素−ケイ素結合を形成することにより、膜の安定性を向上させることができること。
本発明は、循環堆積によって三成分金属ケイ素窒化物膜を製造する方法における改善に関する。選ばれた前駆体の化学気相成長及び原子層堆積法による逐次的な堆積により、優れた品質の膜が可能となり、多くの前駆体処方物に関連する危険が低減される。
本明細書で用いられる「循環堆積」という語は、基材構造体上に薄層を堆積させるための前駆体(反応体)の逐次的な導入について言うものであり、原子層堆積及び急速逐次化学気相成長などの処理技術を含む。反応体の逐次的な導入によって基材上に複数の薄層が堆積され、本プロセスは、所望の厚さを有する膜層を形成するよう必要に応じて繰り返される。
原子層堆積は循環堆積の1つの形態であり、それは、第1の前駆体と、この場合には第2の前駆体のパルスの逐次的な導入を含む。従来技術の手順の多くで第3の前駆体のパルスを使用した。例えば、ALD法では、第1の前駆体のパルス、続いてパージガスのパルス及び/又はポンプ排気、続いて第2の前駆体のパルス、続いてパージガスのパルス及び/又はポンプ排気が逐次的に導入される。必要に応じて又は望ましい場合には、第3の前駆体のパルスがあり得る。別々のパルスを逐次的に導入することにより、各前駆体の単層の自己制限的な化学吸着が基材表面上に交互になされ、各サイクルについて堆積材料の単層が形成される。このサイクルは、所望の厚さの膜を生成するよう必要に応じて繰り返すことができる。
ALDの成長速度は、従来のCVD法に比べて非常に低い。ALD法の典型的な成長速度は1〜2Å/サイクルである。成長速度の向上に対する1つのアプローチは、ALDよりも高い基材温度で操作することによりALD法を改良するものであり、それによってCVDのようなプロセスになるが、依然として前駆体の逐次的な導入が利用される。この方法は循環CVDと称される。
循環CVD堆積はまた、所望の組成及び厚さを有する三成分膜を形成するための方法として使用することもできる。この方法においては、前駆体(反応体)がCVDチャンバーに導入され、基材上で気化される。次の反応体がADL法の場合と同様に供給されるが、当然ながら、循環CVD法における個々の膜厚は単層に限定されない。
本明細書で意図される三成分膜を形成するための循環堆積法の理解を容易にするために、基材上に堆積するための第1の前駆体は金属アミドである。半導体製作で通常用いられ、金属アミドのための金属成分としても好適な金属としては、チタン、タンタル、タングステン、ハフニウム、ジルコニウムなどが挙げられる。循環法で使用するのに好適な金属アミドの具体的な例としては、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(ジエチルアミノ)チタン(TDEAT)、テトラキス(エチルメチルアミノ)チタン(TEMAT)、テトラキス(ジメチルアミノ)ジルコニウム(TDMAZ)、テトラキス(ジエチルアミノ)ジルコニウム(TDEAZ)、テトラキス(エチルメチルアミノ)ジルコニウム(TEMAZ)、テトラキス(ジメチルアミノ)ハフニウム(TDMAH)、テトラキス(ジエチルアミノ)ハフニウム(TDEAH)、テトラキス(エチルメチルアミノ)ハフニウム(TEMAH)、tert−ブチルイミノトリス(ジエチルアミノ)タンタル(TBTDET)、tert−ブチルイミノトリス(ジメチルアミノ)タンタル(TBTDMT)、tert−ブチルイミノトリス(エチルメチルアミノ)タンタル(TBTEMT)、エチルイミノトリス(ジエチルアミノ)タンタル(EITDET)、エチルイミノトリス(ジメチルアミノ)タンタル(EITDMT)、エチルイミノトリス(エチルメチルアミノ)タンタル(EITEMT)、tert−アミルイミノトリス(ジメチルアミノ)タンタル(TAIMAT)、tert−アミルイミノトリス(ジエチルアミノ)タンタル、ペンタキス(ジメチルアミノ)タンタル、tert−アミルイミノトリス(エチルメチルアミノ)タンタル、ビス(tert−ブチルイミノ)ビス(ジメチルアミノ)タングステン(BTBMW)、ビス(tert−ブチルイミノ)ビス(ジエチルアミノ)タングステン、ビス(tert−ブチルイミノ)ビス(エチルメチルアミノ)タングステン及びそれらの混合物からなる群より選択された金属アミドが挙げられる。
金属アミドは、所定のモル体積で所定の時間堆積チャンバーに供給される。典型的には、金属アミドはCVD又はALDチャンバーに0.1〜80秒間供給され、材料が表面を飽和するよう十分に吸着できるようにされる。堆積の際、金属アミドは好ましくは気相中にあり、所定のモル体積、典型的には1〜100マイクロモルの範囲で供給される。堆積温度は通常の温度であり、約200〜500℃、好ましくは200〜350℃である。50mtorr〜100torrの圧力が典型的である。
プロセスの第2工程においては、金属アミドの堆積に続いて、不活性ガス、例えば、Ar、N2又はHeを用いて未反応の金属アミドがチャンバーから一掃される。循環堆積法では典型的に、Ar、N2又はHeなどのガスが50〜2000sccmの流量でチャンバーに供給され、それにより金属アミド及びチャンバー中に残留する任意の副産物が一掃される。
循環堆積法で用いられる第2の前駆体はケイ素源であり、それは、少なくとも1つの反応性N−Hフラグメントと少なくとも1つのSi−Hフラグメントを含有するものである。N−HフラグメントとSi−Hフラグメントの両方とも先に列挙した金属アミドと化学的に反応性であり、それによってM−N−Si結合、例えば、Ti−N−Si結合が形成され、Si−Hにより金属中心が低減される。循環堆積法で使用するのに好適なケイ素源の1つの例は、以下の式、即ち、
(R1NH)nSiR2 m4-n-m(n=1、2;m=0、1、2;n+m≦3)
を有するモノアルキルアミノシランである。
モノアルキルアミノシランに代わり、循環堆積のためのケイ素源として好適なものは、以下の式、即ち、
(R3 2N−NH)xSiR4 y4-x-y(x=1、2;y=0、1、2;x+y≦3)
を有するヒドラジノシランであり、ここで、モノアルキルアミノシランとヒドラジンにおけるR1-4は同じであるか又は異なり、アルキル、ビニル、アリル、フェニル、環状アルキル、フルオロアルキル、シリルアルキル及びアンモニアからなる群より独立して選択される。各化合物におけるアルキル官能性は、典型的には1〜10個の炭素原子を有するが、好ましい場合には1〜4個の炭素原子を有する。
この方法において使用するのに好適なモノアルキルアミノシランの例としては、ビス(tert−ブチルアミノ)シラン(BTBAS)、トリス(tert−ブチルアミノ)シラン、ビス(イソ−プロピルアミノ)シラン及びトリス(イソ−プロピルアミノ)シランが挙げられる。好適なヒドラジノシランの例としては、ビス(1,1−ジメチルヒドラジノ)シラン、トリス(1,1−ジメチルヒドラジノ)シラン、ビス(1,1−ジメチルヒドラジノ)エチルシラン、ビス(1,1−ジメチルヒドラジノ)イソプロピルシラン、ビス(1,1−ジメチルヒドラジノ)ビニルシランが挙げられる。モノアルキルアミノシランのうち、ビス(tert−ブチルアミノ)シランは、窒素及びケイ素官能性の両方を供給できる好ましい反応体の良い例であり、好ましいモノアルキルアミノシランである。
SHとNHを有するケイ素源から構成される第2の前駆体は、所定のモル体積、例えば、1〜100マイクロモルで、所定の時間、好ましくは約0.1〜100秒間チャンバーに導入される。ケイ素前駆体が金属アミドと反応して基材表面に吸着し、結果として金属−窒素−ケイ素結合を介して窒化ケイ素が形成される。200〜500℃の通常の堆積温度と50mtorr〜100torrの圧力が用いられる。
ケイ素源の堆積に続いて、未反応のケイ素源と副産物を堆積チャンバーからパージするために、Ar、N2又はHeなどのガスが、典型的に50〜2000sccmの流量で以ってチャンバーに導入される。時には、未反応物又は副産物をパージするため、パージガスは、堆積サイクル全体を通じて連続的に導入することができる。
任意選択で、循環堆積法、特にALD法において使用できる第3の前駆体は、窒素源、例えば、アンモニア又はヒドラジンを必要とする場合がある。これらのガスは、窒素リッチな膜を製造するために用いられ、さらには上記の工程において膜に含まれる炭素含有量を低減するために用いられる。
プロセスの実行において、提案される堆積サイクルは以下のとおりである。
1.金属アミドの蒸気を、反応又は堆積チャンバーに装填した加熱基材にさらし;
2.金属アミドを基材表面と反応させ;
3.未反応の金属アミドをパージし;
4.モノアルキルアミノシラン又はヒドラジノシランの蒸気を反応チャンバーに導入して吸収された金属アミドと反応させ;
5.未反応のモノアルキルアミノシラン又はヒドラジノシランをパージし;
6.必要に応じて、窒素含有反応体、例えば、アンモニアを反応チャンバーに導入し:
7.未反応の窒素含有反応体をパージし;そして
8.所望の膜厚に達するまで先に概説したサイクルを繰り返す。
上記サイクルにおいて、チャンバーに導入される前駆体反応体の順序を逆にすることも可能である。例えば、ケイ素源を最初に導入し、次いで金属アミドを添加することができる。しかしながら、ケイ素源が最初に堆積されるときには、より高い堆積温度が一般に必要とされる。記載のとおり、金属アミドはケイ素源よりも低い温度で一般に堆積され、さらにはより低い温度でその堆積が触媒的に促進される。
以下の反応スキーム1では、例としてテトラキス(ジメチルアミノ)チタン(TDMAT)とビス(tert−ブチルアミノ)シラン(BTBAS)を用いた化学反応を示す典型的な2反応体循環堆積法が説明される。このスキームにおいては、ケイ素基材が最初に前処理され、反応部位、例えば、Si−OH、Si−H及びSi−NHフラグメントが表面上に生成される。次いで、表面が、金属アミド、例えば、TDMATに、反応部位とTDMATの間で化学反応が生じる条件下でさらされ、Ti−NMe2フラグメントによって占められた表面が作り出される。ジメチルアミンが副産物として放出される。工程がALD法のように自己制限的であるか又は循環CVD法のように非制限的であるかに応じて、チャンバーが窒素でパージされ、未反応のTDMATと任意の副産物が除去される。この時点で、ケイ素源、例えば、BTBASが導入され、それがTi−NMe2部位と反応してSi−H及びSi−NH2部位で覆われた表面を生じる。ブテンとジメチルアミンがこの反応中に放出される。この工程はまた、自己制限的である場合にはALD法であり、自己制限的でない場合には循環CVDである。反応は、所望の膜厚が確立されるまで循環される。
Ti−NMe2の吸収は窒化ケイ素の形成にとって重要である。というのも、BTBASのみを用いた窒化ケイ素の堆積は、500℃を超える基材温度を一般に必要とするからである。金属アミドが堆積法において使用される場合には、金属アミドは窒化ケイ素の堆積を触媒するよう作用するので、はるかにより低い温度を用いることができる。
以下は、循環堆積法における各反応の説明である。
Figure 0004347855
以下の反応スキーム2では、前駆体としてテトラキス(ジメチルアミノ)チタン(TDMAT)、アンモニア及びビス(tert−ブチルアミノ)シラン(BTBAS)を用いた化学作用を示す典型的な3反応体プロセスが説明される。ケイ素基材が最初に前処理され、反応部位、例えば、Si−OH、Si−H及びSi−NHフラグメントが表面上に生成される。次いで、表面が、金属アミド、例えば、TDMATに、反応部位とTDMATの間で化学反応が生じる条件下でさらされ、Ti−NMe2フラグメントによって占められた表面が作り出される。ジメチルアミンが副産物として放出される。さらにまた、この工程が自己制限的である場合にはそれはALDであり、そうでなければそれは循環CVD法である。未反応のTDMATと任意の副産物は、窒素でパージすることによってチャンバーから除去される。反応スキーム1とは対照的に、アンモニアが導入され、すべてのTiNMe2部位がTi−NH2部位へと転化されてジメチルアミンが放出される。BTBASが堆積チャンバーに導入され、こうして形成されたTi−NH2部位とBTBASとの反応を可能にし、Si−HとSi−NH2で覆われた表面を生じる。ブテン、tert−ブチルアミン及びジメチルアミンがこの工程で放出される。この後者の工程が自己制限的である場合にはプロセスはALD法であり、そうでなければそれは循環CVD法である。堆積サイクルは、所望の膜厚が確立されるまで繰り返される。
反応化学を反応スキーム2として説明する。
Figure 0004347855
以下の反応スキーム3では、前駆体としてテトラキス(ジメチルアミノ)チタン(TDMAT)、ビス(tert−ブチルアミノ)シラン(BTBAS)及びアンモニアを用いた化学作用を示す典型的な3反応体プロセスが説明される。ケイ素基材が最初に前処理され、反応部位、例えば、Si−OH、Si−H及びSi−NHフラグメントが表面上に生成される。次いで、表面が、金属アミド、例えば、TDMATに、反応部位とTDMATの間で化学反応が生じる条件下でさらされ、Ti−NMe2フラグメントによって占められた表面が作り出される。ジメチルアミンが副産物として放出される。さらにまた、この工程が自己制限的である場合にはそれはALDであり、そうでなければそれは循環CVD法である。未反応のTDMATと任意の副産物は、窒素でパージすることによってチャンバーから除去される。反応スキーム2とは対照的に、BTBASが堆積チャンバーに導入され、こうして形成されたTi−NMe2部位とBTBASとの反応を可能にし、Si−HとSi−NHButで覆われた表面を生じる。tert−ブチルアミン、ブタン及びジメチルアミンがこの工程で放出される。この後者の工程がまた自己制限的である場合にはプロセスはALD法であり、そうでなければそれは循環CVD法である。次のサイクルのために、アンモニアが導入され、すべてのSi−NHButが反応性Si−NH2部位に転化される。堆積サイクルは、所望の膜厚が確立されるまで繰り返される。
反応化学を反応スキーム3において説明する。
Figure 0004347855
以下の例は、本発明の種々の実施態様を説明するために与えられるものであり、本発明の範囲を限定することを意図するものではない。
[例1]
[200℃でのTDMATとBTBASからのTiSiN膜の堆積]
シリコンウェハを堆積チャンバーに装入し、温度200℃及び圧力200Pa(1.5Torr)に維持する。2.6マイクロモルのTi含有化合物、テトラキス(ジメチルアミノ)チタン(TDMAT)を100sccmのN2とともに10秒間のパルスにわたってチャンバーに導入する。Tiアミドを堆積した後、未反応のTiアミドと副産物を2000sccmのN2で7.5秒間パージする。次いで、投与量4.73マイクロモルのSi含有化合物、ビス(tert−ブチルアミノ)シラン(BTBAS)を100sccmのN2とともに80秒間にわたって導入する。未反応のBTBASと副産物を2000sccmのN2で40秒間パージすることにより除去する。
上記サイクルを(4工程の)200サイクル繰り返し、45Å厚さの膜を生成する。サイクル当たりの堆積速度は、典型的なALD法よりもはるかに低い0.22Åであり、このことは、これらの前駆体にとってこの温度が表面飽和を達成するのに不十分であることを示している。
[例2]
[250℃でのTDMATとBTBASからのTiSiN膜のALD形成]
シリコンウェハを温度250℃及び圧力200Pa(1.5Torr)に維持すること以外は例1の手順に従う。2.6マイクロモルのTi含有化合物、テトラキス(ジメチルアミノ)チタン(TDMAT)を100sccmのN2とともに10秒間にわたってチャンバーに導入する。続いて2000sccmのN2で7.5秒間パージする。次いで、投与量4.73マイクロモルのSi含有化合物、ビス(tert−ブチルアミノ)シラン(BTBAS)を100sccmのN2とともに80秒間にわたって導入する。続いて2000sccmのN2で40秒間パージする。このサイクルを(4工程の)100サイクル繰り返し、144Å厚さの膜を生成した。
サイクル当たりの堆積速度は、典型的なALD法についての範囲内にある1.44Åであり、このことは、この温度が単層の表面飽和を達成するのに十分であることを示している。Ti/Siモル投入量比は0.55であり、堆積膜におけるTi/Si原子比は5.2と分析される。
BTBASの投与量を変えずに保持しながら、様々な投与量のTDMATによってさらに多くの実験を行う(図を参照されたい)。図におけるグラフは、チタン反応体とケイ素反応体の投与量比を変えることにより、ALD法における膜組成(Ti/Si比)が変更できることを示している。したがって、膜厚を有意に変化させることなく広範囲の組成を得ることができる。
[例3]
[TDMATとBTBASからのTiSiN膜の循環CVD形成]
シリコンウェハを温度300℃及び圧力200Pa(1.5Torr)に維持すること以外は例1の手順に従う。2.6マイクロモルのTi含有化合物、テトラキス(ジメチルアミノ)チタン(TDMAT)を100sccmのN2とともに10秒間にわたってチャンバーに導入する。続いて2000sccmのN2で7.5秒間パージする。次いで、投与量4.73マイクロモルのSi含有化合物、ビス(tert−ブチルアミノ)シラン(BTBAS)を100sccmのN2とともに80秒間にわたって導入する。続いて2000sccmのN2で40秒間パージする。これを(4工程の)100サイクル繰り返し、629Å厚さの膜を生成する。サイクル当たりの速度は6.29Åであり、このことは、この温度が高すぎてサイクル当たりの単層に堆積を制限できないことを示している。例1及び2とは対照的に、循環CVDのようなプロセスがこの温度で生じ、そのためにALD法よりもはるかに高い堆積速度になる。
Ti/Siモル投入量比は0.55であり、堆積膜におけるTi/Si原子比は5.6と分析される。
[例4]
[300℃でのBTBASのみを用いた循環CVD]
例3の手順に従う。投与量4.73マイクロモルのSi含有化合物、ビス(tert−ブチルアミノ)シラン(BTBAS)を100sccmのN2とともに80秒間にわたって導入する。続いて2000sccmのN2で40秒間パージする。これを(4工程の)100サイクル繰り返し、膜は生成せず、このことは、500℃よりも低い温度で窒化ケイ素のCVDを触媒するには吸収された金属アミドが必要であり、金属アミドが金属ケイ素窒化物の形成の際に重要な役割を果たすことを示している。
[例5]
[300℃でのBTBASとアンモニアを用いた循環CVD]
例3の手順に従う。アンモニア(NH3)を100sccmのN2とともに10秒間にわたってチャンバーに導入する。続いて2000sccmのN2で7.5秒間パージする。次いで、投与量4.73マイクロモルのSi含有化合物、ビス(tert−ブチルアミノ)シラン(BTBAS)を100sccmのN2とともに80秒間にわたって導入する。続いて2000sccmのN2で40秒間パージする。これを(4工程の)100サイクル繰り返し、膜は生成しない。この例は、ビス(tert−ブチルアミノ)シラン(BTBAS)の分解を触媒して窒化ケイ素を形成するには吸収された金属アミドが必要であることを示している。
[例6]
[350℃でのTBTDETとBTBASからのTaSiN膜のALD形成]
シリコンウェハを温度350℃及び圧力200Pa(1.5Torr)に維持すること以外は例1の手順に従う。1.1マイクロモルのTa含有化合物、tert−ブチルイミノトリス(ジエチルアミノ)タンタル(TBTDET)を50sccmのN2とともに20秒間にわたってチャンバーに導入する。続いて500sccmのN2で15秒間パージする。次いで、投与量4.73マイクロモルのSi含有化合物、ビス(tert−ブチルアミノ)シラン(BTBAS)を50sccmのN2とともに80秒間にわたって導入する。続いて500sccmのN2で40秒間パージする。これを(4工程の)200サイクル繰り返し、281Å厚さの膜を生成した。
サイクル当たりの堆積速度は、典型的なALD法についての範囲内にある1.82Åであり、このことは、この温度が単層の表面飽和を達成するのに十分であることを示している。
[例7]
[TBTDETとBTBASからのTaSiN膜の循環CVD形成]
シリコンウェハを温度400℃及び圧力200Pa(1.5Torr)に維持すること以外は例1の手順に従う。1.1マイクロモルのTa含有化合物、tert−ブチルイミノトリス(ジエチルアミノ)タンタル(TBTDET)を50sccmのN2とともに20秒間にわたってチャンバーに導入する。続いて500sccmのN2で15秒間パージする。次いで、投与量4.73マイクロモルのSi含有化合物、ビス(tert−ブチルアミノ)シラン(BTBAS)を50sccmのN2とともに80秒間にわたって導入する。続いて500sccmのN2で40秒間パージする。これを(4工程の)200サイクル繰り返し、2400Å厚さの膜を生成する。サイクル当たりの速度は12Åであり、このことは、この温度が高すぎてサイクル当たりの単層に堆積を制限できないことを示している。例6とは対照的に、循環CVDのようなプロセスがこの温度で生じ、そのためにALD法よりもはるかに高い堆積速度になる。
従来技術と比較例をまとめると、知られているように、シラン若しくはクロロシランとともにテトラキス(ジメチルアミノ)チタンを用いるか又はアンモニア及びシランとともにテトラキス(ジエチルアミノ)チタンを用いて、チタンケイ素窒化物膜を堆積することについての徹底的な研究がなされた。それらのプロセスにおいては、シランは安全性の問題を生じ、クロロシランは腐食の問題並びに安全性の問題を生じた。さらに、TaCl5、TDMAS及びアンモニアを用いたタンタルケイ素窒化物膜の形成についての研究がなされた。このプロセスでは、塩素で汚染されたタンタルケイ素窒化物膜が生じ、それは腐食及び他の長期安定性の問題を招く場合がある。
従来技術のプロセスとは対照的に、本明細書で提供された例1〜7は、循環堆積法において前駆体として金属アミドとモノアルキルアミノシランを循環堆積することにより、3種ではなく2種のみの前駆体を使用しつつ良質の膜が得られることを示している。さらには、これらの前駆体を使用することで、シランなどの前駆体の使用に関連した幾つかの安全性の問題が取り除かれる。
好ましい実施態様が示され、そして記載されたが、本発明の趣旨及び範囲から逸脱することなく種々の変更及び置換をそれらに対して行うことができる。したがって、本発明は説明としてのみ記載され、本明細書で開示された説明及び実施態様は特許請求の範囲に対する限定として解釈されるものではないと解されるべきである。
ALD法の堆積速度及び膜組成対TDMAT/BTBASの投与量比を示すグラフである。

Claims (19)

  1. 基材上に金属ケイ素窒化物膜を形成するための循環堆積法であって、
    金属アミドを堆積チャンバーに導入して加熱基材の表面と反応させる工程;
    堆積チャンバーをパージして未反応の金属アミドと任意の副産物を除去する工程;
    N−HフラグメントとSi−Hフラグメントを含有するケイ素化合物を堆積チャンバーに導入して前記反応された金属アミドと反応させる工程;
    堆積チャンバーをパージして任意の未反応化合物と副産物を除去する工程;及び
    所望の膜厚が確立されるまで循環堆積法を繰り返す工程
    を含む、循環堆積法。
  2. 前記金属アミドが、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(ジエチルアミノ)チタン(TDEAT)、テトラキス(エチルメチルアミノ)チタン(TEMAT)、テトラキス(ジメチルアミノ)ジルコニウム(TDMAZ)、テトラキス(ジエチルアミノ)ジルコニウム(TDEAZ)、テトラキス(エチルメチルアミノ)ジルコニウム(TEMAZ)、テトラキス(ジメチルアミノ)ハフニウム(TDMAH)、テトラキス(ジエチルアミノ)ハフニウム(TDEAH)、テトラキス(エチルメチルアミノ)ハフニウム(TEMAH)、tert−ブチルイミノトリス(ジエチルアミノ)タンタル(TBTDET)、tert−ブチルイミノトリス(ジメチルアミノ)タンタル(TBTDMT)、tert−ブチルイミノトリス(エチルメチルアミノ)タンタル(TBTEMT)、エチルイミノトリス(ジエチルアミノ)タンタル(EITDET)、エチルイミノトリス(ジメチルアミノ)タンタル(EITDMT)、エチルイミノトリス(エチルメチルアミノ)タンタル(EITEMT)、tert−アミルイミノトリス(ジメチルアミノ)タンタル(TAIMAT)、tert−アミルイミノトリス(ジエチルアミノ)タンタル、ペンタキス(ジメチルアミノ)タンタル、tert−アミルイミノトリス(エチルメチルアミノ)タンタル、ビス(tert−ブチルイミノ)ビス(ジメチルアミノ)タングステン(BTBMW)、ビス(tert−ブチルイミノ)ビス(ジエチルアミノ)タングステン、ビス(tert−ブチルイミノ)ビス(エチルメチルアミノ)タングステン及びそれらの混合物からなる群より選択される、請求項1に記載の循環堆積法。
  3. N−HフラグメントとSi−Hフラグメントの両方を含有する前記ケイ素化合物が、以下の式、即ち、
    (R1NH)nSiR2 m4-n-m(n=1、2;m=0、1、2;n+m≦3)を有するモノアルキルアミノシランと;
    以下の式、即ち、
    (R3 2N−NH)xSiR4 y4-x-y(x=1、2;y=0、1、2;x+y≦3)を有するヒドラジノシランからなる群より選択され、
    式中、R1-4が同じであるか又は異なり、アルキル、ビニル、アリル、フェニル、環状アルキル、フルオロアルキル、シリルアルキルからなる群より独立して選択される、請求項2に記載の循環堆積法。
  4. 前記金属ケイ素窒化物がチタンケイ素窒化物である、請求項3に記載の循環堆積法。
  5. 前記金属アミドが、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(ジエチルアミノ)チタン(TDEAT)、テトラキス(エチルメチルアミノ)チタン(TEMAT)からなる群より選択される、請求項3に記載の循環堆積法。
  6. N−HフラグメントとSi−Hフラグメントを含有する前記ケイ素化合物が、ビス(tert−ブチルアミノ)シラン(BTBAS)、トリス(tert−ブチルアミノ)シラン、ビス(イソ−プロピルアミノ)シラン、トリス(イソ−プロピルアミノ)シラン、ビス(1,1−ジメチルヒドラジノ)シラン、トリス(1,1−ジメチルヒドラジノ)シラン、ビス(1,1−ジメチルヒドラジノ)エチルシラン、ビス(1,1−ジメチルヒドラジノ)イソプロピルシラン、ビス(1,1−ジメチルヒドラジノ)ビニルシランからなる群より選択される、請求項4に記載の循環堆積法。
  7. 前記金属ケイ素窒化物がタンタルケイ素窒化物である、請求項3に記載の循環堆積法。
  8. 前記金属ケイ素窒化物がタングステンケイ素窒化物である、請求項3に記載の循環堆積法。
  9. 前記循環堆積法が循環化学気相成長法である、請求項3に記載の循環堆積法。
  10. 前記循環堆積法が原子層堆積法である、請求項3に記載の循環堆積法。
  11. 前記堆積チャンバーの圧力が50mtorr〜100torrであり、当該堆積チャンバーの温度が500℃よりも低い、請求項3に記載の循環堆積法。
  12. アンモニアが第3の前駆体として用いられ、添加の順序が、金属アミド−アンモニア−モノアルキルアミノシラン及び金属アミド−モノアルキルアミノシラン−アンモニアからなる群より選択される、請求項11に記載の循環堆積法。
  13. 複数の前駆体が堆積チャンバーに逐次的に導入され、気化され、そして三成分金属ケイ素窒化物膜を形成するための条件下で基材上に堆積される三成分金属ケイ素窒化物膜を形成するための改善された循環堆積法であって、
    金属アミドを前駆体として使用すること
    NH及びSiHフラグメントを有するケイ素化合物を前駆体として使用すること;及び
    窒素含有ガスを前駆体として使用すること
    を含み、金属アミド、NH及びSiHフラグメントを有するケイ素化合物、及び窒素含有ガスが堆積チャンバーに逐次的に導入される、循環堆積法。
  14. 前記堆積チャンバーの圧力が50mtorr〜100torrであり、当該堆積チャンバーの温度が約200〜350℃である、請求項13に記載の循環堆積法。
  15. 前記金属アミドが前記ケイ素化合物の前に堆積され、当該金属アミドが、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(ジエチルアミノ)チタン(TDEAT)、テトラキス(エチルメチルアミノ)チタン(TEMAT)、テトラキス(ジメチルアミノ)ジルコニウム(TDMAZ)、テトラキス(ジエチルアミノ)ジルコニウム(TDEAZ)、テトラキス(エチルメチルアミノ)ジルコニウム(TEMAZ)、テトラキス(ジメチルアミノ)ハフニウム(TDMAH)、テトラキス(ジエチルアミノ)ハフニウム(TDEAH)、テトラキス(エチルメチルアミノ)ハフニウム(TEMAH)、tert−ブチルイミノトリス(ジエチルアミノ)タンタル(TBTDET)、tert−ブチルイミノトリス(ジメチルアミノ)タンタル(TBTDMT)、tert−ブチルイミノトリス(エチルメチルアミノ)タンタル(TBTEMT)、エチルイミノトリス(ジエチルアミノ)タンタル(EITDET)、エチルイミノトリス(ジメチルアミノ)タンタル(EITDMT)、エチルイミノトリス(エチルメチルアミノ)タンタル(EITEMT)、tert−アミルイミノトリス(ジメチルアミノ)タンタル(TAIMAT)、tert−アミルイミノトリス(ジエチルアミノ)タンタル、ペンタキス(ジメチルアミノ)タンタル、tert−アミルイミノトリス(エチルメチルアミノ)タンタル、ビス(tert−ブチルイミノ)ビス(ジメチルアミノ)タングステン(BTBMW)、ビス(tert−ブチルイミノ)ビス(ジエチルアミノ)タングステン、ビス(tert−ブチルイミノ)ビス(エチルメチルアミノ)タングステンからなる群より選択される、請求項13に記載の循環堆積法。
  16. N−HフラグメントとSi−Hフラグメントを含有する前記ケイ素化合物が、ビス(tert−ブチルアミノ)シラン(BTBAS)、トリス(tert−ブチルアミノ)シラン、ビス(イソ−プロピルアミノ)シラン、トリス(イソ−プロピルアミノ)シラン、ビス(1,1−ジメチルヒドラジノ)シラン、トリス(1,1−ジメチルヒドラジノ)シラン、ビス(1,1−ジメチルヒドラジノ)エチルシラン、ビス(1,1−ジメチルヒドラジノ)イソプロピルシラン、ビス(1,1−ジメチルヒドラジノ)ビニルシランからなる群より選択される、請求項13に記載の循環堆積法。
  17. 各前駆体を導入した後、パージガスが前記堆積チャンバーに通される、請求項13に記載の循環堆積法。
  18. 前記窒素含有ガスが、アンモニア、ヒドラジン、アルキルヒドラジン及びジアルキルヒドラジンからなる群より選択される、請求項13に記載の循環堆積法。
  19. 前記金属アミドが最初に導入され、続いて前記窒素含有ガス、次いで前記ケイ素化合物が導入されるか、又は前記金属アミドが最初に導入され、続いて前記ケイ素化合物、次いで前記窒素含有ガスが導入され、所望の膜厚が確立されるまで循環堆積法が繰り返される、請求項13に記載の循環堆積法。
JP2006036223A 2005-02-14 2006-02-14 循環堆積による金属ケイ素窒化物膜の調製 Expired - Fee Related JP4347855B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/057,446 US20060182885A1 (en) 2005-02-14 2005-02-14 Preparation of metal silicon nitride films via cyclic deposition

Publications (2)

Publication Number Publication Date
JP2006225764A JP2006225764A (ja) 2006-08-31
JP4347855B2 true JP4347855B2 (ja) 2009-10-21

Family

ID=36218346

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006036223A Expired - Fee Related JP4347855B2 (ja) 2005-02-14 2006-02-14 循環堆積による金属ケイ素窒化物膜の調製

Country Status (8)

Country Link
US (1) US20060182885A1 (ja)
EP (1) EP1691400B1 (ja)
JP (1) JP4347855B2 (ja)
KR (1) KR100766843B1 (ja)
CN (1) CN100537842C (ja)
AT (1) ATE421166T1 (ja)
DE (1) DE602006004779D1 (ja)
TW (1) TWI265207B (ja)

Families Citing this family (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4403824B2 (ja) * 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
KR20050091488A (ko) * 2004-03-12 2005-09-15 주식회사 유피케미칼 세라믹 또는 금속박막 증착용 전구체 화합물 및 그제조방법
US7927933B2 (en) * 2005-02-16 2011-04-19 Imec Method to enhance the initiation of film growth
US20060286800A1 (en) * 2005-06-15 2006-12-21 Dominguez Juan E Method for adhesion and deposition of metal films which provide a barrier and permit direct plating
KR101011867B1 (ko) * 2006-06-21 2011-01-31 도쿄엘렉트론가부시키가이샤 TaSiN막의 성막 방법
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US7678422B2 (en) 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
JP4845782B2 (ja) 2007-03-16 2011-12-28 東京エレクトロン株式会社 成膜原料
US7589020B2 (en) 2007-05-02 2009-09-15 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR100956210B1 (ko) * 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
US8765223B2 (en) * 2008-05-08 2014-07-01 Air Products And Chemicals, Inc. Binary and ternary metal chalcogenide materials and method of making and using same
US8507040B2 (en) 2008-05-08 2013-08-13 Air Products And Chemicals, Inc. Binary and ternary metal chalcogenide materials and method of making and using same
EP2310551B1 (en) * 2008-08-01 2014-04-02 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming a tantalum-containing layer on a substrate
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8580993B2 (en) * 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2012091297A1 (ko) * 2010-12-30 2012-07-05 주성엔지니어링㈜ 박막 트랜지스터 및 그 제조 방법
KR101295031B1 (ko) * 2011-04-22 2013-08-09 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭 증착방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101189642B1 (ko) * 2012-04-09 2012-10-12 아익스트론 에스이 원자층 증착법을 이용한 TiSiN 박막의 형성방법
CN102703880B (zh) * 2012-06-12 2014-01-15 浙江大学 利用原子层沉积制备高精度光学宽带抗反射多层膜的方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP5852147B2 (ja) * 2014-01-23 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015193878A (ja) * 2014-03-31 2015-11-05 東京エレクトロン株式会社 TiSiN膜の成膜方法および成膜装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US11104990B2 (en) * 2015-09-11 2021-08-31 Versum Materials Us, Llc Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
EP3359705B1 (en) * 2015-10-06 2021-12-08 Versum Materials US, LLC Methods for depositing a conformal metal or metalloid silicon nitride film
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) * 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113330141B (zh) * 2019-01-24 2023-10-17 应用材料公司 沉积氮化硅的方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11251261B2 (en) * 2019-05-17 2022-02-15 Micron Technology, Inc. Forming a barrier material on an electrode
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
US11832537B2 (en) * 2019-10-08 2023-11-28 Eugenus, Inc. Titanium silicon nitride barrier layer
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3462771D1 (en) * 1983-11-25 1987-04-30 Sipuro Ag Container for a liquid or powdery toilet cleanser
US6933021B2 (en) * 1995-07-06 2005-08-23 Applied Materials, Inc. Method of TiSiN deposition using a chemical vapor deposition (CVD) process
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US5976991A (en) * 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
KR20000022003A (ko) * 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
US6403465B1 (en) * 1999-12-28 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to improve copper barrier properties
US6518626B1 (en) * 2000-02-22 2003-02-11 Micron Technology, Inc. Method of forming low dielectric silicon oxynitride spacer films highly selective of etchants
US20020127883A1 (en) * 2001-01-09 2002-09-12 Conti Richard A. Bis (tertiarybutylamino) silane and ozone based doped and undoped oxides
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
AU2002333601A1 (en) * 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6559074B1 (en) * 2001-12-12 2003-05-06 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6875271B2 (en) * 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US6677201B1 (en) * 2002-10-01 2004-01-13 Texas Instruments Incorporated Method of fabricating thermal CVD oxynitride and BTBAS nitride sidewall spacer for metal oxide semiconductor transistors
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7030023B2 (en) * 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process

Also Published As

Publication number Publication date
KR100766843B1 (ko) 2007-10-17
CN1821440A (zh) 2006-08-23
DE602006004779D1 (de) 2009-03-05
CN100537842C (zh) 2009-09-09
ATE421166T1 (de) 2009-01-15
TWI265207B (en) 2006-11-01
US20060182885A1 (en) 2006-08-17
JP2006225764A (ja) 2006-08-31
EP1691400A1 (en) 2006-08-16
KR20060091240A (ko) 2006-08-18
EP1691400B1 (en) 2009-01-14
TW200628628A (en) 2006-08-16

Similar Documents

Publication Publication Date Title
JP4347855B2 (ja) 循環堆積による金属ケイ素窒化物膜の調製
JP5290638B2 (ja) 金属ケイ素窒化物の被着方法
KR100975687B1 (ko) 금속-규소 함유 막의 사이클릭 화학 증기 증착법
US6451119B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP4870759B2 (ja) 3成分膜の新規な堆積方法
KR100862263B1 (ko) 금속-규소 질화물, 산화물 또는 산질화물의ALD/CVD용 Ti, Ta, Hf, Zr 및 관련 금속규소 아미드
JP7474595B2 (ja) 薄膜製造方法及び薄膜製造装置
US20020162506A1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20060208215A1 (en) Method for hafnium nitride deposition
EP2310551B1 (en) Method of forming a tantalum-containing layer on a substrate
US11286564B2 (en) Tin-containing precursors and methods of depositing tin-containing films
KR101295031B1 (ko) 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭 증착방법
US11289328B2 (en) Deposition and etch processes of chromium-containing thin films for semiconductor manufacturing

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090303

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090526

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090616

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090716

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120724

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120724

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130724

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees