CN1821440A - 通过循环沉积制备金属硅氮化物薄膜的方法 - Google Patents

通过循环沉积制备金属硅氮化物薄膜的方法 Download PDF

Info

Publication number
CN1821440A
CN1821440A CNA2006100089862A CN200610008986A CN1821440A CN 1821440 A CN1821440 A CN 1821440A CN A2006100089862 A CNA2006100089862 A CN A2006100089862A CN 200610008986 A CN200610008986 A CN 200610008986A CN 1821440 A CN1821440 A CN 1821440A
Authority
CN
China
Prior art keywords
silane
tantalum
dimethylamino
diethylamino
silicon nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006100089862A
Other languages
English (en)
Other versions
CN100537842C (zh
Inventor
雷新建
H·思里丹达姆
K·S·库思尔
A·K·霍奇伯格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN1821440A publication Critical patent/CN1821440A/zh
Application granted granted Critical
Publication of CN100537842C publication Critical patent/CN100537842C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47GHOUSEHOLD OR TABLE EQUIPMENT
    • A47G33/00Religious or ritual equipment in dwelling or for general use
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F21LIGHTING
    • F21VFUNCTIONAL FEATURES OR DETAILS OF LIGHTING DEVICES OR SYSTEMS THEREOF; STRUCTURAL COMBINATIONS OF LIGHTING DEVICES WITH OTHER ARTICLES, NOT OTHERWISE PROVIDED FOR
    • F21V21/00Supporting, suspending, or attaching arrangements for lighting devices; Hand grips
    • F21V21/06Bases for movable standing lamps; Fixing standards to the bases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/0215Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing tantalum, e.g. TaSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02153Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing titanium, e.g. TiSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47GHOUSEHOLD OR TABLE EQUIPMENT
    • A47G2200/00Details not otherwise provided for in A47G
    • A47G2200/08Illumination
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明涉及通过循环沉积前体生产三元金属硅氮化物薄膜的改进方法。其改进在于使用金属氨化物和具有NH与SiH官能团的硅源作为前体,从而形成上述的金属-SiN薄膜。通过循环沉积将该前体顺序施加在基底的表面上。示范性的硅源是下式表示的单烷基氨基硅烷和肼基硅烷:(R1NH) nSiR2 mH4-n-m (n=1、2;m=0、1、2;n+m=<3);和(R32N-NH) xSiR4yH4-x-y (x=1、2;y=0、1、2;x+y=<3)其中在上式中R1-4相同或不同,各自独立地选自烷基、乙烯基、烯丙基、苯基、环烷基、氟代烷基、甲硅烷基烷基。

Description

通过循环沉积制备金属硅氮化物薄膜的方法
技术领域
本发明涉及通过循环沉积前体生产三元金属硅氮化物薄膜的改进方法。其改进在于使用金属氨化物和具有NH与SiH官能团的硅源作为前体,从而形成上述的金属-SiN薄膜。通过循环沉积将该前体顺序施加在基底的表面上。
背景技术
金属硅氮化物薄膜是已知的,并且已经将它们用于半导体工业以形成互连件的扩散势垒以及将它们用作栅电极。传统上,已经将铝用作半导体装置中的互连件,但是近来,由于与铝相比具有较低的电阻和较好的电迁移寿命,铜已经用于集成。然而,铜在许多被用来制造半导体装置的材料中是很易活动的,并可以通过某些材料包括电介质很快地扩散。铜电迁移到硅基片中会损坏装置的性能。因此,有必要使用适当的势垒层来避免半导体装置内的任何扩散。
金属氮化物层,例如氮化钛(TiN)层在半导体装置结构例如触点、通孔和沟槽中已经被用作阻止扩散(包括铜扩散)的势垒层。然而这些势垒层必须尽可能的薄,以适应当今元件更高的纵横比。它们必须是惰性的,在随后的热循环中决不能不利地与毗邻的材料起反应,必须阻止毗邻的材料通过它扩散或者迁移,必须具有低的电阻率(显示出高导电率)、低的接触或者通路电阻和低的结漏电。
难于达到对例如铜扩散的势垒性能。已经发现金属硅氮化物薄膜,特别是钛-硅-氮化物层,与氮化钛势垒相比,能提供更好的对铝或者铜互连件的扩散势垒,这是因为氮化硅阻塞金属氮化物中的晶粒边界。多晶金属氮化物中的晶粒边界为铜原子提供扩散路径。
目前在三元薄膜形成中,金属氨化物、硅烷和氨通过循环沉积依次沉积在基底上,但是该方法引起处理问题。硅烷是一种自燃气体,会造成潜在的安全隐患。此外,将三种前体应用在循环过程中需要三个沉积步骤以及各自的净化步骤。另一方面,已经公开了氨基硅烷或者肼基硅烷和氨用于形成氮化硅。重要的是,尽管这样,但是已经发现在这些薄膜里,通过化学气相沉积或者原子层沉积形成的金属硅氮化物中没有直接的金属-硅键,这暗示着在所得的薄膜中金属氮化物和氮化硅是分离的相,即氮化硅填满了金属氮化物。
下面的专利和论文是生产金属-氮化硅薄膜和氮化硅的代表性方法以及它们在电子工业中的应用。
US 2004/0009336公开了一种使用循环沉积方法形成钛硅氮化物(TiSiN)层的方法。在该循环沉积方法中,含钛的前体、含硅的气体和含氮的气体交替地吸附在基底上。一个示范性的方法交替提供四(二甲基氨基)钛脉冲、氨和硅烷脉冲,以在基底上形成钛硅氮化物(TiSiN)层。
美国2004/0197492公开了一种在半导体片上形成钛硅氮化物势垒层的方法,该方法包括下述步骤:通过蒸发四(二甲基氨基)钛在半导体片上沉积氮化钛层,在N2/H2等离子体中等离子体处理氮化钛层;将经过等离子体处理过的氮化钛层暴露在硅烷环境中。硅就以氮化硅的形式加入到氮化钛层中,由此形成钛硅氮化物势垒层。
Alen,P.,T,Aaltonen,M.Ritala,M.Leskela,T.Sajavaara,J.keinonen,J.C.Hooker和J.W.Maes,ALD of Ta(Si)N Thin Films Using TDMAS as a ReducingAgent and as a Si Precursor,Journal of The Electrochemical Society 151(8):G523-G527(2004)公开了通过将TaCl5、NH3和三(二甲基氨基)硅烷(TDMAS)用作反应物质沉积Ta(Si)N膜。公开了多脉冲顺序,在TaCl5、TDMAS和NH3顺序下得到了最好的结果。
US 2003/0190423公开了使用三种或多种前体的多前体循环沉积体系,其中供应到基底结构的至少两种前体是至少部分重叠的。Ta、Ti和Hf的金属前体,例如五(二甲基氨基)钽和氯化铪是金属前体的例证,硅前体包括硅烷、氯化硅烷和氯化硅,以及氮前体包括氨和肼。
US 2003/0190804公开了一种在基底不同加工区域上同时沉积多种化合物的方法。在该方法中,在氮化合物的脉冲后脉冲金属前体,例如TiCl4或者PDMAT。为了提高沉积率,将第一和第二化合物的计量加入最初通过时间延迟分开,然后完成至少一个计量加入,其中第一化合物和第二化合物都与基底表面流体连通。
US 6,426,117公开了一种形成用于半导体装置基底上的含有金属、硅和氮的三组分薄膜的方法。该方法包括如下步骤;在使得气态金属化合物和氨气不形成混合物的条件下制备由气态金属化合物、气态的硅化合物和氨气组成的分开的反应性气体。实施例表明了一个工艺循环,其中将四(二甲基氨基)钛引入反应室,然后引入氨气,然后再引入硅烷。在另一个实施例中,将硅烷与四(二甲基氨基)钛气体进行混合,然后进行沉积。
Marcadal,C.,M.Eizenberg,A.Yoon和L.Chen,Metallorganic ChemicalVapor Deposited TiN Barrier Enhancement With SiH4 Treatment,Journal of TheElectrochemical Society,149:C52-C58(2002)公开了在半导体应用中形成三元TiSiN层以增强对铜扩散的势垒抵抗。TiSiN薄膜通过使用有机金属前体(MOCVD-TiN),例如(二甲基氨基)钛(TDMAT)、硅烷和氮源等前体进行化学气相沉积(CVD)进行制备。在这个工艺里,最初沉积TDMAT,然后通过氮的混合气体进行等离子体处理,最后将沉积膜暴露于硅烷。这个工艺路线导致在TiSiN薄膜中形成Si-N键层。
Min,J.-S.,J.-S.Park,H.-S.Park和S.-W.Kang,The Mechanism of SiIncorporation and the Digital Control of Si Content During the Metallorganic AtomicLayer Deposition of Ti-Si-N Thin Films,Journal of The Electrochemical Society 147:3868-3872(2000)公开了使用四(二甲基氨基)钛(TDMAT)、氨和硅烷作为前体通过金属有机原子层沉积(MOALD)形成钛-硅-氮化物薄膜。当按TDMAT脉冲、SiH4脉冲和NH3脉冲的顺序将反应物注入到反应器中时,在Ti-Si-N薄膜中的硅含量达到饱和,为18原子%。通过改变TDMAT、NH3和SiH4的加入顺序,硅含量提高到21原子%。
下面的专利和论文是生产氮化硅薄膜的代表性方法。
Laxman,R.K.,T.D.Anderson,和J.A.Mestemacher,″A low-temperaturesolution for silicon nitride deposition,in Solid State Technology p.79-80(2000)公开了使用二(叔丁基氨基)硅烷和氨生产氮化硅的方法。
US 5,874,368描述了使用二(叔丁基氨基)硅烷和氨在低于550℃的温度下形成氮化硅的方法。
US 2004/0146644公开了一种使用肼基硅烷,有和没有氨,形成氮化硅的方法。迄今为止所有的氮化硅工艺都在500℃以上的温度进行沉积。
发明内容
本发明涉及通过所述前体的循环沉积生产三元金属硅氮化物薄膜的改进工艺。其改进在于使用金属氨化物与具有NH和SiH官能团的硅源作为前体,从而形成所述的金属-SiN薄膜。将前体经循环沉积顺序施加在基底的表面上。示范性的硅源是如下式表示的单烷基氨基硅烷和肼基硅烷;
(R1NH)nSiR2 mH4-n-m(n=1、2;m=0、1、2;n+m=<3);和
(R3 2N-NH)xSiR4 yH4-x-y(x=1、2;y=0、1、2;x+y=<3)
其中上述式中R1-4相同或不同,并且各自独立地选自烷基、乙烯基、烯丙基、苯基、环烷基、氟代烷基和甲硅烷基烷基。
通过本发明实践可以达到几个优点,一些优点如下:
生产出高质量的三元金属硅氮化物薄膜的能力;
除去一些其存在将导致显著的安全和腐蚀隐患的常见前体的同时形成高质量薄膜的能力;和
在通常低于常规方法的温度下,例如低于500℃,在TiN中结合需要的硅浓度的能力;
通过控制循环沉积方法例如CVD方法中硅源的脉冲时间来控制金属硅氮化物中含硅量的能力;
在循环CVD中达到极好的沉积率的能力,因此使增加大规模生产的晶片产量成为可能;
使用ALD生产超薄金属硅氮化物薄膜的能力;
不使用分离的氮源物质(例如氨)的同时使用两种前体生产金属硅氮化物薄膜的能力;
减少所得的金属硅中金属中心的能力,因此降低所得薄膜的电阻率;和
通过在所得的金属硅氮化物中形成金属-氮-硅键增加薄膜稳定性的能力。
附图说明
附图是表明ALD工艺中沉积率和薄膜组成VS.TDMAT与BTBAS的剂量比的曲线图。
具体实施方式
本发明涉及通过循环沉积生产三元金属硅氮化物薄膜的方法的改进。通过化学气相沉积和原子层沉积技术将选择的前体顺序沉积,提供了质量极好的薄膜并降低了与许多前体制剂有关的相关危险。
这里使用的术语“循环沉积”是指顺序引入前体(反应物)以在基底结构上沉积一薄层,它包括例如原子层沉积和快速顺序化学气相沉积等处理技术。顺序引入反应物导致在基底上沉积多个的薄层,根据需要重复该工艺直到形成预定厚度的薄膜层。
原子层沉积是循环沉积的一种形式,其包括顺序引入第一前体的脉冲,和在该情况下,引入第二前体的脉冲。在许多现有技术方法中,还使用第三前体的脉冲。例如在ALD工艺中,顺序引入第一前体的脉冲,然后是吹扫气的脉冲和/或泵抽空,然后是第二前体的脉冲,该脉冲后继之以吹扫气的脉冲和/或泵抽空。如有必要或需要,还可以引入第三前体的脉冲。顺序引入分离的脉冲产生每种前体在基底表面上单层的交替自限制化学吸附,并且每个循环形成沉积物的单层。根据需要可以重复这样的循环直到产生预定厚度的薄膜。
与常规的CVD方法相比,ALD的增长率极低。ALD方法通常的增长率是1-2埃/循环。提高增长率的一个方法是通过在与ALD相比更高的基底温度下进行操作来改进ALD方法,从而导致类似CVD的工艺,但是仍然会顺序引入前体。这个工艺被称作循环CVD。
循环CVD还可以用作形成所需组成和厚度的三元薄膜的方法。在这个方法里,将前体(反应物)引入到CVD室,并在基底上蒸发。随后的反应物如同ALD方法中一样供给,但是,当然,循环CVD方法中单个膜的厚度并不局限于单层。
为了便于理解用于形成本文中设想的三元薄膜的循环沉积方法,用于在基底上沉积的第一种前体是金属氨化物。通常用于半导体制造和适合作为金属氨化物的金属组分的金属包括:钛、钽、钨、铪和锆等等。适合于在循环方法中使用的金属氨化物的具体例子包括以下的金属氨化物,选自:四(二甲基氨基)钛(TDMAT)、四(二乙基氨基)钛(TDEAT)、四(乙基甲基)钛(TEMAT)、四(二甲基氨基)锆(TDMAZ)、四(二乙基氨基)锆(TDEAZ)、四(乙基甲基)锆(TEMAZ)、四(二甲基氨基)铪(TDMAH)、四(二乙基氨基)铪(TDEAH)、四(乙基甲基)铪(TEMAH)、叔丁基亚氨基三(二乙基氨基)钽(TBTDET)、叔丁基亚氨基三(二甲基氨基)钽(TBTDMT)、叔丁基亚氨基三(乙基甲基氨基)钽(TBTEMT)、乙基亚氨基三(二乙基氨基)钽(EITDET)、乙基亚氨基三(二甲基氨基)钽(EITDMT)、乙基亚氨基三(乙基甲基氨基)钽(EITEMT)、叔戊基亚氨基三(二甲基氨基)钽(TAIMAT)、叔戊基亚氨基三(二乙基氨基)钽、五(二甲基氨基)钽、叔戊基亚氨基三(乙基甲基氨基)钽、二(叔丁基亚氨基)二(二甲基氨基)钨(BTBMW)、二(叔丁基亚氨基)二(二乙基氨基)钨、二(叔丁基亚氨基)二(乙基甲基氨基)钨及其混合物。
把金属氨化物以预定的摩尔体积向沉积室提供预定的一片段时间。一般地,向CVD或者ALD室提供金属氨化物0.1~80秒,以使得材料被充分吸附以便饱和表面。在沉积期间,优选金属氨化物为气相,并且通常以1~100微摩尔的预定摩尔体积提供。沉积温度是常规的,为约200~500℃,优选200~350℃。示范性的压力为50mtorr~100ttor。
在该方法的第二个步骤中,并且紧接在金属氨化物沉积之后,使用惰性气体,比如Ar、N2或者He,吹扫室中未反应的金属氨化物。在循环沉积方法中,通常以50~2000sccm的流速向沉积室中提供气体,例如Ar、N2或者He,由此清除残留在室中的金属氨化物和副产物。
在该循环沉积方法中使用的第二前体是硅源,它含有至少一个反应性的N-H片段和至少一个Si-H片段。N-H片段和Si-H片段都与上述的金属氨化物起化学反应,导致形成M-N-Si键,例如Ti-N-Si键,并且通过Si-H减少金属中心。适合于在循环沉积方法中使用的硅源的一个例子是下式的单烷基氨基硅烷:
(R1NH)nSiR2 mH4-n-m(n=1、2;m=0、1、2;n+m=<3)。
可以代替单烷基氨基硅烷并适合用于循环沉积的硅源的是下式的肼基硅烷:
(R3 2N-NH)xSiR4 yH4-x-y(x=1、2;y=0、1、2;x+y=<3)
其中单烷基氨基硅烷和肼中的R1-4相同或不同,并且独立地选自烷基、乙烯基、烯丙基、苯基、环烷基、氟代烷基、甲硅烷基烷基和氨。在各自的化合物中的烷基官能团通常有1~10个碳原子,虽然在优选的情形中烷基官能团具有1~4个碳原子。
适合用于该方法的单烷基氨基硅烷的例子包括:
二(叔丁基氨基)硅烷(BTBAS)、三(叔丁基氨基)硅烷、二(异丙基氨基)硅烷和三(异丙基氨基)硅烷。合适的肼基硅烷的例子包括:二(1,1-二甲基肼基)硅烷、三(1,1-二甲基肼基)硅烷、二(1,1-二甲基肼基)乙基硅烷、二(1,1-二甲基肼基)异丙基硅烷和二(1,1-二甲基肼基)乙烯基硅烷。同时能提供氮和硅官能团的优选反应物的优良例子是单烷基氨基硅烷和二(叔丁基氨基)硅烷,并且优选是单烷基氨基硅烷。
以预先确定的摩尔体积,例如1-100微摩尔将含具有SH和NH的硅源的第二前体引入沉积室预定的时间,优选是约0.1~100秒。硅前体与金属氨化物反应,并被吸附到基底表面从而通过金属-氮-硅键形成氮化硅。所使用的常规沉积温度为200~500℃和常规沉积压力为50mtorr~100torr。
紧接着沉积硅源之后,通常以50~2000sccm的流速向沉积室引入气体,比如Ar、氮气或氦,以清除沉积室中的未反应的硅源和副产物。有时,为了清除未反应物质或者副产物,可以在整个沉积循环中顺序引入吹扫气。
任选地,可以在循环沉积方法,特别是ALD方法中使用第三前体,这样的方法需要氮源例如氨或者肼。使用这些气体以产生富氮薄膜,并进一步降低在上述步骤的薄膜中的碳含量。
在进行该方法时,一个推荐的沉积循环如下:
1.将金属氨化物的蒸汽暴露于装填到反应室或者沉积室里的热基底;
2.使金属氨化物与基底表面反应,
3.清除未反应的金属氨化物;
4.将单烷基氨基硅烷或者肼基硅烷的蒸汽引入到反应室中以与被吸收的金属氨化物反应;
5.清除未反应的单烷基氨基硅烷或者肼基硅烷;
6.如果需要,将含氮反应物,比如氨引入到反应室中,
7.清除未反应的含氮反应物;和
8.重复上述循环直到获得预定的薄膜厚度。
在上述循环中可以颠倒将前体反应物引入到沉积室中的顺序,例如可以首先引入硅源,然后再加入金属氨化物。然而,当先沉积硅源时,通常需要更高的沉积温度。如上所述,通常金属氨化物在比硅源更低的温度下沉积,而且更进一步,催化有利于低温沉积。
以下的反应方案1描述了一般的两反应物循环沉积方法,说明了使用四(二甲基氨基)钛(TDMAT)和二(叔丁基氨基)硅烷(BTBAS)作为实例的化学反应。在此方案中,首先预处理硅基片以在表面上产生反应活性部位,例如Si-OH,Si-H和Si-NH片段。然后将该表面在一定条件下暴露于金属氨化物,例如TDMAT,该条件使得在反应活性部位和TDMAT之间发生化学反应,并产生被Ti-NMe2片段占据的表面。二甲胺作为副产物释放。取决于该步骤是自限制的(如在ALD方法中)还是非限制的(如在循环CVD方法中);使用氮气吹扫沉积室以除去未反应的TDMAT和任何副产物。此时引入硅源例如BTBAS,并使其与Ti-NMe2部位反应产生一个覆盖有Si-H和Si-NH2部位的表面。在这个反应中释放出丁烯和二甲胺。同样,这个步骤如果是自限制的,就是ALD方法,如果不是自限制的,就是循环CVD法。该反应一直循环直到产生需要的膜厚度。
钛-NMe2的吸收对氮化硅的形成是决定性的,因为只使用BTBAS沉积氮化硅通常需要超过500℃的基底温度。当在沉积工艺中使用金属氨化物来催化氮化硅的沉积时,可以使用更低的温度。
下面描述循环沉积方法中的各个反应。
Figure A20061000898600131
                          方案1
以下的反应方案2描述了一般的三反应物方法,说明了使用四(二甲基氨基)钛(TDMAT)、氨和二(叔丁基氨基)硅烷(BTBAS)作为前体的化学反应。首先预处理硅基片以在表面上产生反应性部位,例如Si-OH、Si-H和Si-NH片段。然后将该表面在一定条件下暴露于金属氨化物(例如TDMAT),该条件使得在反应性部位和TDMAT之间发生化学反应,并产生被Ti-NMe2片段占据的表面。二甲胺作为副产物释放。同样,如果这个步骤是自限制的,它就是ALD方法,否则它就是循环CVD法。通过氮气吹扫清除沉积室中未反应的TDMAT和任何副产物。和反应方案1对比,引入氨来转化所有的TiNMe2部位,其生成Ti-NH2部位并释放出二甲胺。将BTBAS引入沉积室,以使已经形成的Ti-NH2部位和BTBAS反应形成一个被Si-H和Si-NH2覆盖的表面。在此步骤释放出丁烯、叔丁胺和二甲胺。如果后面的这个步骤是自限制的,就是ALD方法,否则,则是循环CVD法。重复沉积循环直到产生所需的膜厚度。
反应方案2示例了这个化学反应。
                            方案2
以下的反应方案3描述了一般的三反应物工艺,它说明了使用四(二甲基氨基)钛(TDMAT)、二(叔丁基氨基)硅烷(BTBAS)和氨作为前体的化学反应。将硅基片首先进行预处理以在表面上产生反应性部位,例如Si-OH、Si-H和Si-NH片段。然后将该表面在一定条件下暴露于金属氨化物(例如TDMAT),该条件使得使反应性部位和TDMAT之间发生化学反应,并产生被Ti-NMe片段占据的表面。二甲胺作为副产物释放。同样,如果该步骤是自限制的,它就是ALD方法,否则它就是循环CVD法。通过氮气吹扫清除沉积室中未反应的TDMAT和任何副产物。与反应路线2对比,将BTBAS引入沉积室,以使已经形成的Ti-NMe2部位和BTBAS反应,形成一个被Si-H和Si-NHBut覆盖的表面。在这个步骤释放出叔丁胺、丁烷和二甲胺。同样如果后面的这个步骤是自限制的,该方法就是ALD方法,否则就是循环CVD法。引入氨将所有的Si-NHBut转换为用于下面的循环的反应性硅-NH2部位。重复该沉积循环直到产生预定的膜厚度。
这个化学反应示例于反应方案3中。
Figure A20061000898600171
                           方案3
提供以下的实施例以阐述本发明的各种实施方案,并不打算限制本发明的范围。
实施例1
在200℃由TDMAT和BTBAS沉积TiSiN薄膜
将硅片放入沉积室中,并保持在200℃的温度和200帕(1.5托)的压力下。将2.6微摩尔含钛化合物,四(二甲基氨基)钛(TDMAT)在10秒钟的脉冲内连同100sccm的氮气一起引入到沉积室中。在钛氨化物沉积以后,用2000sccm N2吹扫未反应的钛氨化物和副产物7.5秒钟。然后,将4.73微摩尔的含硅化合物,二(叔丁基氨基)硅烷(BTBAS)在80秒钟内连同100sccm氮气一起引入。用2000sccm氮气吹扫未反应的BTBAS和副产物40秒钟。
重复上述循环200次(4个步骤),产生一个45埃厚的层。每循环的沉积率是0.22埃,它远低于一般的ALD方法,表明这个温度不足以使这些前体获得表面饱和。
实施例2
在250℃由TDMAT和BTBAS使用ALD方法形成TiSiN薄膜
按照实施例1的操作,除了将硅片放置在250℃的温度和200帕(1.5托)压力下以外。将2.6微摩尔含钛化合物,四(二甲基氨基)钛(TD MAT)连同100sccm的氮气一起引入到沉积室中10秒钟。接着用2000sccm氮气吹扫7.5秒钟。然后,将4.73微摩尔的含硅化合物,二(叔丁基氨基)硅烷(BTBAS)连同100sccm氮气一起引入80秒钟。接着用2000sccm氮气吹扫40秒钟。重复上述循环100次(4个步骤),产生一个144埃厚的层。
每个循环的沉积率是1.44埃,它落在一般的ALD方法范围内,表明此温度足以使单层表面达到饱和。钛与硅加入的摩尔比是0.55,分析沉积膜中钛与硅原子比例是5.2。
使用不同用量的TDMAT进行更多的实验,同时BTBAS的用量保持不变(参见附图)。附图中的曲线表明:ALD方法的薄膜组成(钛与硅的比率)可以通过改变钛和硅反应物的剂量比获得改变。因此,可以获得宽范围的组成而不用显著地改变薄膜的厚度。
实施例3
由TDMAT和BTBAS使用循环CVD方法形成TiSiN薄膜
按照实施例1的操作,除了将硅片是放置在300℃的温度和200帕(1.5托)压力下以外。将2.6微摩尔四(二甲基氨基)钛(TDMAT)作为含钛化合物连同100sccm的氮气一起引入沉积室10秒钟。接着用2000sccm氮气吹扫7.5秒钟。然后,将4.73微摩尔的含硅化合物,二(叔丁基氨基)硅烷(BTBAS)连同100sccm氮气一起引入80秒钟。接着用2000sccm氮气吹扫40秒钟。重复上述循环100次(4个步骤),产生一个629埃厚的层。每个循环的沉积率是6.29埃,表明此温度太高,以致限制了每个循环的单层沉积。和实施例1和2对比,在此温度下发生的类循环CVD方法,导致沉积率比ALD方法高。
钛与硅的摩尔加入比是0.55,沉积膜中所分析的钛与硅原子比例是5.6。
实施例4
在300℃下只使用BTBAS进行循环CVD
按照实施例3的操作。将4.73微摩尔的含硅化合物,二(叔丁基氨基)硅烷(BTBAS)连同100sccm氮气一起引入80秒钟内。接着用2000sccm氮气吹扫40秒钟。重复这个循环100次(4个步骤)并不产生薄膜,表明在低于500℃的温度下,吸收的金属氨化物需要用来催化氮化硅的CVD,和金属氨化物在金属硅氮化物的形成过程中起着决定性的作用。
实施例5
在300℃使用BTBAS和氨进行循环CVD
按照实施例3的操作。向沉积室中引入氨(NH3)10秒钟,伴随着100sccm氮气。接着用2000sccm氮气吹扫7.5秒钟。然后,将4.73微摩尔的含硅化合物,二(叔丁基氨基)硅烷(BTBAS)连同100sccm氮气一起引入80秒钟。接着用2000sccm氮气吹扫40秒钟。重复上述循环100次(4个步骤),没有产生薄膜。这个实施例表明吸附金属氨化物需要用来催化分解(叔丁基氨基)硅烷(BTBAS)以形成氮化硅。
实施例6
在350℃下由TBTDET和BTBAS使用ALD方法形成TaSiN薄膜
按照实施例1的操作,除了将硅片是保持在350℃的温度和200帕(1.5托)压力下以外。将1.1微摩尔叔丁基亚氨基三(二乙基氨基)钽(TBTDET)连同50sccm的氮气一起引入到沉积室20秒钟。接着用500sccm氮气吹扫15秒钟。然后,将4.73微摩尔的含硅化合物,二(叔丁基氨基)硅烷(BTBAS)连同50sccm氮气一起引入80秒钟。接着用500sccm氮气吹扫40秒钟。重复上述循环200次(个步骤),产生一个281埃厚的层。
每个循环的沉积率是1.82埃,它在一般的ALD方法的范围内,表明这个温度足以使单层表面达到饱和。
实施例7
由TBTDET和BTBAS使用循环CVD方法形成TaSiN薄膜
按照实施例1的操作,除了将硅片保持在400℃的温度和200帕(1.5托)压力下以外。将1.1微摩尔的叔丁基亚氨基三(二乙基氨基)钽(TBTDET)作为含Ta化合物连同50sccm的氮气一起引入到沉积室20秒钟。接着用500sccm氮气吹扫15秒钟。然后,将4.73微摩尔的含硅化合物,二(叔丁基氨基)硅烷(BTBAS)连同50sccm氮气一起引入80秒钟。接着用500sccm氮气吹扫40秒钟。重复上述循环200次(4个步骤),产生2400埃厚的薄膜。每个循环的沉积率是12埃,表明此温度过高,以致限制了每个循环的单层沉积。和实施例6对比,在这个温度发生的与循环CVD类似的方法,导致沉积率比ALD方法高。
总结现有技术和比较实施例,已知已经对使用四(二甲基氨基)钛和硅烷或者氯硅烷或者四(二乙基氨基)钛与氨和硅烷沉积钛硅氮化物薄膜进行了深入的研究。在这些方法中,硅烷引起了安全问题,并且氯硅烷引起了腐蚀问题以及安全问题。还已经对使用TaCl5、TDMAS和氨形成钽硅氮化物薄膜进行了研究。该工艺产生的钽硅氮化物薄膜被氯化物污染,这可导致腐蚀和其他长期稳定性的问题。
和现有技术的工艺相比,在这里提供的实施例1~7表明:尽管只使用两种前体代替三种前体,循环沉积方法中前体金属氨化物和单烷基氨基硅烷的循环沉积,将产生优质薄膜。此外,使用这些前体避免了使用例如硅烷这样的前体带来的安全问题。
虽然已经展示和描述了优选的实施方案,但是对其所作的各种改进和替换并不背离本发明的精神和范围。相应地,应当理解的是,这只是为了说明的目的而对本发明进行的描述,而且在这里公开的这些示例和实施方案并不作为对本申请权利要求的限制。

Claims (20)

1、一种在基底上形成金属硅氮化物薄膜的循环沉积方法,包括以下步骤:
将金属氨化物引入到沉积室,并在热的基底上沉积一薄膜;
吹扫沉积室以除去未反应的金属氨化物和任何副产物;
将含有N-H片段和Si-H片段的硅化合物引入到沉积室中,并在热的基底上沉积一薄膜;
吹扫沉积室以除去任何未反应的化合物和副产物;和
重复该循环沉积工艺直到达到预定的薄膜厚度。
2、权利要求1的方法,其中金属氨化物选自四(二甲基氨基)钛(TDMAT)、四(二乙基氨基)钛(TDEAT)、四(乙基甲基)钛(TEMAT)、四(二甲基氨基)锆(TDMAZ)、四(二乙基氨基)锆(TDEAZ)、四(乙基甲基)锆(TEMAZ)、四(二甲基氨基)铪(TDMAH)、四(二乙基氨基)铪(TDEAH)、四(乙基甲基)铪(TEMAH)、叔丁基亚氨基三(二乙基氨基)钽(TBTDET)、叔丁基亚氨基三(二甲基氨基)钽(TBTDMT)、叔丁基亚氨基三(乙基甲基氨基)钽(TBTEMT)、乙基亚氨基三(二乙基氨基)钽(EITDET)、乙基亚氨基三(二甲基氨基)钽(EITDMT)、乙基亚氨基三(乙基甲基氨基)钽(EITEMT)、叔戊基亚氨基三(二甲基氨基)钽(TAIMAT)、叔戊基亚氨基三(二乙基氨基)钽、五(二甲基氨基)钽、叔戊基亚氨基三(乙基甲基氨基)钽、二(叔丁基亚氨基)二(二甲基氨基)钨(BTBMW)、二(叔丁基亚氨基)二(二乙基氨基)钨、二(叔丁基亚氨基)二(乙基甲基氨基)钨及其混合物。
3、权利要求2的方法,其中同时含有N-H片段和Si-H片段的硅化合物选自具有下式的单烷基氨基硅烷:
(R1NH)nSiR2 mH4-n-m(n=1、2;m=0、1、2;n+m=<3),
和具有下式的肼基硅烷:
(R3 2N-NH)xSiR4 yH4-x-y(x=1、2;y=0、1、2;x+y=<3)
其中在上述式中的R1-4相同或不同,并且独立地选自烷基、乙烯基、烯丙基、苯基、环烷基、氟代烷基、甲硅烷基烷基。
4、权利要求3的方法,其中金属硅氮化物是钛硅氮化物。
5、权利要求3的方法,其中金属氨化物选自四(二甲基氨基)钛(TDMAT)、四(二乙基氨基)钛(TDEAT)、四(乙基甲基)钛(TEMAT)。
6、权利要求4的方法,其中含有N-H和Si-H片段的硅化合物选自二(叔丁基氨基)硅烷(BTBAS)、三(叔丁基氨基)硅烷、二(异丙基氨基)硅烷、三(异丙基氨基)硅烷、二(1,1-二甲基肼基)硅烷、三(1,1-二甲基肼基)硅烷、二(1,1-二甲基肼基)乙基硅烷、二(1,1-二甲基肼基)异丙基硅烷、二(1,1-二甲基肼基)乙烯基硅烷。
7、权利要求3的方法,其中金属硅氮化物是钽硅氮化物。
8、权利要求3的方法,其中金属硅氮化物是钨硅氮化物。
9、权利要求3的方法,其中循环沉积方法是循环化学气相沉积方法。
10、权利要求3的方法,其中循环沉积方法是原子层沉积方法。
11、权利要求3的方法,其中沉积室内的压力为50mtorr~100torr,且所述沉积室内的温度低于500℃。
12、权利要求11的方法,其中氨被用作第三前体,并且加入顺序选自金属氨化物-氨-单烷基氨基硅烷和金属氨化物-单烷基氨基硅烷氨。
13、权利要求12的方法,其中将所得的金属硅氮化物薄膜暴露于等离子体处理以致密所得的金属硅氮化物薄膜以及降低金属硅氮化物薄膜的电阻。
14、一种形成三元金属硅氮化物薄膜的循环沉积方法,其中在形成所述三元金属硅薄膜的条件下将大量前体顺序引入到沉积室,蒸发和在基底上沉积,其改进包括:
使用金属氨化物作为前体;和
使用具有NH和SiH片段的硅化合物作为前体。
15、权利要求14的方法,其中所述沉积室内的压力为50mtorr~100torr,且所述沉积室内的温度为约200~350℃。
16、权利要求14的循环沉积方法,其中金属氨化物在所述硅化合物之前沉积,并且所述的金属氨化物选自四(二甲基氨基)钛(TDMAT)、四(二乙基氨基)钛(TDEAT)、四(乙基甲基)钛(TEMAT)、四(二甲基氨基)锆(TDMAZ)、四(二乙基氨基)锆(TDEAZ)、四(乙基甲基)锆(TEMAZ)、四(二甲基氨基)铪(TDMAH)、四(二乙基氨基)铪(TDEAH)、四(乙基甲基)铪(TEMAH)、叔丁基亚氨基三(二乙基氨基)钽(TBTDET)、叔丁基亚氨基三(二甲基氨基)钽(TBTDMT)、叔丁基亚氨基三(乙基甲基氨基)钽(TBTEMT)、乙基亚氨基三(二乙基氨基)钽(EITDET)、乙基亚氨基三(二甲基氨基)钽(EITDMT)、乙基亚氨基三(乙基甲基氨基)钽(EITEMT)、叔戊基亚氨基三(二甲基氨基)钽(TAIMAT)、叔戊基亚氨基三(二乙基氨基)钽、五(二甲基氨基)钽、叔戊基亚氨基三(乙基甲基氨基)钽、二(叔丁基亚氨基)二(二甲基氨基)钨(BTBMW)、二(叔丁基亚氨基)二(二乙基氨基)钨、二(叔丁基亚氨基)二(乙基甲基氨基)钨。
17、权利要求16的方法,其中含有N-H和Si-H片段的硅化合物选自二(叔丁基氨基)硅烷(BTBAS)、三(叔丁基氨基)硅烷、二(异丙基氨基)硅烷、三(异丙基氨基)硅烷、二(1,1-二甲基肼基)硅烷、三(1,1-二甲基肼基)硅烷、二(1,1-二甲基肼基)乙基硅烷、二(1,1-二甲基肼基)异丙基硅烷、二(1,1-二甲基肼基)乙烯基硅烷。
18、权利要求17的方法,其中在每种前体引入之后使吹扫气通过所述沉积室。
19、权利要求16的方法,其中含氮反应物选自氨、肼、烷基肼和二烷基肼。
20、权利要求19的方法,其中首先将金属氨化物沉积,并从而将硅化合物和含氮气体交替沉积。
CNB2006100089862A 2005-02-14 2006-02-14 通过循环沉积制备金属硅氮化物薄膜的方法 Expired - Fee Related CN100537842C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/057,446 US20060182885A1 (en) 2005-02-14 2005-02-14 Preparation of metal silicon nitride films via cyclic deposition
US11/057446 2005-02-14

Publications (2)

Publication Number Publication Date
CN1821440A true CN1821440A (zh) 2006-08-23
CN100537842C CN100537842C (zh) 2009-09-09

Family

ID=36218346

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006100089862A Expired - Fee Related CN100537842C (zh) 2005-02-14 2006-02-14 通过循环沉积制备金属硅氮化物薄膜的方法

Country Status (8)

Country Link
US (1) US20060182885A1 (zh)
EP (1) EP1691400B1 (zh)
JP (1) JP4347855B2 (zh)
KR (1) KR100766843B1 (zh)
CN (1) CN100537842C (zh)
AT (1) ATE421166T1 (zh)
DE (1) DE602006004779D1 (zh)
TW (1) TWI265207B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7678422B2 (en) 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
CN101440478B (zh) * 2007-11-08 2012-09-05 气体产品与化学公司 通过ald或cvd工艺制备含金属薄膜
CN102112654B (zh) * 2008-08-01 2013-03-20 乔治洛德方法研究和开发液化空气有限公司 在基质上形成含钽层的方法
CN103299430A (zh) * 2010-12-30 2013-09-11 周星工程股份有限公司 薄膜晶体管及其制造方法
CN104805414A (zh) * 2014-01-23 2015-07-29 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置
CN113330141A (zh) * 2019-01-24 2021-08-31 应用材料公司 沉积氮化硅的方法

Families Citing this family (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4403824B2 (ja) * 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
KR20050091488A (ko) * 2004-03-12 2005-09-15 주식회사 유피케미칼 세라믹 또는 금속박막 증착용 전구체 화합물 및 그제조방법
US7927933B2 (en) * 2005-02-16 2011-04-19 Imec Method to enhance the initiation of film growth
US20060286800A1 (en) * 2005-06-15 2006-12-21 Dominguez Juan E Method for adhesion and deposition of metal films which provide a barrier and permit direct plating
TW200818271A (en) * 2006-06-21 2008-04-16 Tokyo Electron Ltd Method of forming TaSiN film
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
JP4845782B2 (ja) * 2007-03-16 2011-12-28 東京エレクトロン株式会社 成膜原料
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
US7589020B2 (en) 2007-05-02 2009-09-15 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR100956210B1 (ko) * 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US8507040B2 (en) 2008-05-08 2013-08-13 Air Products And Chemicals, Inc. Binary and ternary metal chalcogenide materials and method of making and using same
US8765223B2 (en) * 2008-05-08 2014-07-01 Air Products And Chemicals, Inc. Binary and ternary metal chalcogenide materials and method of making and using same
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8580993B2 (en) * 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101295031B1 (ko) * 2011-04-22 2013-08-09 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭 증착방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101189642B1 (ko) * 2012-04-09 2012-10-12 아익스트론 에스이 원자층 증착법을 이용한 TiSiN 박막의 형성방법
CN102703880B (zh) * 2012-06-12 2014-01-15 浙江大学 利用原子层沉积制备高精度光学宽带抗反射多层膜的方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015193878A (ja) * 2014-03-31 2015-11-05 東京エレクトロン株式会社 TiSiN膜の成膜方法および成膜装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
CN117265512A (zh) * 2015-09-11 2023-12-22 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR102153564B1 (ko) * 2015-10-06 2020-09-08 버슘머트리얼즈 유에스, 엘엘씨 컨포멀한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) * 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11251261B2 (en) * 2019-05-17 2022-02-15 Micron Technology, Inc. Forming a barrier material on an electrode
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
US11832537B2 (en) * 2019-10-08 2023-11-28 Eugenus, Inc. Titanium silicon nitride barrier layer
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU3535784A (en) * 1983-11-25 1985-05-30 Sipuro A.G. Squeeze bottle with drip catching depression
US6933021B2 (en) * 1995-07-06 2005-08-23 Applied Materials, Inc. Method of TiSiN deposition using a chemical vapor deposition (CVD) process
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US5976991A (en) * 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
KR20000022003A (ko) * 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
US6403465B1 (en) * 1999-12-28 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to improve copper barrier properties
US6518626B1 (en) * 2000-02-22 2003-02-11 Micron Technology, Inc. Method of forming low dielectric silicon oxynitride spacer films highly selective of etchants
US20020127883A1 (en) * 2001-01-09 2002-09-12 Conti Richard A. Bis (tertiarybutylamino) silane and ozone based doped and undoped oxides
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
AU2002333601A1 (en) * 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6559074B1 (en) * 2001-12-12 2003-05-06 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6875271B2 (en) * 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US6677201B1 (en) * 2002-10-01 2004-01-13 Texas Instruments Incorporated Method of fabricating thermal CVD oxynitride and BTBAS nitride sidewall spacer for metal oxide semiconductor transistors
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7030023B2 (en) * 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7678422B2 (en) 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
CN102517561A (zh) * 2006-12-13 2012-06-27 气体产品与化学公司 含金属-硅的薄膜的循环化学气相沉积
CN102517561B (zh) * 2006-12-13 2014-11-05 气体产品与化学公司 含金属-硅的薄膜的循环化学气相沉积
CN101440478B (zh) * 2007-11-08 2012-09-05 气体产品与化学公司 通过ald或cvd工艺制备含金属薄膜
CN102112654B (zh) * 2008-08-01 2013-03-20 乔治洛德方法研究和开发液化空气有限公司 在基质上形成含钽层的方法
CN103299430A (zh) * 2010-12-30 2013-09-11 周星工程股份有限公司 薄膜晶体管及其制造方法
CN104805414A (zh) * 2014-01-23 2015-07-29 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置
CN104805414B (zh) * 2014-01-23 2017-06-30 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置
CN113330141A (zh) * 2019-01-24 2021-08-31 应用材料公司 沉积氮化硅的方法
CN113330141B (zh) * 2019-01-24 2023-10-17 应用材料公司 沉积氮化硅的方法

Also Published As

Publication number Publication date
ATE421166T1 (de) 2009-01-15
JP4347855B2 (ja) 2009-10-21
CN100537842C (zh) 2009-09-09
DE602006004779D1 (de) 2009-03-05
KR100766843B1 (ko) 2007-10-17
TW200628628A (en) 2006-08-16
EP1691400A1 (en) 2006-08-16
EP1691400B1 (en) 2009-01-14
JP2006225764A (ja) 2006-08-31
KR20060091240A (ko) 2006-08-18
TWI265207B (en) 2006-11-01
US20060182885A1 (en) 2006-08-17

Similar Documents

Publication Publication Date Title
CN1821440A (zh) 通过循环沉积制备金属硅氮化物薄膜的方法
JP5290638B2 (ja) 金属ケイ素窒化物の被着方法
US8329599B2 (en) Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
KR101505970B1 (ko) 금속 실리케이트 막들의 원자층 증착
KR101639490B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US7547952B2 (en) Method for hafnium nitride deposition
TWI585857B (zh) 半導體裝置之製造方法、基板處理方法、及電腦可讀取記錄媒體
US7208427B2 (en) Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
US20090155606A1 (en) Methods of depositing a silicon nitride film
US7678422B2 (en) Cyclic chemical vapor deposition of metal-silicon containing films
CN1480998A (zh) 采用原子层沉积工艺在基片上形成二氧化硅层的方法
KR101549778B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101624452B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
EP2310551B1 (en) Method of forming a tantalum-containing layer on a substrate
KR101295031B1 (ko) 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭 증착방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20170626

Address after: Arizona, USA

Patentee after: Versum Materials US, LLC

Address before: American Pennsylvania

Patentee before: Air Products and Chemicals, Inc.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090909

Termination date: 20220214