TWI426547B - 用於批次原子層沈積反應器之處理製程 - Google Patents

用於批次原子層沈積反應器之處理製程 Download PDF

Info

Publication number
TWI426547B
TWI426547B TW095134871A TW95134871A TWI426547B TW I426547 B TWI426547 B TW I426547B TW 095134871 A TW095134871 A TW 095134871A TW 95134871 A TW95134871 A TW 95134871A TW I426547 B TWI426547 B TW I426547B
Authority
TW
Taiwan
Prior art keywords
chamber
ald
gas
substrate
seconds
Prior art date
Application number
TW095134871A
Other languages
English (en)
Other versions
TW200721272A (en
Inventor
Brendan Anthony Mcdougall
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200721272A publication Critical patent/TW200721272A/zh
Application granted granted Critical
Publication of TWI426547B publication Critical patent/TWI426547B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Description

用於批次原子層沉積反應器之處理製程
本發明之實施例大致關於製造製程,更詳而言之,係關於在基板製造之前、期間或後續之用於硬體(hardware)或基板之處理製程。
隨著其他技術之進展,微電子產業需要以原子層解析度(resolution)來沉積材料。原子層沉積(ALD)製程為在約30年前發展而用以製造電發光平板顯示器。在半導體處理、平板顯示器處理或其他電子裝置處理之領域中,氣相沉積製程在於基板上沉積材料中扮演重要角色。當電子元件的幾何形狀持續縮小且元件密度持續增加之時,特徵結構(feature)的尺寸與深寬比(aspect ratio)變得更具挑戰性。在先進技術節點(technology nodes;0.65 μ m或更小)中,製程中需要特徵尺寸小於40nm且深寬比為30。當習知化學氣相沉積(CVD)製程已證明成功應用在大於0.65 μ m的技術節點,則具挑戰性之元件幾何形狀需要原子層解析度之薄膜沉積。所需薄膜厚度為數層原子層厚度或裝置的幾何構造(如高深寬比溝槽)排除以CVD製程所沉積的材料。因此,在某些製造計畫中,已認定ALD製程之需要。
在ALD製程中,反應物氣體接續導引至含有一個或多個基板之製程腔室中。通常,第一反應物係提供至製程腔室中且吸附在基板表面上。第二反應物係提供至製程腔室中且與第一反應物反應以形成沉積材料及反應副產物。理想地,兩種反應物不同時出現在製程腔室中。因此,在每一反應物氣體的傳送之間,通常使用沖提氣體(purge gas)以進一步移除氣體。對於單一基板ALD製程,沖提步驟可為連續以載氣沖提,或是在每一反應物氣體傳送之間進行脈衝沖提(pulse purge)。
原子層沉積製程已成功實施於沉積介電層、阻障層及導體層。藉由ALD製程沉積之介電材料而作為閘極及電容器應用者包括氮化矽、氧氮化矽、氧化鉿、矽酸鉿、氧化鋯及氧化鉭。通常,ALD製程提供之沉積材料與CVD製程相比,係具有較少量之不純物、較佳之保型性(conformality)及較佳的薄膜厚度控制。然而,在沉積類似成分之材料前提下,ALD製程相較於CVD製程而通常具有較慢的沉積速率。因此,降低整體製造生產率之ALD製程與可相比之CVD製程可能具有較低吸引力。藉由使用批次工具,可改良產率而不需犧牲ALD製程所具有的優點。
批次沉積製程藉由在單一腔室中同時處理多個基板,而可用於增加在製造製程期間之生產率。然而,使用CVD技術之批次製程仍受到限制,因為現今元件具有較小的幾何形狀。雖然ALD製程可提供CVD製程無法獲得之具有較小幾何形狀的材料,但可發現在配備有ALD之工具的硬體保養需要增加時間區間。並且,因為前驅物的交叉污染或因為反應副產物的凝結,使用ALD技術之批次沉積製程可能需承受沉積材料之較慢起始過程(如晶種效應或潛伏延遲(incubation delay))、沉積材料含有來自反應物之有害分子碎片及在基板和整個腔室中含有高含量的微粒污染物。含有缺陷、不純物或污染物之沉積材料提供具有高漏電流之介電薄膜、高電阻率之金屬薄膜,或具有高滲透率之阻障層。該等薄膜特性係不適當,且會造成不可避免之元件故障。並且,配備有ALD之工具在多次製程之後因為累積污染物而可能需要關機以進行保養。整體而言,製造製程遭受產品生產率降低且成本增加。
因此,需要發展一製程,其係可降低沉積於製程腔室之基板上的材料之潛伏延遲、降低沉積材料之不純物或缺陷形成及減少在製程腔室中的污染物。較佳地,製程可在ALD批次工具上進行。
在本發明之一實施例中,提供一種於基板上形成材料的方法,其包括:將製程腔室中的至少一基板暴露於預處理製程;將基板暴露於ALD製程以在基板上形成材料;及將基板及製程腔室循序暴露於後處理製程。在一例子中,ALD製程包括:在ALD循環期間,將基板循序暴露於至少兩種化學前驅物;重複一預定循環數目之ALD循環(即ALD環圈;ALD loop);及在ALD環圈間進行中間處理製程。
此方法可於批次製程腔室中或單一晶圓製程腔室中進行。在一較佳實施例中,此腔室為ALD批次腔室,其含有複數片基板,例如25、50、100片基板。預處理製程、中間處理製程及後處理製程可含有處理氣體,例如惰性氣體、氧化氣體、氮化氣體、還原氣體、其電漿、其衍生物或其混合物。例如,處理氣體可含有臭氧、水、氨、氮、氬、氫、其電漿、其衍生物或其混合物。在一例子中,處理氣體含有臭氧/氧(O3 /O2 )混合物,使得臭氧濃度介於約1原子百分比(at%)至約50 at%,較佳為5 at%至約30 at%,及更佳為10 at%至約20 at%。在另一例子中,處理氣體含有水蒸氣,且該水蒸氣係藉由催化水蒸氣產生器而由氧氣來源及氫氣來源所產生的。在另一例子中,處理氣體含有氨或氨電漿。
在另一實施例中,提供一種於製程腔室中之基板上形成材料的方法,其包括:將批次製程腔室暴露於預處理製程;將位於批次腔室中的複數片基板暴露於含有至少一處理製程之ALD製程;及之後,將製程腔室暴露於後處理製程。在一例子中,處理製程係在預定數目之ALD循環後進行,因此,在一製程循環期間係重複進行處理製程及上述預定數目之ALD循環。製程循環可重複進行以形成如氧化鉿、矽酸鉿、氧化鋁、氧化矽、鋁酸鉿、其衍生物或其混合物之沉積材料。
在一例子中,在一批次製程腔室中的複數片基板係暴露於預處理製程及ALD製程以形成含鉿材料。ALD製程在將基板循序暴露於鉿前驅物及氧化氣體之ALD循環之外,包含有至少一中間處理製程。ALD循環可重複進行直到含鉿層具有一預定厚度。
本發明之實施例係提供製備用於多種應用之材料的方法,特別是用於電晶體及電容器製造之高k(介電常數)介電材料及阻障材料。此方法提供用於氣相沉積腔室之處理製程,以及用於腔室中之基板的處理及沉積製程。在一較佳實施例中,原子層沉積(ALD)製程可用於控制沉積材料之元素成分。ALD製程可在單一基板製程腔室中進行,但較佳地,係在批式腔室中進行。
在一實施例中,製程腔室在例如ALD製程或化學氣相沉積(CVD)製程的沉積製程之前,暴露於預處理製程。在一例子中,進行處理之製程腔室並不含有基板於其中,而在另一例子中,進行處理之製程腔室含有至少一個基板於其中,通常為複數片基板(如25、50、100或更多)。在另一實施例中,製程腔室在沉積製程期間暴露於中間處理製程。在一例子中,沉積製程可停止,而中間處理製程進行,且沉積製程再度開始。在另一例子中,沉積製程停止,而中間處理製程進行,並開始另一沉積製程。在另一實施例中,接續於沉積製程,製程腔室暴露於後處理製程。在一例子中,將基板移除且製程腔室係於不含基板之狀態下進行處理,而在另一例子中,製程腔室在含有一基板或複數片基板之狀態下進行處理。處理製程通常包括在一預定溫度下,而暴露製程腔室或基板至處理氣體一段時間。處理氣體通常含有反應性化合物,例如氨或臭氧。
第1圖中,流程圖繪示在此描述之一實施例之製程100。製程100係提供在製程腔室中進行預處理製程(步驟102)、沉積製程(步驟104)、選擇性中間處理製程(步驟106)及後處理製程(步驟110)。製程100更提供用於重複進行沉積製程及中間處理製程之選擇(步驟108)。
在開始沉積製程之前,可提供預處理氣體至製程腔室以進一步降低污染物(步驟102)。預處理氣體通常考慮後續步驟104之沉積製程而做選擇。預處理氣體可含有反應性氣體及載氣,且包括氮、氬、氦、氫、氧、臭氧、水、氨、矽烷、二矽烷、二硼烷、其衍生物、其電漿或其混合物。在一例子中,在沉積氧化物材料(如氧化鉿、氧化鋁或氧化矽)、矽酸材料(矽酸鉿或矽酸鋯)或鋁酸材料(如鋁酸鉿)之前,預處理氣體可含有一氧化氣體,例如臭氧或水蒸氣。在另一例子中,在沉積如氮化矽或氧氮化矽鉿之氮化物材料之前,預處理氣體可含有一氮化氣體,例如氨、氮或氮電漿。在一些例子中,預處理氣體含有氮、氬、氦、氫、氮氫混合氣體(forming gas)或其混合物。
製程腔室可為批次製程腔室或單一晶圓製程腔室,以藉由如ALD製程或習知CVD製程之氣相沉積製程而形成材料。因此,製程腔室可含有至少一基板或複數片基板。在一例子中,製程腔室為迷你批次(mini-batch)ALD製程腔室,其能容設至少25片基板。可用於此處之實施例的較大批次ALD製程腔室通常具有約50片基板、100片基板或更多的容量。
在步驟102期間的任何部分,基板可被放置在製程腔室。在一例子中,在開始預處理製程前,則將基板放置於製程腔室中。在另一例子中,在預處理製程完成後,才將基板放置於製程腔室中。在另一例子中,基板在預處理製程期間被放置於製程腔室中,使得製程腔室在第一預定時間期間且在基板置於製程腔室之前暴露於預處理氣體,且接著,在第二時間期間,製程腔室和基板兩者暴露於相同或不同的預處理氣體。
在一實施例中,製程腔室為用於氣相沉積製程之批次製程腔室,例如批次ALD腔室。預處理氣體可具有介於約0.1標準公升/每分鐘(standard liters per minute,slm)至約30 slm範圍內之流速,較佳為約1 slm至20 slm,及更佳為約5 slm至10 slm。在預處理製程期間,製程腔室內部可加熱至約100℃至約700℃,較佳為約150℃至約400℃及更佳為約200℃至約300℃範圍內之溫度。製程腔室可維持約1 mTorr(毫托)至約100 Torr(托),較佳為約10 mTorr至約50 Torr及更佳為約5 mTorr至約5 Torr範圍內之壓力下。在一例子中,在形成氮化物材料或氧化物材料期間,製程腔室可維持約0.6 Torr之壓力。在步驟102之整個過程中,製程腔室之溫度及壓力可維持恆定或可調整。在一例子中,預處理製程可在沉積製程開始進行前12小時開始。然而,預處理製程可維持約5分鐘至約6小時,較佳為約10分鐘至約2小時及更佳為約20分鐘至60分鐘範圍內之時間。
在步驟104期間,在製程腔室中進行沉積製程以於基板上形成材料。沉積製程可為氣相沉積製程,如ALD製程或CVD製程,且亦可包括電漿輔助ALD(PE-ALD)、電漿輔助CVD(PE-CVD)、脈衝CVD製程或其組合。在一例子中,ALD製程依序將基板暴露於金屬前驅物及氧化氣體以形成金屬氧化物材料。在另一例子中,ALD製程依序將基板暴露於金屬前驅物、氧化氣體、矽前驅物及氧化氣體以形成金屬矽酸鹽材料。
在沉積步驟期間,沉積之材料可包括介電材料、阻障材料、導電材料、成核/晶種材料或黏著材料。在一實施例中,沉積材料可為含有氧及/或氮與至少一額外元素的介電材料,而該額外元素例如為:鉿、矽、鉭、鈦、鋁、鋯、鑭或其混合物。例如,介電材料可含有氧化鉿、氧化鋯、氧化鉭、氧化鋁、氧化鑭、氧化鈦、氧化矽、氮化矽、其氮氧化物(如HfOx Ny )、其矽酸鹽(如HfSix Oy )、其鋁酸鹽(如HfAlx Oy )、其氮氧化矽化合物(如HfSix Oy Nz )、其衍生物或其組合。在一例子中,介電材料亦可包括多種組成之多層。例如:一層合薄膜之形成可藉由沉積氧化矽層在氧化鉿層上以形成矽酸鉿材料。第三層之氧化鋁可沉積在矽酸鉿上以進一步提供矽酸鋁鉿材料。
在另一實施例中,用於形成介電材料之製程使用含有水蒸氣之氧化氣體。水蒸氣可藉由將氫源氣體與氧源氣體流入含有催化劑之水蒸氣產生器(water vapor generator,WVG)系統形成。可在此使用之利用WVG系統的預處理製程及沉積製程係進一步描述於共同受讓及同時另案待審之美國專利申請案第11/127,767號,2005年5月12日申請,且公開為美國專利公開案US 2005-0271813,在此併入本文以作為參考。
在製程100的步驟106期間,製程腔室可暴露於一選擇性(optional)之中間處理製程。製程腔室的內部可加熱至約100℃至約700℃,較佳為約150℃至約400℃及更佳為約200℃至約300℃範圍內之溫度,以及維持在約1 mTorr至約100 Torr,較佳為約10 mTorr至約50 Torr及更佳為約5 Torr至約10 Torr範圍之壓力下,如約8 Torr。在整個中間處理製程中,製程腔室之溫度及壓力可維持恆定或可調整。處理氣體可在中間處理製程期間注入至製程腔室中,且處理氣體可含有與用於預處理製程(步驟102)或反應物氣體(步驟104)之相同氣體或不同氣體。因此,處理氣體可含有氮、氬、氦、氫、氧、臭氧、水、氨、矽烷、二矽烷、二硼烷、其衍生物、其電漿或其混合物。
在一例子中,於批次製程期間,處理氣體可具有約0.1 slm至30 slm範圍內之流速,較佳為約1 slm至20 slm,及更佳地從約5 slm至10 slm。中間處理製程可持續約5分鐘至約6小時,較佳為約10分鐘至約2小時及更佳為約20分鐘至60分鐘。
在步驟106期間,基板通常保持在製程腔室裡。然而,基板在步驟106的任何部分可以自製程腔室移出。在一例子中,在中間處理製程開始進行之前,基板先自製程腔室移出。在另一例子中,在結束中間處理製程之後,基板才自製程腔室移出。在另一例子中,在中間處理製程期間,基板則自製程腔室移出,使得製程腔室及基板在第一預定時間期間且在基板移出製程腔室之前暴露於預處理氣體,且接著,在第二時間期間,製程腔室則暴露於相同或不同之處理氣體。
在一實施例中,沉積製程停止後,腔室及基板暴露於處理氣體,接著,沉積製程再度開始(步驟108)。因此,處理製程為沉積製程之中間者。步驟104、106及108之循環形成一個沉積/處理循環,其可重複為複數個循環以形成沉積材料。中間處理製程降低在整個製程腔室及在基板上之粒子及其他污染物。在一例子中,在ALD製程期間,中間處理製程可出現於每一ALD循環之後。在另一例子中,中間處理製程可出現於多個ALD循環之後,例如每10個ALD循環或每20個ALD循環之後。在其他例子中,中間處理製程可出現於CVD製程期間,藉此,CVD製程停止之後,處理製程進行一段預定時間,接著CVD製程重新開始以繼續沉積材料於基板上。
在另一實施例中係省略步驟106,則並未進行中間處理製程,且沉積製程結束於步驟108。通常而言,一旦於步驟104期間已形成預定厚度之沉積材料,則沉積製程終止。
在製程100的步驟110期間,製程腔室可暴露於後處理製程。製程腔室的內部可加熱至約100℃至約700℃範圍內之溫度,較佳為約150℃至約400℃及更佳為約200℃至約300℃,以及維持在約1 m Torr至約100 Torr範圍內之壓力下,較佳為約10 mTorr至約50 Torr及更佳為約5 Torr至約10 Torr,如8 Torr之壓力。在步驟110之整個過程中,製程腔室之溫度及壓力可維持恆定或可調整。後處理氣體可在後處理製程期間注入至製程腔室中,且可含有與用作於預處理氣體(步驟102)、反應物氣體(步驟104)或處理氣體(步驟106)之相同氣體或不同氣體。因此,後處理氣體可含有氮、氬、氦、氫、氧、臭氧、水、氨、矽烷、二矽烷、二硼烷、其衍生物、其電漿或其混合物,且可具有介於約0.1 slm至30 slm的流速,較佳為約1 slm至20 slm,及更佳為約5 slm至10 slm範圍內之流速。後處理製程可持續約5分鐘至約6小時,較佳為約10分鐘至約2小時及更佳為約20分鐘至60分鐘。
在步驟110期間的任何部分,基板可自製程腔室移出。在一例子中,在後處理製程開始之前,先將基板自製程腔室移出。在另一例子中,在後處理製程結束之後,再將基板自製程腔室移出。在另一例子中,在後處理製程期間,將基板自製程腔室移出,使得製程腔室及基板在第一預定時間期間且在基板移出製程腔室之前暴露於後處理氣體,且接著,在第二時間期間,製程腔室暴露於相同或不同之後處理氣體。
在另一實施例中,第2圖描述藉由ALD製程而於基板上形成沉積材料(如氧化鉿)的製程200。製程200可含有預處理製程(步驟202)、ALD循環(步驟204-214)及後處理製程(步驟216)。在一例子中,製程200係設置為批次ALD製程,其係含有ALD循環以將基板暴露於單獨引入或與載氣一起引入之第一前驅物(如鉿前驅物),並持續約1秒至約90秒(步驟204)。接著,沖提氣體(purge gas)引入製程腔室中約1秒至約60秒(步驟206)以進行沖提,或者用以移除任何殘餘前驅物或副產物。接著,基板暴露於單獨引入或與載氣一起引入製程腔室之第二前驅物(如O3 或H2 O),並持續約1秒至約90秒(步驟208)。之後,沖提氣體再次導引至製程腔室中約1秒至約60秒(步驟210)。
在一實施例中,ALD循環可在步驟204、206、208及210的每一步驟之後包含有一抽真空(evacuation)步驟。在抽真空步驟期間,若非實質或完全抽真空,則製程腔室至少部分抽真空。抽真空步驟可持續約1秒至約5分鐘,較佳為約5秒至約2分鐘及更佳為約10秒至約60秒。製程腔室可抽真空至約50 mTorr至約5 Torr之壓力範圍內,如約100 mTorr。
可執行一選擇性之中間處理步驟(步驟212)以進一步移除製程腔室中殘留之前驅物氣體、副產物、粒子或其他污染物。中間處理製程可在任何步驟204、206、208或210之後進行,或在步驟204、206、208或210之任何循環之後進行。通常,中間處理步驟在預定溫度下進行約1分鐘至約20分鐘,較佳為約2分鐘至約15分鐘及更佳為約3分鐘至約10分鐘範圍內,如約5分鐘。在一例子中,中間處理製程含有相當化學惰性之處理氣體,例如氮或氬。在另一例子中,處理氣體包括一氧化氣體,其可包括臭氧、氧、水、過氧化氫、其電漿或其混合物。在另一例子中,處理氣體含有一還原氣體,其可包括氫、二硼烷、矽烷、其電漿或其混合物。
每一ALD循環(步驟204至212)在基板上形成一層材料(如氧化鉿)。通常,每一沉積循環形成具有厚度約0.1埃()至約10埃之層。根據特定元件之需求,可能需要進行後續沉積循環以沉積具有所需厚度之材料(步驟214)。如此,沉積循環(步驟204至214)可重複以達到材料的預定厚度。
在步驟202期間,製程腔室可暴露於預處理製程,如本發明中針對步驟102所述。在一例子中,在將基板載入至製程腔室之前,製程腔室暴露於預處理製程。在另一例子中,在預處理製程期間,製程腔室含有至少一基板,較佳為複數片基板。在步驟202期間,可於製程腔室內進行多個預處理製程。因此,製程腔室及基板可各自暴露於不同的預處理製程中。在一例子中,在載入基板前,空的製程腔室可暴露於預處理製程數個小時(如,約6至12小時)。之後,基板裝載入製程腔室且暴露於預處理製程,例如在沉積製程之前的預浸漬(pre-soak)步驟。
基板在暴露於預處理製程或預浸漬步驟之後,基板可具有多種官能基團終端。預浸漬步驟可為整個預處理步驟的一部分。可形成之官能基團包括:羥基(OH)、烷氧基(OR,其中R=Me、Et、Pr或Bu)、氧自由基及胺基(NR或NR2 ,其中R=H、Me、Et、Pr或Bu)。預處理氣體可包括氧(O2 )、臭氧(O3 )、原子氧(O)、水(H2 O)、過氧化氫(H2 O2 )、氧化亞氮(N2 O)、氧化氮(NO)、五氧化二氮(N2 O5 )、二氧化氮(NO2 )、氨(NH3 )、二硼烷(B2 H6 )、矽烷(SiH4 )、二矽烷(Si2 H6 )、六氯二矽烷(Si2 Cl6 )、氫(H2 )、原子氫、原子氮、醇類、胺、其衍生物或其混合物。官能基團可提供即將進入之化學前驅物附著於基板表面的基部。在預處理處製程期間,基板表面可暴露於一試劑約1秒至約2分鐘,較佳為約5秒至約60秒。可在此使用之其他預處理製程、預浸漬製程及沉積製程係進一步描述於共同受讓之美國專利第6,858,547號,及共同受讓且同時另案待審之美國專利申請案第10/302,752號,2002年11月21日申請,且公開號為US 2003-0232501,在此將其整體併入本文以作為參考。
在預浸漬步驟之一例子中,基板暴露於含有水蒸氣之氧化氣體,此水蒸氣係自水蒸氣產生器(WVG)系統而產生。預浸漬製程於基板表面提供羥基終端官能基團,而此官能基團在後續暴露(如步驟204)期間可與胺基型配位基(如TDEAH、TDMAH、TDMAS或Tris-DMAS)反應。使用WVG系統且可於本發明中使用之預處理製程、預浸漬步驟及沉積製程係進一步描述於共同受讓且同時另案待審之美國專利申請案第11/127,767號,2005年5月12日申請,且公開號為US 2005-0271813,在此將其整體併入本文以作為參考。
雖然製程200可用於形成多種材料,但製程200之另一例子係提供形成氧化鉿材料之ALD製程。在一例子中,ALD製程可於迷你批次製程腔室中進行,且腔室中壓力維持約1 mTorr至約100 Torr,較佳為約10 mTorr至約50 Torr及更佳為約5 Torr至約10 Torr範圍內,如8 Torr。製程腔室通常加熱至約70℃至約800℃之溫度,較佳為約100℃至約500℃及更佳為約150℃至約350℃。
第一前驅物(如鉿前驅物)可以約100標準立方公分/每分鐘(standard cubic centimeters per minute,sccm)至約5 slm之速率而引入製程腔室中,較佳為約500 sccm至約4 slm及更佳為約1 slm至3 slm(步驟204)。第一前驅物可與載氣(如氮或氬)一同引入製程腔室中,且歷經約1秒至約5分鐘,較佳為約5秒至約2分鐘及更佳為約10秒至約90秒。在一例子中,第一前驅物為鉿前驅物,例如鹵化鉿(如HfCl4 )或胺基鉿化合物。胺基鉿化合物較佳為肆(二烷基胺基)鉿化合物,其包括四(二乙基胺基)鉿((Et2 N)4 Hf或TDEAH)、四(二甲基胺基)鉿((Me2 N)4 Hf或TDMAH)或四(乙基甲基胺基)鉿((EtMeN)4 Hf或TEMAH)。
第二前驅物(如氧化氣體)可以約100 sccm至約5 slm之速率引入製程腔室中,且較佳為約500 sccm至約4 slm及更佳為約1 slm至3 slm(步驟208)。第二前驅物可與載氣一同引入製程腔室中,並歷經約1秒至約5分鐘,較佳為約5秒至約2分鐘及更佳為約10秒至約90秒。在一例子中,第二前驅物是氧化氣體,例如氧、臭氧、原子氧、水、過氧化氫、氧化亞氮、氧化氮、五氧化二氮、二氧化氮、其衍生物或其混合物。在一較佳例子中,氧化氣體含有臭氧/氧(O3 /O2 )混合物,例如濃度介於約1原子百分比(at%)至約50 at%之臭氧,且較佳為約5 at%至約30 at%及更佳為10 at%至約20 at%。
沖提氣體(如氬或氮)通常以約100 sccm至約5 slm之速率引入製程腔室中,較佳為約500 sccm至約4 slm及更佳為約1 slm至3 slm(步驟206及210)。沖提氣體引入製程腔室之時間為約1秒至約5分鐘,且較佳為約5秒至約2分鐘及更佳為約1秒至約90秒範圍。適合之載氣或沖提氣體可包括氬、氮、氦、氫、氮氫混合氣體或其混合物。
在一實施例中,氫氣或氮氫混合氣體可用作為載氣、沖提氣體及/或反應物氣體,以降低來自沉積材料之鹵素污染物。含有鹵素原子之前驅物(如HfCl4 、SiCl4 或Si2 Cl6 )容易污染沉積材料。氫為還原物,並可產生鹵化氫(如:HCl)而作為揮發性且可移除之副產物。因此,當氫氣與前驅物化合物(如鉿、矽、氧前驅物)結合時,可作為載氣或反應物氣體,且可包括其他載氣(如氫或氮)。
可用於沉積含鉿材料之例示鉿前驅物通常含有配位基,例如鹵化物、烷基胺基、環戊二烯基、烷基、烷氧基、其衍生物或或其混合物。可用於鉿前驅物之鹵化鉿化合物可包括HfCl4 、HfI4 及HfBr4 。可用於鉿前驅物之烷基胺基鉿化合物包括(RR’N)4 Hf,其中R或R’係各自為氫、甲基、乙基、丙基或丁基。在此描述用於沉積含鉿材料之鉿前驅物 包括(Et2 N)4 Hf、(EtMe)4 Hf、(MeEtN)4 Hf、(t BuC5 H4 )2 HfCl2 、(C5 H5 )2 HfCl2 、(EtC5 H4 )2 HfCl2 、(Me5 H5 )2 HfCl2 、(Me5 H5 )HfCl3 、(iPrC5 H4 )2 HfCl2 、(i PrC5 H4 )HfCl3 、(t BuC5 H4 )2 HfMe2 、(acac)4 Hf、(hfac)4 Hf、(tfac)4 Hf、(thd)4 Hf、(NO3 )4 Hf、(t BuO)4 Hf、(i PrO)4 Hf、(EtO)4 Hf、(MeO)4 Hf或其衍生物。較佳的,可用於此處之沉積製程中的鉿前驅物包括HfCl4 、(Et2 N)4 Hf、(Me2 N)4 Hf以及(EtMeN)4 Hf。
用於沉積含矽材料(如矽酸鹽)之例示矽前驅物可包括:矽烷、烷基胺基矽烷、矽烷醇或烷氧基矽烷。矽前驅物可包括:(Me2 N)4 Si、(Me2 N)3 SiH、(Me2 N)2 SiH2 、(Me2 N)SiH3 、(Et2 N)4 Si、(Et2 N)3 SiH、(MeEtN)4 Si、(MeEtN)3 SiH、Si(NCO)4 、MeSi(NCO)3 、SiH4 、Si2 H6 、SiCl4 、Si2 Cl6 、MeSiCl3 、HSiCl3 、Me2 SiCl2 、H2 SiCl2 、MeSi(OH)3 、Me2 Si(OH)2 、(MeO)4 Si、(EtO)4 Si或其衍生物。其他可作為矽前驅物之烷基胺基矽烷化合物包括:(RR’N)4 n SiHn ,其中R或R’係各自為氫、甲基、乙基、丙基或丁基,且n=0至3。其他烷氧基矽烷可以化學通式(RO)4 n SiLn 描述,其中R為甲基、乙基、丙基或丁基,且L為H、OH、F、Cl、Br或I及其混合物。較佳地,在此可用於沉積製程期間之矽前驅物包括:(Me2 N)3 SiH、(Et2 N)3 SiH、(Me2 N)4 Si、(Et2 N)4 Si或SiH4 。例示之氮前驅物可包括氨(NH3 )、氮(N2 )、聯胺(如N2 H4 或MeN2 H3 )、胺(如Me3 N,Me2 NH,or MeNH2 )、苯胺(如C6 H5 NH2 )、有機疊氮化合物(如MeN3 或Me3 SiN3 )、無機疊氮化合物(如NaN3 或Cp2 CoN3)、自由基氮化合物(如N3 、N2 、N、NH或NH2 )、其衍生物、或其混合物。自由基氮化合物可由加熱、熱金屬絲(hot wire)或電漿產生。
在製程200期間重複ALD循環以形成具有預定厚度之沉積材料。在ALD製程期間形成之沉積材料可具有約5埃至約300埃之厚度,較佳為約10埃至約200埃及最佳為約20埃至約100埃。在一些例子中,氧化鉿可沉積至具有約10埃至約60埃之厚度,較佳為約30埃至約40埃。通常而言,所形成之氧化鉿材料係具有實驗化學式HfOx ,其中x為2或更少。氧化鉿可具有分子化學式HfO2 ,但藉由改變製程條件(如時間、溫度或前驅物),氧化鉿可形成而具有較少氧化之鉿,例如HfO1 . 8
在步驟216期間,製程腔室可暴露於後處理製程,如本發明中所述之步驟110。在一例子中,在後處理製程開始之前,先將基板自製程腔室移出。在另一例子中,在後處理製程結束之後,再將基板自製程腔室移出。在另一例子中,在後處理製程期間,基板自製程腔室移出,使得製程腔室及基板在第一預定時間期間且在基板移出製程腔室之前暴露於後處理氣體,且接著,在第二時間期間,製程腔室暴露於相同或不同之後處理氣體。
可用於本發明所描述之實施例中進行氣相沉積製程,如原子層沉積(ALD)或習知化學氣相沉積製程(CVD),之批次製程腔室可購自加州聖克拉拉之應用材料公司,且進一步描述於共同受讓之美國專利第6,352,593號及第6,321,680號、共同受讓且同時另案待審之美國專利申請案第10/342,151號(2003年1月13日申請,專利名稱為“Method amd Apparatus for Layer by Layer Deposition of Thin Films”,公開號為US 2003-0134038),以及共同受讓且同時另案待審之美國專利申請案第10/216,079號(2002年8月9日申請,專利名稱為“High Rate Deposition at Low Pressure in a Small Batch Reactor”,且公開號為US 2003-0049372),在此將其整體併入本文以作為參考,而用以描述在沉積製程期間所使用設備。可用於此處所述之實施例的單一晶圓ALD腔室係進一步描述於共同受讓之美國專利案第6,916,398號,以及共同受讓且同時另案待審之美國專利申請案第11/127,753號,其於2005年5月12日申請,且其公開號為US 2005-0271812,兩者皆將其整體在此併入本文以作為參考。
在此所使用之“基板表面”,係指任何基板或形成於基板上之材料表面,且薄膜在此表面上進行處理。例如,可在其上進行處理之基板表面包括材料如矽、氧化矽、應變矽(strained silicon)、絕緣層上覆矽(SOI)、碳摻碳氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、和其他任何材料如金屬、金屬氮化物、金屬合金、及其他導電性材料,係根據應用而定。在基板表面之阻障層、金屬或金屬氮化物包括鈦、氮化鈦、氮化鎢、鉭及氮化鉭。基板可具有多種尺寸,如200 mm或300 mm直徑之晶圓,以及矩形或方形之嵌板。除非另外注釋,在此所描述之實施例與例子係較佳地於具有200 mm直徑或300 mm直徑之基板上進行,且更加為300 mm直徑。在此所描述之實施例製程可將含鉿材料沉積在許多基板和表面上。本發明之實施例可應用之基板包括但不限於為半導體基板,如結晶矽(如矽<110>或矽<111>)、氧化矽、應變矽、矽鍺、摻雜或未摻雜多晶矽、摻雜或未摻雜矽晶圓、及圖案化或未圖案化之晶圓。基板可暴露於後處理製程以拋光、蝕刻、還原、氧化、羥基化、退火及/或烘烤基板表面。
在此所使用之“原子層沉積”或“循環沉積”係指依序引入二或多種反應化合物以將材料層沉積在基板表面上。二、三或多種反應化合物可交替引入製程腔室之反應區。通常,每一反應化合物藉由一延遲時間(time delay)而分開以允許每一化合物黏著在基板表面及/或在基板表面上反應。在一態樣中,第一前驅物或化合物A在第一延遲時間後,脈衝引入反應區。接著,第二前驅物或化合物B在第二延遲時間後,脈衝至反應區。在每一延遲時間中,如氮之沖提氣體係引入製程腔室中以沖提反應區或者自反應區移除任何殘餘反應化合物或副產物。或者,沖提氣體可於整個沉積製程中連續流動,使得在兩個反應化合物之脈衝間的延遲時間只有沖提氣體流。反應化合物係選擇性地脈衝,直到在基板表面上形成所需薄膜或薄膜厚度。在任何方案中,包括脈衝化合物A、沖提氣體、脈衝化合物B及沖提氣體之ALD製程為一循環。循環可起始於化合物A或化合物B,且持續各別循環之順序直到達到具有所需厚度之薄膜。在另一實施例中,含有化合物A之第一前驅物、含有化合物B之第二前驅物及含有化合物C之第三前驅物係各自脈進引入製程腔室中。或者,第一前驅物的脈衝可與第二前驅物的脈衝在時間上重疊,而第三前驅物的脈衝與第一或第二前驅物的脈衝在時間上並不重疊。或者於本發明之ALD製程期間的任何前述步驟或變更可分開或含有抽氣步驟(pump step)。
在此所使用的“脈衝”意指一數量之特定化合物為間歇地或非連續地引入製程腔室之反應區域。在每一脈衝中之特定化合物的數量可隨時間變化,係根據脈衝之持續時間而定。每一脈衝的持續時間根據數種因素而變化,舉例而言,所使用之製程腔室的體積容量、其所連接之真空系統、及特定化合物本身之揮發性/反應性。在此所使用之“半反應”意指接續著一沖提步驟的前驅物步驟之脈衝,或接續著一沖提步驟的沖提步驟脈衝。
實施例
實施例1至9可在ALD批次製程腔室(購自加州聖克拉拉的應用材料公司)及迷你批次製程腔室內進行,如描述於共同受讓之美國專利案第6,352,593及6,321,680號、共同受讓且同時另案待審之美國專利申請案第10/342,151號(2003年1月13日申請,專利名稱為“Method amd Apparatus for Layer by Layer Deposition of Thin Films”,公開號為US 2003-0134038),及共同受讓且同時另案待審之美國專利申請案第10/216,079號(2002年8月9日申請,專利名稱為“High Rate Deposition at Low Pressure in a Small Batch Reactor”,且公開號為US 2003-0049372),在此將其整體併入本文以作為參考,而用於描述進行沉積製程之設備。
實施例1-以O 3 沉積HfO 2 -一批次26片的基板係放置於迷你批次ALD腔室中晶舟(boat)之承載器上。反應器以約5 slm之氮氣流而在0.6 Torr及真空間循環沖提。接著,製程腔室在約250℃下維持在約0.6 Torr之壓力,且流入連續之氮氣流約40分鐘,並以15 at%之O3 (在氧中)進行約30至60秒之預處理。之後,在ALD製程期間藉由將基板依序暴露至鉿前驅物(於氮載氣中的TDMAH)及臭氧以形成氧化鉿層。基板加熱至約250℃且暴露於複數個ALD循環。每一ALD循環包括:將TDMAH流入製程腔室中約30秒,腔室抽真空約10秒,將氮氣(沖提氣體)流入腔室中約15秒,腔室抽真空約15秒,將臭氧流入腔室中約30至60秒,腔室抽真空約10秒,將氮氣流入腔室中約10秒,及腔室抽真空約10秒。ALD循環總共重複17次以形成具有厚度約27埃之氧化鉿層。之後,在中間處理製程期間,製程腔室維持在約0.6 Torr之壓力及約250℃之下,且暴露於含有氮氣及臭氧之處理氣體約5分鐘。接著,ALD循環的17次循環及中間處理製程依序重複而成為一沉積/處理循環。進行3次沉積/處理循環以形成具有厚度約80埃之氧化鉿層。在後處理製程期間,腔室以含有臭氧之後處理氣體循環沖提,並於小於等於0.6 Torr之壓力及250℃之溫度下進行約20次的循環,且以在約0.5 slm及0.6 Torr下之氮氣流進行連續沖提。
實施例2-以H 2 O沉積HfO 2 -一批次26片的基板係放置於迷你批次之ALD腔室中的晶舟之承載器上。在預處理製程期間,製程腔室在約200℃下維持在約6 Torr之壓力,並暴露於含有臭氧(於氧氣中15 at%之臭氧)之預處理氣體約40分鐘。之後,在ALD製程期間藉由依序暴露基板至鉿前驅物(於氮氣載氣中的TDEAH)及水蒸氣(在氮氣載氣中)以形成氧化鉿層。基板加熱至約200℃且暴露於複數個ALD循環。每一ALD循環包括將TDEAH流入腔室中約60秒、腔室抽真空約30秒,將氮氣(沖提氣體)流入腔室中約30秒,腔室抽真空約30秒,將水流入至腔室中約60秒,腔室抽真空約30秒,將氮氣流入腔室中約30秒,及腔室抽真空約30秒。ALD循環總共重複10次以形成具有厚度約12埃之氧化鉿層。之後,在中間處理製程期間,製程腔室於約200℃下而維持在約6 Torr之壓力,並暴露於含有氮之處理氣體約5分鐘。接著,ALD循環的10次循環及中間處理製程依序重複成為一沉積/處理循環。沉積/處理循環進行10次以形成具有厚度約120埃之氧化鉿層。在後處理製程期間,腔室於200℃下維持在約6 Torr之壓力約40分鐘,且暴露在含有臭氧之後處理氣體中。
實施例3-HfO 2 均相奈米夾層膜(nanolaminate) -一批次26片的基板係放置於迷你批次ALD腔室中的晶舟之承載器上。反應器以約5 slm之氮氣流在0.6 Torr及真空間循環沖提。接著,製程腔室在約250℃下而維持在約0.6 Torr之壓力,且流入連續的氮氣流約40分鐘,且以15 at% O3 (在氧中)進行預處理約30至60秒。之後,在ALD製程期間,藉由依序將基板暴露至鉿前驅物(在氮氣載氣中的TDEAH)與臭氧,以及鉿前驅物與水蒸氣而形成氧化鉿層。基板維持在約250℃下,且暴露於複數個ALD循環。
第一ALD循環包括將TDEAH流入製程腔室中約60秒,腔室抽真空約30秒,將氮氣(沖提氣體)流入腔室中約30秒,腔室抽真空約30秒,將臭氧流入腔室中約60秒,腔室抽真空約30秒,將氮氣流入腔室中約30秒,及腔室抽真空約30秒。ALD循環總共重複5次以形成具有厚度約10埃之氧化鉿層。之後,在第一中間處理製程期間,製程腔室於約300℃下而維持在約8 Torr之壓力,並暴露於含有氮及15 at%臭氧之第一處理氣體約5分鐘,使得ALD循環及第一中間處理製程可重複成為一第一沉積/處理循環。
第二ALD循環包括將TDEAH流入製程腔室中約60秒,腔室抽真空約30秒,將氮氣(沖提氣體)流入腔室中約30秒,腔室抽真空約30秒,將水蒸氣流入腔室中約60秒,腔室抽真空約30秒,將氮氣流入腔室中約30秒,及腔室抽真空約30秒。ALD循環總共重複5次以形成具有厚度約10埃之氧化鉿層。之後,在第二中間處理製程期間,製程腔室係於約300℃下而維持在約8 Torr之壓力,並且暴露於含有氮之第二處理氣體約5分鐘,使得ALD循環及第二中間處理製程可重複成為一第二沉積/處理循環。
含有第一沉積/處理循環,並接續進行第二沉積/處理循環之循環係進行6次,以形成具有厚度約120埃之氧化鉿層。在後處理製程期間,腔室壓力係於約250℃下而維持在約8 Torr之壓力約40分鐘,並且暴露在含有臭氧之後處理氣體中。
實施例4-以O 3 沉積SiO 2 -一批次26片的基板係放置於迷你批次ALD腔室中的晶舟之承載器上。反應器以約5 slm之氮氣流而在8 Torr及真空間循環沖提。接著,製程腔室於約300℃下而維持在約8 Torr之壓力,並且連續流入氮氣流約40分鐘,且以15 at% O3 (在氧中)而進行預處理約30至60秒。之後,在ALD製程期間藉由依序暴露基板於矽前驅物(在氮載氣中的Tris-DMAS)與臭氧(在氧氣中15 at%的臭氧)以形成氧化矽層。基板加熱至約300℃且暴露於複數個ALD循環。每一個ALD循環包括將Tris-DMAS流入製程腔室中約45秒,腔室抽真空約20秒,將氮氣(沖提氣體)流入腔室中約20秒,腔室抽真空約20秒,將臭氧流入腔室中約45秒,腔室抽真空約20秒,將氮氣流入腔室中約20秒,及腔室抽真空約20秒。ALD循環總共重複20次以形成具有厚度約25埃之氧化矽層。之後,在中間處理製程期間,製程腔室於約300℃下而維持在約8 Torr之壓力,並且暴露於含有氮之處理氣體約6分鐘。接著,ALD循環的20次循環及中間處理製程依序重複而成為一沉積/處理循環。沉積/處理循環進行8次以形成具有厚度約200埃之氧化矽層。在後處理製程期間,腔室係於300℃下而維持在約8 Torr之壓力約30分鐘,並且暴露在含有臭氧之後處理氣體中。
實施例5-以O 3 沉積Al 2 O 3 -一批次26片的基板係放置於迷你批次ALD腔室中的晶舟之承載器上。在預處理製程期間,製程腔室於約280℃下而維持在約5 Torr之壓力,並且暴露於含有臭氧(在氧氣中10 at%的臭氧)之預處理氣體約30分鐘。之後,在ALD製程期間藉由依序將基板暴露至鋁前驅物(三甲基鋁-TMA)及臭氧(在氧氣中10 at%的臭氧)以形成氧化鋁層。基板係維持在約280℃且暴露於複數個ALD循環。每一ALD循環包括將TMA流入製程腔室中約5秒,腔室抽真空約8秒,將氮氣(沖提氣體)流入腔室中約6秒,腔室抽真空約10秒,將臭氧流入腔室中約15秒,腔室抽真空約20秒,將氮氣流入腔室中約20秒,及腔室抽真空約20秒。ALD循環總共重複15次以形成具有厚度約20埃之氧化鋁層。之後,在中間處理製程期間,製程腔室於約300℃下而維持在約5 Torr之壓力,並且暴露於含有氮之處理氣體約4分鐘。接著,ALD循環的15次循環及中間處理製程依序重複而成為一沉積/處理循環。沉積/處理循環進行6次以形成具有厚度約120埃之氧化鋁層。在後處理製程期間,腔室於300℃下而維持在約5 Torr之壓力約30分鐘,且暴露在含有臭氧之後處理氣體中。
實施例6-以O 3 沉積HfSiO 4 -一批次26片的基板係放置於迷你批次ALD腔室中之晶舟的承載器上。在預處理製程期間,製程腔室係於約250℃下而維持在約8 Torr之壓力,並且暴露於含有臭氧(在氧氣中15 at%的臭氧)之預處理氣體約40分鐘。之後,在ALD製程期間藉由依序將基板暴露於鉿前驅物(在氮氣載氣中的TDEAH)、臭氧(在氧氣中的15 at%臭氧)、矽前驅物(在氮氣載氣中的Tris-DMAS)及臭氧以形成矽酸鉿層。基板加熱至約300℃且暴露於複數個ALD循環。每一個ALD循環包括將TDEAH流入製程腔室約60秒,腔室抽真空約30秒,將氮氣(沖提氣體)流入腔室中約30秒,腔室抽真空約30秒,將臭氧流入腔室中約至60秒,腔室抽真空約30秒,將氮氣流入腔室中約30秒及腔室抽真空約30秒,將Tris-DMAS流入製程腔室中約60秒,腔室抽真空約30秒,將氮氣流入腔室中約30秒,腔室抽真空約30秒,將臭氧流入腔室中約60秒,腔室抽真空約30秒,將氮氣流入腔室中約30秒,及腔室抽真空約30秒。ALD循環總共重複5次以形成具有厚度約20埃之矽酸鉿層。之後,在中間處理製程期間,製程腔室係於約300℃下而維持在約8 Torr之壓力,並且暴露於含有氮氣之處理氣體約5分鐘。接著,ALD循環的5次循環及中間處理製程依序重複而成為一沉積/處理循環。沉積/處理循環進行6次以形成具有厚度約120埃之矽酸鉿層。在後處理製程期間,腔室於約250℃之下而維持約8 Torr之壓力約40分鐘,且暴露於含臭氧之後處理氣體。
實施例7-以O 3 沉積HfSiO 4 (共流) -一批次26片的基板係放置於迷你批次ALD腔室中的晶舟之承載器上。在預處理製程期間,製程腔室係於250℃之下而維持在約8 Torr之壓力,並及暴露於含有臭氧(在氧氣中15 at%的臭氧)之預處理氣體約40分鐘。之後,在ALD製程期間,藉由依序將基板暴露於鉿/矽前驅物(在氮氣載氣中的TDEAH/Tris-DMAS(1:1))及臭氧(在氧氣中15 at%的臭氧)。基板加熱至約300℃且暴露於複數個ALD循環。每一ALD循環包括將TDEAH/Tris-DMAS流入製程腔室中約60秒,腔室抽真空約30秒,將氮氣流入腔室中約30秒,腔室抽真空約30秒,將臭氧流入腔室中約60秒,腔室抽真空約30秒,將氮氣流入腔室中約30秒,及腔室抽真空約30秒。ALD循環總共重複8次以形成具有厚度約20埃之矽酸鉿層。之後,在中間處理製程期間,製程腔室於約300℃之下而維持在約8Torr之壓力,並且暴露於含有氮之處理氣體約5分鐘。接著,ALD循環的8次循環及中間處理製程依序重複而成為一沉積/處理循環。沉積/處理循環進行5次以形成具有厚度約100埃之矽酸鉿層。在後處理製程期間,腔室係於250℃下而維持在約8Torr之壓力約40分鐘,並且暴露於含臭氧之後處理氣體。
實施例8-以Si 2 Cl 6 及NH 3 沉積SiN x -迷你批次ALD腔室在550℃之製程溫度下,以氨(NH3 )之連續流進行處理。NH3 具有約3.5slm之流速,且腔室維持在約8Torr之壓力下約12.5分鐘。之後,腔室抽真空約30秒。接著,腔室以N2 (取代六氯二矽烷;HCD)及NH3 之模擬(simulated)SiNx 製程處理。腔室會裝載入數個裸晶圓(bare wafer)以偵測粒子量。
對於N2 /NH3 製程,腔室以下列製程步驟進行處理。腔室以每步驟約5秒之持續時間而以約6.3slm的N2 流與約0.4slm之氬氣(Ar)流循環沖提五次。壓力固定於約8Torr,腔室持續以約6.3slm的N2 流與約0.4slm之Ar流沖提約45秒。腔室以約1.3slm之N2 流與約0.4slm之Ar流抽真空約15秒。腔室以10次模擬ALD SiNx (N2 /NH3 )之循環進行處理。腔室以約3.5slm的NH3 流與約0.75slm之N2 流循環沖提20次。沖提步驟具有約15秒之持續時間,且抽氣步驟具有約20秒之持續時間。腔室以約6.3slm的N2 流與約0.4slm之Ar流連續沖提。最終,腔室在沒有氣體流的情況抽真空30秒。
關於模擬ALD SiNx 製程,在一實驗中,針對尺寸大於0.12 μ m的添加物(adder)在PM狹縫(PM slot)24中為為26,且在PM狹縫8中為57。腔室接著以10個SiNx 製程循環進行處理以固定在腔室中任何鬆脫粒子。在此腔室之預處理後,產品晶圓之加工可持續直到粒子量大於產品規格或直到腔體閒置多於8小時。當腔室閒置時,腔室應進行模擬ALD SiNx (N2 /N2 )製程。腔室處理之後,基板則放置於用於ALD SiNx 之迷你批次ALD腔室中的晶舟之承載器上。
晶圓接著以下述方式進行處理。腔室以每次約5秒之持續時間及約6.3 slm的N2 流與約0.4 slm之Ar流循環沖提五次。壓力固定於約8 Torr,腔室及基板持續以約6.3 slm的N2 流與約0.4 slm之Ar流沖提約1,765秒。腔室與晶圓以約1.3 slm之N2 流與約0.4 slm之Ar流抽真空經約15秒。腔室與晶圓以任意數目之ALD SiNx (HCD/NH3 )循環進行處理。腔室與晶圓以約3.5 slm的NH3 流與約0.75 slm之N2 流循環沖提20次。沖提步驟持續約15秒,且抽氣步驟持續約20秒。腔室與晶圓以約6.3 slm的N2 流與約0.4 slm之Ar流連續沖提。最終,腔室與晶圓在沒有氣體流之情況下抽真空30秒。經過腔室處理與腔室/晶圓處理,對於ALD SiNx 薄膜厚度接近100埃而言,薄膜中尺寸大於0.2 μ m的粒子添加物通常少於50。若不經過腔室處理與腔室/晶圓處理,對於ALD SiNx 薄膜厚度接近100埃而言,薄膜中尺寸大於0.2μm的粒子添加物通常多於500。
實施例9-以Si 2 Cl 6 及NH 3 沉積SiN x (假設性試驗) -迷你批次ALD腔室在約550℃之製程溫度下,以氨(NH3 )之連續流進行處理。NH3 具有約3.5slm之流速,且腔室維持在約8Torr之壓力下約12.5分鐘。之後,腔室抽真空約30秒。接著,腔室以含有六氯二矽烷(HCD)及NH3 之SiNx 製程進行處理。腔室會裝載入數個裸晶圓以偵測粒子量。
對於製程之NH3 步驟,腔室以下列之製程步驟進行處理。腔室以每步驟約5秒之持續時間以約6.3slm的HCD流與約0.4slm之Ar流循環沖提五次。壓力固定於約8Torr,腔室持續以約6.3slm的HCD流與約0.4slm之Ar流沖提約45秒。腔室以約1.3slm之HCD流與約0.4slm之Ar流抽真空經約15秒。腔室以10個ALD SiNx (HCD/NH3 )循環進行處理。腔室以約3.5slm的NH3 流與約0.75slm之HCD流循環沖提20次。沖提步驟持續約15秒,且抽氣步驟持續約20秒。腔室以約6.3slm的HCD流與約0.4slm之Ar流連續沖提。最終,腔室在沒有氣體流之情況下抽真空30秒。
關於ALD SiNx 製程,在一實驗中,針對尺寸大於0.12μm之添加物在PM狹縫24中為26,且在PM狹縫8中為57。腔室接著以10次SiNx 製程循環進行處理以固定在腔室中任何鬆脫粒子。在此腔室之預處理後,產品晶圓之加工可持續直到粒子量大於產品規格或直到腔室閒置多於8小時。當腔室閒置時,腔室應進行ALD SiNx 製程。腔室處理之後,基板係放置於用於ALD SiNx 之迷你批次ALD腔室中的晶舟之承載器上。
晶圓接著以後續方式進行處理。腔室以每次持續約5秒且以約6.3 slm的HCD流與約0.4 slm之Ar流之循環沖提五次。壓力固定於約8 Torr,腔室及晶圓持續以約6.3 slm的HCD流與約0.4 slm之Ar流沖提約1,765秒。腔室與晶圓以約1.3 slm之HCD流與約0.4 slm之Ar流抽真空約15秒。腔室與晶圓以任意數目之ALD SiNx (HCD/NH3 )循環進行處理。腔室與晶圓以約3.5 slm的HCD流與約0.75 slm之N2 流循環沖提20次。沖提步驟持續約15秒,且抽氣步驟持續約20秒。腔室與晶圓以約6.3 slm的HCD流與約0.4 slm之Ar流連續沖提。最終,腔室與晶圓在沒有氣體流之情況下抽真空經30秒。經過腔室處理與腔室/晶圓處理,對於ALD SiNx 薄膜厚度接近100埃而言,薄膜中尺寸大於0.2 μ m的粒子添加物通常少於50。若不經過腔室處理與腔室/晶圓處理,對於ALD SiNx 薄膜厚度接近100埃而言,薄膜中尺寸大於0.2 μ m的粒子添加物通常多於500。
惟本發明雖以較佳實施例說明如上,然其並非用以限定本發明,任何熟習此技術人員,在不脫離本發明的精神和範圍內所作的更動與潤飾,仍應屬本發明的技術範疇,且本發明之範圍係由所附申請專利範圍來界定之。
100...製程
200...製程
本發明之上述特徵的進行方式可更詳細瞭解,簡要摘要如上且針對本發明之更特定的描述可經由參考實施例而得知,部分實施例係繪示於所附圖式中。然而,可瞭解所附圖式僅繪示本發明之典型實施例,而不會限制其範圍,因為本發明可容許其他等效實施例。
第1圖繪示根據本發明之實施例的製程順序;以及第2圖繪示根據本發明之另一實施例的製程順序。
100...製程

Claims (20)

  1. 一種在一製程腔室中的一基板上形成一材料的方法,其至少包含:將一製程腔室暴露於一預處理製程;將該製程腔室中的至少一基板暴露於一原子層沉積(ALD)製程以沉積一氧化鉿材料於該基板上,該ALD製程包含:在一ALD循環期間,將該至少一基板循序暴露於至少二化學前驅物,其中該至少二化學前驅物之一者是一鹵化鉿化合物或一胺基鉿化合物;以一預定之循環數重複該ALD循環;以及在每一該預定之循環數後進行一處理製程;以及將該製程腔室暴露於一後處理製程,該後處理製程包括:將該製程腔室暴露於包含臭氧與氧的一後處理氣體。
  2. 如申請專利範圍第1項所述之方法,其中上述之製程腔室為一批次(batch)製程腔室。
  3. 如申請專利範圍第2項所述之方法,其中上述之至少一基板為含有大於或等於約25片基板之複數片基板。
  4. 如申請專利範圍第3項所述之方法,其中上述之複數片基板含有約100片基板。
  5. 如申請專利範圍第1項所述之方法,其中上述之預處理製程包含一處理氣體,且該處理氣體係選自由一惰性氣體、一氧化氣體、一氮化氣體、一還原氣體、其電漿、其衍生物及其混合物所組成之群組。
  6. 如申請專利範圍第5項所述之方法,其中上述之預處理製程包含一處理氣體,且該處理氣體係選自由臭氧、水、氨、氮、氬、氫、其電漿、其衍生物及其混合物所組成之群組。
  7. 一種在一製程腔室中的一基板上形成一材料的方法,其至少包含:將一批次製程腔室暴露於一預處理製程;將該批次製程腔室中的複數片基板暴露於一ALD製程,以在該些基板上形成一氧化鉿材料,其中該ALD製程包含:在一ALD循環期間,將該基板循序暴露於一第一化學前驅物及一第二化學前驅物;以及重複該ALD循環以形成具有一預定厚度之該材料 的一層;在該ALD製程期間進行至少一處理製程;以及將該批次製程腔室暴露於一後處理製程,該後處理製程包括:將該批次製程腔室暴露於包含臭氧與氧的一後處理氣體。
  8. 如申請專利範圍第7項所述之方法,其中上述之至少一處理製程在具有一預定循環數目之該ALD循環之後進行。
  9. 如申請專利範圍第8項所述之方法,其中在一製程循環期間,該至少一處理製程及具有該預定循環數目之該ALD循環係重複進行。
  10. 如申請專利範圍第9項所述之方法,其中上述之製程循環係重複進行以形成該材料。
  11. 如申請專利範圍第10項所述之方法,其中上述之複數片基板含有大於或等於約25個基板。
  12. 如申請專利範圍第7項所述之方法,其中上述之預處理製程包含一處理氣體,該處理氣體係選自由臭氧、水、氨、氮、氬、氫、其電漿、其衍生物及其混合物所組成之 群組。
  13. 如申請專利範圍第12項所述之方法,其中上述之複數片基板含有大於或等於約25個基板。
  14. 如申請專利範圍第13項所述之方法,其中上述之預處理製程包含一處理氣體,該處理氣體係選自由臭氧、水、氨、氮、氬、氫、其電漿、其衍生物及其混合物所組成之群組。
  15. 一種在一製程腔室中的一基板上形成一材料的方法,其至少包含:將一批次製程腔室暴露於一預處理製程;將該批次製程腔室中的複數片基板暴露於一ALD製程,以在該些基板上形成含鉿材料,其中該ALD製程包含:在一ALD循環期間,將該基板循序暴露於一鉿前驅物及一氧化氣體,該鉿前驅物選自由一鹵化鉿化合物與一氨基鉿化合物所組成的群組;以及重複該ALD循環以形成具有一預定厚度之一含鉿層;在該ALD製程期間進行至少一處理製程;以及 將該批次製程腔室暴露於一後處理製程,該後處理製程包括:將該批次製程腔室暴露於包含臭氧與氧的一後處理氣體,該後處理氣體具有一臭氧濃度,該臭氧濃度介於5原子百分比至30原子百分比之間。
  16. 如申請專利範圍第15項所述之方法,其中上述之至少一處理製程係在具有一預定循環數目之該ALD循環之後進行。
  17. 如申請專利範圍第16項所述之方法,其中在一製程循環期間,該至少一處理製程及具有該預定循環數目之該ALD循環係重複進行。
  18. 如申請專利範圍第17項所述之方法,其中上述之製程循環係重複進行以形成該材料。
  19. 如申請專利範圍第15項所述之方法,其中上述之複數片基板含有大於或等於約25片基板。
  20. 如申請專利範圍第19項所述之方法,其中上述之預處理製程包含一處理氣體,該處理氣體係選自由臭氧、水、氨、氮、氬、氫、其電漿、其衍生物及其混合物所組成之群組。
TW095134871A 2005-09-21 2006-09-20 用於批次原子層沈積反應器之處理製程 TWI426547B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/232,455 US20070065578A1 (en) 2005-09-21 2005-09-21 Treatment processes for a batch ALD reactor

Publications (2)

Publication Number Publication Date
TW200721272A TW200721272A (en) 2007-06-01
TWI426547B true TWI426547B (zh) 2014-02-11

Family

ID=37884492

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095134871A TWI426547B (zh) 2005-09-21 2006-09-20 用於批次原子層沈積反應器之處理製程

Country Status (6)

Country Link
US (1) US20070065578A1 (zh)
JP (1) JP5813281B2 (zh)
KR (1) KR20080050510A (zh)
CN (1) CN101553597A (zh)
TW (1) TWI426547B (zh)
WO (1) WO2007038050A2 (zh)

Families Citing this family (456)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7037863B2 (en) * 2002-09-10 2006-05-02 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers over interfacial layers in integrated circuit devices
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
GB0522471D0 (en) * 2005-11-03 2005-12-14 Cavendish Kinetics Ltd Memory element fabricated using atomic layer deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
JP4916257B2 (ja) * 2006-09-06 2012-04-11 東京エレクトロン株式会社 酸化膜の形成方法、酸化膜の形成装置及びプログラム
US7939455B2 (en) * 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
JP2008192686A (ja) * 2007-02-01 2008-08-21 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP5039396B2 (ja) * 2007-02-19 2012-10-03 ローム株式会社 半導体装置の製造方法
US7494937B2 (en) * 2007-03-30 2009-02-24 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US7531452B2 (en) * 2007-03-30 2009-05-12 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US8636019B2 (en) * 2007-04-25 2014-01-28 Edwards Vacuum, Inc. In-situ removal of semiconductor process residues from dry pump surfaces
CN101308794B (zh) * 2007-05-15 2010-09-15 应用材料股份有限公司 钨材料的原子层沉积
US7928019B2 (en) * 2007-08-10 2011-04-19 Micron Technology, Inc. Semiconductor processing
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
JP5098882B2 (ja) * 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
JP5344873B2 (ja) * 2008-08-28 2013-11-20 三菱電機株式会社 炭化珪素半導体装置の製造方法
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR101258630B1 (ko) * 2008-11-21 2013-04-26 고쿠리츠다이가쿠호진 나가오카기쥬츠가가쿠다이가쿠 기판 처리 방법 및 기판 처리 장치
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US9159551B2 (en) 2009-07-02 2015-10-13 Micron Technology, Inc. Methods of forming capacitors
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2499274B1 (en) * 2009-11-09 2016-04-20 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Deposition methods using hafnium-containing compounds
JP5770892B2 (ja) * 2009-11-20 2015-08-26 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5813303B2 (ja) 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
SG181670A1 (en) * 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
JP2013521650A (ja) * 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
JP5423529B2 (ja) * 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR101147727B1 (ko) * 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
KR101657341B1 (ko) * 2011-04-25 2016-09-13 도쿄엘렉트론가부시키가이샤 성막 방법
KR101895398B1 (ko) 2011-04-28 2018-10-25 삼성전자 주식회사 산화물 층의 형성 방법 및 이를 포함하는 반도체 소자의 제조 방법
PT2714263T (pt) * 2011-06-03 2020-02-20 North Western Univ Composição de catalisador metálico
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130252440A1 (en) * 2011-09-26 2013-09-26 Applied Materials, Inc. Pretreatment and improved dielectric coverage
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
JP5761724B2 (ja) * 2012-01-24 2015-08-12 文彦 廣瀬 薄膜形成方法および装置
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
GB201206096D0 (en) * 2012-04-05 2012-05-16 Dyson Technology Ltd Atomic layer deposition
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI498450B (zh) * 2012-11-22 2015-09-01 Nat Applied Res Laboratories Closed flow channel reaction tank system for manufacturing catalyst or support material
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) * 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6125279B2 (ja) * 2013-03-05 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
EP3022329A4 (en) * 2013-07-16 2017-03-22 3M Innovative Properties Company Sheet coating method
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
JP5692337B2 (ja) * 2013-11-25 2015-04-01 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6577695B2 (ja) * 2013-12-18 2019-09-18 大陽日酸株式会社 シリコン窒化膜の形成方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11326254B2 (en) * 2014-03-03 2022-05-10 Picosun Oy Protecting an interior of a gas container with an ALD coating
US9447498B2 (en) * 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6196925B2 (ja) * 2014-03-26 2017-09-13 東京エレクトロン株式会社 薄膜形成装置の立ち上げ方法、及び、薄膜形成装置
JP2015188028A (ja) * 2014-03-27 2015-10-29 東京エレクトロン株式会社 薄膜形成方法、及び、薄膜形成装置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
JP6363408B2 (ja) * 2014-06-23 2018-07-25 東京エレクトロン株式会社 成膜装置および成膜方法
JP6528366B2 (ja) * 2014-07-08 2019-06-12 豊田合成株式会社 縦型トレンチmosfetの製造方法
JP6347544B2 (ja) * 2014-07-09 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN111477657B (zh) 2014-10-28 2024-03-05 株式会社半导体能源研究所 功能面板、功能面板的制造方法、模块、数据处理装置
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) * 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP6688949B2 (ja) * 2015-07-29 2020-04-28 コリア リサーチ インスティチュート オブ スタンダーズ アンド サイエンス 2次元遷移金属ジカルコゲナイド薄膜の製造方法
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
JP6938491B2 (ja) * 2015-11-13 2021-09-22 アプライド マテリアルズ インコーポレイテッドApplied Materials, Inc. 半導体デバイスの処理方法並びに半導体デバイスの処理システムおよび装置
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10153156B2 (en) * 2016-12-15 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma enhanced atomic layer deposition
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10600648B2 (en) * 2017-04-20 2020-03-24 Lam Research Corporation Silicon-based deposition for semiconductor processing
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10633740B2 (en) 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US10668511B2 (en) * 2018-03-20 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of cleaning process chamber
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
TWI811348B (zh) * 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10971357B2 (en) * 2018-10-04 2021-04-06 Applied Materials, Inc. Thin film treatment process
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
DE102019101061B4 (de) * 2019-01-16 2022-02-17 Infineon Technologies Ag Verfahren zum ausbilden einer kontaktstruktur, verfahren zum ausbilden eines chipgehäuses und chipgehäuse
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2019071497A (ja) * 2019-02-13 2019-05-09 豊田合成株式会社 半導体装置およびその製造方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
WO2020214732A1 (en) * 2019-04-19 2020-10-22 Lam Research Corporation Rapid flush purging during atomic layer deposition
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US10872763B2 (en) * 2019-05-03 2020-12-22 Applied Materials, Inc. Treatments to enhance material structures
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN115734826A (zh) 2020-07-03 2023-03-03 应用材料公司 用于翻新航空部件的方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11846021B2 (en) * 2020-09-30 2023-12-19 Uchicago Argonne, Llc Antimicrobial coatings
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200407981A (en) * 2002-07-08 2004-05-16 Samsung Electronics Co Ltd Method for forming silicon dioxide layers on substrate using atomic layer deposition
US20040221807A1 (en) * 2003-05-09 2004-11-11 Mohith Verghese Reactor surface passivation through chemical deactivation
US20050009325A1 (en) * 2003-06-18 2005-01-13 Hua Chung Atomic layer deposition of barrier materials

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
JP2764472B2 (ja) * 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
DE4202158C1 (zh) * 1992-01-27 1993-07-22 Siemens Ag, 8000 Muenchen, De
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6043177A (en) * 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10308283A (ja) * 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US6167837B1 (en) * 1998-01-15 2001-01-02 Torrex Equipment Corp. Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100275727B1 (ko) * 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
DE19843151C2 (de) * 1998-09-21 2001-03-08 Alfing Montagetechnik Gmbh Bearbeitungsvorrichtung mit mindestens einem Bearbeitungswerkzeug
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6753556B2 (en) * 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
US7094284B2 (en) * 1999-10-07 2006-08-22 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
WO2001038486A2 (en) * 1999-11-22 2001-05-31 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
KR100705926B1 (ko) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1266054B1 (en) * 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100427423B1 (ko) * 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Cvd용 인너튜브
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
KR100545706B1 (ko) * 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
DE10034003A1 (de) * 2000-07-07 2002-01-24 Infineon Technologies Ag Grabenkondensator mit Isolationskragen und entsprechendes Herstellungsverfahren
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
WO2002097864A2 (en) * 2001-05-30 2002-12-05 Asm America, Inc Low temperature load and bake
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
JP4680429B2 (ja) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
US20030010421A1 (en) * 2001-07-11 2003-01-16 Coffin Joseph H. Method for fabricating structural materials from used tires
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
WO2003081667A1 (en) * 2002-03-26 2003-10-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and production method therefor
JP4007044B2 (ja) * 2002-04-19 2007-11-14 ソニー株式会社 原子層蒸着法を用いた薄膜形成方法
US7183604B2 (en) * 2002-06-10 2007-02-27 Interuniversitair Microelektronica Centrum (Imec Vzw) High dielectric constant device
JP4007864B2 (ja) * 2002-06-21 2007-11-14 富士通株式会社 半導体装置の製造方法
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040029321A1 (en) * 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
JP2005039146A (ja) * 2003-07-18 2005-02-10 Sharp Corp 気相成長装置および気相成長方法
TW200529325A (en) * 2003-09-30 2005-09-01 Aviza Tech Inc Growth of high-k dielectrics by atomic layer deposition
US7468311B2 (en) * 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
JP2005159316A (ja) * 2003-10-30 2005-06-16 Tokyo Electron Ltd 半導体装置の製造方法及び成膜装置並びに記憶媒体
DE102004005385A1 (de) * 2004-02-03 2005-10-20 Infineon Technologies Ag Verwendung von gelösten Hafniumalkoxiden bzw. Zirkoniumalkoxiden als Precursoren für Hafniumoxid- und Hafniumoxynitridschichten bzw. Zirkoniumoxid- und Zirkoniumoxynitridschichten
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200407981A (en) * 2002-07-08 2004-05-16 Samsung Electronics Co Ltd Method for forming silicon dioxide layers on substrate using atomic layer deposition
US20040221807A1 (en) * 2003-05-09 2004-11-11 Mohith Verghese Reactor surface passivation through chemical deactivation
US20050009325A1 (en) * 2003-06-18 2005-01-13 Hua Chung Atomic layer deposition of barrier materials

Also Published As

Publication number Publication date
WO2007038050A3 (en) 2009-04-16
KR20080050510A (ko) 2008-06-05
WO2007038050A8 (en) 2008-04-17
JP2009509039A (ja) 2009-03-05
TW200721272A (en) 2007-06-01
CN101553597A (zh) 2009-10-07
US20070065578A1 (en) 2007-03-22
JP5813281B2 (ja) 2015-11-17
WO2007038050A2 (en) 2007-04-05

Similar Documents

Publication Publication Date Title
TWI426547B (zh) 用於批次原子層沈積反應器之處理製程
US7972978B2 (en) Pretreatment processes within a batch ALD reactor
KR102434954B1 (ko) 금속 표면들 상에 블로킹 층들을 증착시키기 위한 방법들
KR101427142B1 (ko) 금속 규산염 막의 원자층 증착
KR101442212B1 (ko) 금속 실리케이트 막들의 원자층 증착
JP4746269B2 (ja) 低温度におけるゲートスタック製造方法
JP5307513B2 (ja) Ald法又はcvd法による金属含有膜の調製
US20060062917A1 (en) Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
KR20160095643A (ko) 선택적 퇴적
JP2007515786A (ja) 高誘電率誘電体膜の窒化方法
KR20080011236A (ko) 유전체 물질의 플라즈마 처리
JP2009004786A (ja) パルスcvdとaldの併用による薄膜の堆積方法
WO2001045158A1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP2006522225A (ja) 窒化ハフニウム堆積の方法
KR20090092728A (ko) 원자층 증착 기술을 이용한 도핑 방법
JP2020133002A (ja) 反応チャンバーにおいて循環堆積プロセスにより基材上に酸化ハフニウムランタン膜を堆積させるための方法
WO2014152826A1 (en) Deposition of films using disiloxane precursors
KR100920402B1 (ko) 저온 게이트 스택
TWI841680B (zh) 於反應腔室中藉由循環沉積製程於基板上沉積鉿鑭氧化物膜之方法
EP1425785A2 (en) Method of fabricating a gate stack at low temperature