JP2007515786A - 高誘電率誘電体膜の窒化方法 - Google Patents

高誘電率誘電体膜の窒化方法 Download PDF

Info

Publication number
JP2007515786A
JP2007515786A JP2006541412A JP2006541412A JP2007515786A JP 2007515786 A JP2007515786 A JP 2007515786A JP 2006541412 A JP2006541412 A JP 2006541412A JP 2006541412 A JP2006541412 A JP 2006541412A JP 2007515786 A JP2007515786 A JP 2007515786A
Authority
JP
Japan
Prior art keywords
metal
silicon
nitrogen
layer
containing precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006541412A
Other languages
English (en)
Inventor
佳秀 千崎
Original Assignee
アヴィザ テクノロジー インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アヴィザ テクノロジー インコーポレイテッド filed Critical アヴィザ テクノロジー インコーポレイテッド
Publication of JP2007515786A publication Critical patent/JP2007515786A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/029Graded interfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • H01L21/3142Deposition using atomic layer deposition techniques [ALD] of nano-laminates, e.g. alternating layers of Al203-Hf02
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Nanotechnology (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本発明は、低温プロセスを用いてhigh−k誘電体膜内に窒素の取り込み(例えば窒化物形成)を促進する。更に、本発明は、原位置法、すなわちhigh−k誘電体膜の形成及び膜の窒化物形成が従来の後処理技術とは対照的に膜の堆積中に同じプロセスチャンバ内で実施される方法を提供する。別の態様では、半導体デバイス内のゲート誘電体層として用いるための多層材料の堆積方法が提供される。
【選択図】図1

Description

(関連出願)
本発明は、引用により全体が本明細書に組み込まれる、2003年11月17日に出願された名称が「ALD of HiSiON with Controlled Thickness and Compositional Gradient」の米国特許仮出願シリアル番号第60/520,964号に対する恩恵及び優先権を主張する。本発明は、2004年4月21日に出願された米国特許出願シリアル番号第10/829,781号の一部継続出願である、2004年6月15日に出願された係属中の米国特許出願シリアル番号第10/869,770号の関連出願であり、これら両開示は、引用により全体が本明細書に組み込まれる。
本発明は、総括的には半導体基板及びウェーハで使用する高誘電率(high−k)を有する誘電体膜の形成に関する。より具体的には、本発明は、低温でのhigh−k誘電体膜への窒素の取り込みに関する。
半導体デバイスの進歩は、このようなデバイスの限界寸法を継続的に小さくすることを必要とする。これらの限界寸法は、線幅、及び構造体の間隔、並びにゲート誘電体層などのクリティカル層の厚さを含む。従来、二酸化ケイ素(SiO2)は、一般的なゲート誘電体層として用いられてきた。これは、低リーク電流、良好な均一性、高移動度(一定のトランジスタ速度)及び熱的に安定しているといった望ましい特性を有する。ゲート誘電体層の厚さの要件は、10Åを下回る等価酸化膜厚(EOT)に近づいている。この厚さでは、電子は、デバイスが「オフ」状態にあるときに極度に高いリーク電流をもたらす、SiO2ゲート誘電体層を突き抜ける「トンネル」を生じる可能性がある。この問題を克服するために、SiO2(誘電率k=3.9)よりも高い電気的誘電率を有する代替の誘電体材料が研究されている。これらの材料は、文字通り「high−k(高誘電率)」材料(通常は誘電率k>10を有するものとして定義される)として知られている。これらの材料を使用することで、ゲート誘電体層の物理的厚さを20Åよりも厚くしながら、依然としてゲート誘電体層について当該業界の電気的要件を満たすことが可能となる。
SiO2をゲート誘電体層と置き換えるために研究されているhigh−k材料は、一般に金属−酸素又は金属−シリコン−酸素の化合物である。ゲート誘電体層としての純金属−酸素化合物の使用は、低移動度(低トランジスタ速度)、下層のシリコン基板との反応性、及びホウ素に対する低拡散ブロック特性を含む幾つかの課題を抱える。金属−シリコン−酸素化合物は、下層のシリコン基板とはあまり反応せず、より良好なホウ素拡散ブロック特性を有するが、低k値であり、従ってより厚い膜の堆積を必要とする。望ましい特性及びトランジスタ性能仕様を維持しながらSiO2ゲート誘電体層のリーク問題を解決するゲート誘電体層の堆積方法を開発することは、望ましい発明となることは明らかである。
当業界が直面している別の問題は、処理中のドーパントの拡散及び誘電体膜の劣化である。この課題に対処するため、窒素を誘電体中に取り込み、酸窒化物を生じさせるようにすることが多い。シリコン酸窒化物のような酸窒化物は、ゲート電極からホウ素のドリフトを抑制し、誘電体内の欠陥の発生を低減するが、熱的に成長した酸窒化物は二酸化ケイ素よりも僅かに高い誘電率しか有さない。更に、シリコン及び二酸化ケイ素の間に形成する規制性界面ネットワークと異なり、シリコン基板と窒化誘電体との間の界面は、電荷捕捉及びヒステリシスを生じ、その両方がしきい値電圧のシフト及び低い電子移動度を引き起こす。従って、ホウ素拡散を抑止するためにシリコン基板すなわち誘電体界面の近く又はこれを覆って選択的に窒素を堆積するシステム及び方法を提供するのが望ましいものとなる。また、誘電体の等価酸化膜厚(EOT)並びにシリコンと窒化誘電体との間の界面の品質に負担をかけることなく、例えばより高い捕捉密度につながるホウ素拡散を抑止するシステム及び方法を提供することが望ましいものとなる。
酸窒化物を生成する2つの一般的な方法は、熱酸窒化法及び遠隔プラズマ窒化法であるが、両技術に関連する幾つかの欠点がある。熱酸窒化法では、窒化を促進するのに高温(700℃よりも高い)が必要とされる。従って、製造における有効原価が高く実効時間が長くなる。更に、高温によって誘電体が結晶化され、電流リークを誘起する恐れのある粒界を生成する可能性がある。遠隔プラズマ窒化法では、ウェーハ全体にわたり窒化層の均一性を制御するのが困難である。プラズマ処理は、一般にN2に対して原子状窒素の再結合を受ける。更に、高エネルギー原子の使用により、誘電体膜に損傷を与えて、構造上の亀裂、欠陥、及び他の不完全性を生じる恐れがある。更に、高エネルギー窒素原子と膜との間の反応から発生した熱により、誘電体層に結晶化を引き起こし、界面不整合及び構造上の欠陥並びに不一致をもたらす可能性がある。従って、更に開発することが必要である。
米国特許仮出願シリアル番号第60/520,964号 米国特許出願シリアル番号第10/829,781号 米国特許出願シリアル番号第10/869,770号 PCT特許出願シリアル番号第PCT/US03/21575号公報
本発明は、低温プロセスを用いてhigh−k誘電体膜内に窒素の取り込み(例えば窒化物形成)を促進する。更に、本発明は、原位置方法を提供し、すなわちhigh−k誘電体膜の形成及び膜の窒化物形成が、従来の後処理技術とは対照的に膜の堆積中に同じプロセスチャンバ内で実施される。
本発明の1つの態様では、本発明は、プロセスチャンバ内に窒化反応物質を含む前駆体を用いて、約500℃以下の温度、典型的には約25℃〜500℃の範囲内、及び更に一般的には約100℃〜400℃の温度範囲などの比較的低温で原子層堆積(ALD)を実施することによりhigh−k誘電体膜内に窒素を取り込む方法を提供する。適切な窒化剤は、アンモニア、重水素化アンモニア、15N−アンモニア、アミン又はアミド、ヒドラジン、アルキルヒドラジン、窒素ガス、一酸化窒素、亜酸化窒素、窒素ラジカル、N−オキシド、ND3、及びこれらの混合物を含む。1つの実施形態において、金属窒化膜は、酸素がこの金属窒化膜を酸化して基板の表面上にhigh−k誘電体膜を形成する酸素含有源内で堆積後のアニールによって酸化される。
別の実施形態において、本発明は、プロセスチャンバにおいて1つ又はそれ以上の基板上にhigh−k誘電体膜を形成する方法であって、各サイクルが約500℃以下の温度で実施され、(a)プロセスチャンバに金属含有前駆体を送って基板の表面上に金属原子の1つ又は複数の層を形成し、(b)過剰な金属含有前駆体をプロセスチャンバから除去し、(c)窒素含有前駆体をプロセスチャンバに送り、そこで窒素が金属原子の層と相互作用して基板上に金属−窒素膜を形成し、(d)過剰な窒素含有前駆体を前記プロセスチャンバから除去することを含む1つ又はそれ以上の原子層堆積サイクルを行う段階を含む方法が提供される。次いで、金属−窒素膜を酸化して、基板の表面上にhigh−k誘電体膜を形成する。
本発明の別の実施形態において、2つの異なる前駆体は、原子層堆積サイクル中に「同時注入」、すなわち共に送られる。例えば、金属含有前駆体及びシリコン含有前駆体は共にプロセスチャンバに送られて基板の表面上に金属及びシリコン原子の1つ又は複数の層を形成する。
別の態様では、本発明は、半導体デバイス内にゲート誘電体として用いるための多層膜の堆積方法を提供する。本方法は、望ましい高移動度の特性及び安定界面が維持されるようにシリコンの濃度が金属の濃度よりも大きい場合に、シリコン基板上に直接堆積される金属−シリコン−酸素層を提供する。本方法は、低リーク電流のような層の望ましい誘電体特性を促進するために、最高レベルの「k−値」を有する誘電体層が形成されるように、シリコン濃度が金属の濃度よりも小さい金属−酸素材料又は金属−シリコン−酸素材料からなる第1の層と共に原位置に堆積された第2の層を提供する。
本方法は更に、第1の2つの層と共に原位置に堆積される第3の層を提供し、該第3の層が、金属−酸素材料又は金属−シリコン−酸素材料からなり、次いで該材料が窒素前駆体と反応して窒素を該第3の層内に取り込む。これは、多層誘電体スタックを通るホウ素の拡散を最小限にする材料の特性を促進するのに役立ち、また結晶化温度を上昇させ、誘電体層の粒界を通って誘起される漏電を抑制する。更に、窒化金属窒化物又は金属シリコン窒化物第3の層は、酸素源と反応して金属酸窒化物又は金属シリコン酸窒化物を形成することができる。この実施形態において、金属酸窒化物(M−O−N)又は金属シリコン酸窒化物(M−Si−O−N)は、多層誘電体スタックを通るホウ素の拡散を最小限にする材料の特性を促進するのに役立ち、また結晶化温度を上昇させ、誘電体層の粒界を通って誘起される漏電を抑制する。酸素源との金属窒化物又は金属シリコン酸窒化物の反応は、熱プラズマ、直接プラズマ、遠隔プラズマ、下流プラズマ、又は紫外フォトンのいずれか1つ或いはこれらの組合せを含む様々なエネルギー手段を用いて促進することができる。多層材料全体を同じプロセスチャンバ内の原位置で順次堆積することができる。
本発明は、本発明の以下の詳細な説明を読み、添付図面を参照することによって更に詳細に説明される。
本発明の方法は、低温プロセスを用いてhigh−k誘電体膜内への窒素の取り込み(例えば窒化物形成)を促進する。更に、本発明は、原位置処理を可能にし、すなわち、後工程段階で膜の窒化物形成を行う従来の技術とは対照的に、high−k誘電体膜の形成と膜の窒化物形成は、膜の堆積中に同じプロセスチャンバで実施される。
本発明の1つの態様では、原子層堆積(ALD)による窒化金属酸化物膜を形成するための方法が提供され、ここでは堆積中に窒素が膜に取り込まれる。一般に本発明の例示的な実施形態は、プロセスチャンバ内に窒化反応物質を含む前駆体又は反応物質を提供する段階と、比較的低温で、例えば約500℃以下の温度、典型的には約25℃〜500℃の範囲内、及び更に通常は約100℃〜400℃の温度範囲で原子層堆積(ALD)を実施する段階とによってhigh−k誘電体膜に窒素を取り込む方法を提供する。
図1を参照すると、基板上に窒素含有high−k誘電体膜を形成するためには、段階100で1つ又はそれ以上の半導体基板を収納するプロセスチャンバに金属含有前駆体ガスをパルスとして送る。金属含有前駆体は、既知の原子層堆積原理に従って1つ又はそれ以上の基板の表面上に化学吸着され、基板の表面上に金属原子の1つ又はそれ以上の層を形成する。ALDプロセスを実施するように構成されたどのようなプロセスチャンバを用いてもよく、このプロセスチャンバは、複数のウェーハを処理するように適合された単一ウェーハチャンバ又はバッチチャンバとして構成することができる。本発明の方法は、どのような特定のタイプのプロセスチャンバにも限定されない。好適なバッチプロセスチャンバの1つの実施例は、公開されたPCT特許出願シリアル番号第PCT/US03/21575号に記載されており、該特許は引用により全体が本明細書に組み込まれる。
プロセスチャンバは、段階102でパージされ、過剰な前駆体を除去する。次いで段階104で、窒素含有前駆体ガスが、パルスとしてプロセスチャンバに送られる。窒素は、基板の表面上で化学吸着されて金属原子の層と反応して、基板の表面上に金属−窒素膜又は層を形成する。次いで、プロセスチャンバは、段階106でパージされ、あらゆる残りの窒素含有前駆体を除去する。プロセスチャンバのパージは、純粋排出によって、又は不活性ガスをプロセスチャンバに貫流させることによって、或いはその両方を組合せて実施することができる。
1つの好ましい実施形態において、金属含有前駆体は、以下の式からなる。
Hf(NRR’)4
式中、R及びR’は、各単独で=C1−C6の線状炭素、分岐炭素、又は環状炭素、もしくは置換炭素基であり、R及びR’は等しいか又はR及びR’は異なるものとすることができ、アンモニア(NH3)は窒素含有前駆体として用いられ、本方法は、約100℃〜400℃の温度範囲で実施されて、ハフニウム窒化(HfN)膜を形成する。好ましくは、ハフニウム含有源は、テトラキス(エチルメチルアミノ)ハフニウム(TEMA−Hf)からなる。
適切な窒化物前駆体には、アンモニア、重水素化アンモニア、15N−アンモニア、アミン又はアミド、ヒドラジン、アルキルヒドラジン、窒素ガス、一酸化窒素、亜酸化窒素、窒素ラジカル、N−オキシド、ND3、及びこれらの混合物が含まれる。
必要に応じて、金属窒化膜は、段階108で膜を酸化することによって酸窒化物又はシリケート膜を形成するよう更に処理することができる。金属窒化膜の酸化は、オゾン、酸素、一重項(signlet)酸素、三重項酸素、水、過酸化水素、空気、亜酸化窒素、一酸化窒素、H22、及びこれらの混合物などの酸化源で実施することができる。金属窒化膜がハフニウム窒化物からなる好ましい実施形態において、膜は約400℃よりも低い温度でオゾンに暴露することによって酸化されハフニウム酸窒化物(HfON)を形成する。この例示的な実施形態は、以下のシーケンスに要約することができ、ここで「p/p」は、別個のパルス化及びパージ段階を意味する。当業界で使用される用語「パルス化」とは、前駆体をプロセスチャンバに送ることを意味する。
Hf(NR24p/p+NH3p/p → HfN → HfON 式(1)
200℃〜400℃ 酸化O3
或いは、金属酸窒化膜は、酸素含有前駆体をパルスとして送ることによってALDサイクル中に酸素の原位置酸化で形成することができる。すなわち以下の式(2)は、HfONを形成するための1つのALDサイクルを示す。好ましい実施形態において、酸素含有前駆体はオゾンからなる。この例示的な実施形態は、以下のシーケンスに要約することができる。
Hf(NR24p/p+NH3p/p + O3p/p → HfON 式(2)
200℃〜400℃
特に有利なことには、本発明の2つの実施形態は、約700℃〜800℃及びこれよりも高い温度で実施されるアンモニアの堆積後のアニールのような従来の窒化技術よりもはるかに低い温度でhigh−k誘電体膜への窒素の取り込みを可能にする。更に、アンモニアの堆積後のアニールは通常、最高5分又はそれ以上のかなり長いプロセス時間を必要とする。対照的に、本発明の方法による誘電体膜への窒素の取り込みは、その時間の半分未満で実施することができる。
本発明の別の態様では、窒化金属−シリコン及び金属−シリコン−酸素膜が形成される。図2を参照すると、本発明による方法の1つの実施形態が示されている。金属及びシリコン含有前駆体ガスは、段階200で1つ又はそれ以上の半導体基板を収容するプロセスチャンバにパルスとして送られる。好ましくは、金属及びシリコン前駆体は、別々にパルス化されるのではなく、単一のパルス化段階でプロセスチャンバに共に送られ、すなわち「同時注入」される。1つのパルス化段階において2つの異なる前駆体をパルス化して送るこの方法は、2004年4月21日に出願された米国特許出願シリアル番号第10/829,781号の一部継続出願である、2004年6月15日に出願された係属中の米国特許出願シリアル番号第10/869,770号に詳細に記載されており、これらは、引用によりその両方の開示事項全体が本明細書に組み込まれる。
金属及びシリコン含有前駆体は、既知の原子層堆積原理による1つ又はそれ以上の基板の表面上に化学吸着され、金属及びシリコン単層を形成する。プロセスチャンバは、段階200でパージされて、過剰な前駆体が除去される。次いで、段階204で、窒素含有前駆体ガスがパルスとしてプロセスチャンバに送られる。窒素は、基板の表面上に化学吸着され、基板上に1つ又はそれ以上の金属−シリコン−窒素膜又は層を形成する。次に段階206で、プロセスチャンバがパージされ、あらゆる残りの窒素含有前駆体が除去される。
1つの好ましい実施形態において、金属含有前駆体は、以下の式からなる。
Hf(NRR’)4
式中、R及びR’は、各単独で=C1−C6線状炭素、分岐炭素、又は環状炭素、もしくは置換炭素基であり、R及びR’は等しいか又はR及びR’は異なるものとすることができ、
シリコン含有前駆体は、以下の式:
Si(NRR’)4
からなり、式中、R及びR’は、各単独で=C1−C6線状炭素、分岐炭素、又は環状炭素、もしくは置換炭素基であり、R及びR’は等しいか又はR及びR’は異なるものとすることができ、
アンモニア(NH3)は、窒素含有前駆体として用いられ、本方法は、約100℃〜400℃の温度範囲で実施されて、ハフニウムシリコン窒化(HfSiN)膜を形成する。好ましくは、ジアルキルアミドリガンドは、Hf及びSi錯体間で同じである。1つの好ましい実施形態において、ハフニウム含有前駆体は、テトラキス(エチルメチルアミノ)ハフニウム(TEMA−Hf)からなり、シリコン含有前駆体は、テトラキス(エチルメチルアミノ)シリコン(TEMA−Si)からなる。
適切な窒化物前駆体には、アンモニア、重水素化アンモニア、15N−アンモニア、アミン又はアミド、ヒドラジン、アルキルヒドラジン、窒素ガス、一酸化窒素、亜酸化窒素、窒素ラジカル、N−オキシド、ND3、及びこれらの混合物が含まれる。
シリコン及びハフニウム前駆体は通常は液体状態であり、蒸発されて処理用のガスを形成する。好ましくは、前駆体は、2004年6月15日に出願された米国特許出願シリアル番号第10/869,770号に記載されているように、1つ又はそれ以上のバブラーシステムを用いて蒸発され、該特許は引用により本明細書に組み込まれる。
金属シリコン窒化膜をは、段階208におけるように、膜を酸化することによって酸窒化物膜を形成するよう更に処理することができる。金属シリコン窒化膜の酸化は、オゾン、酸素、一重項(signlet)酸素、三重項酸素、水、過酸化水素、空気、亜酸化窒素、一酸化窒素、H22、及びこれらの混合物などの適切な酸化源で実施することができる。好ましい実施形態において、膜は約400℃よりも低い温度でオゾンに暴露することによって酸化され、ハフニウムシリコン酸窒化物(HfSiON)を形成する。本方法のこの例示的な実施形態は、以下のシーケンスに要約することができ、ここで「p/p」は、別個のパルス化及びパージ段階を意味する。
[Hf(NR’24+Si(NR’24]p/p+NH3p/p → HfSiN → HfSiON 式(3)
200℃〜400℃ 酸化O3
或いは、金属シリコン酸窒化膜は、膜の堆積後の酸化によるのではなく、酸素含有前駆体をパルスとして送ることによってALDプロセス中に原位置酸化で形成することができる。好ましい実施形態において、酸素含有前駆体はオゾンからなる。この例示的な実施形態は、以下のシーケンスに要約することができる。
[Hf(NR’’24+Si(NR’’24]p/p+NH3p/p+O3p/p→ HfSiON 式(4)
200℃〜400℃
本発明の別の態様では、ナノ積層膜を形成する方法が提供される。本明細書で用いられるナノ積層という用語は、HfN/HfO2又はHfSiN/HfSiO、及び同様のものの交互する層などの膜の多層スタックを有するデバイスを意味する。一般に、個別の層は上述のように形成される。本発明の例示的な実施形態において、ナノ積層膜は、以下のサイクルに従って形成される。
{(Hf(NR24p/p又は+[Hf(NR24+Si(NR24]p/p)+NH3p/p}x回繰り返し

{(Hf(NR24p/p又は+[Hf(NR24+Si(NR24]p/p)+O3p/p}y回繰り返し;
望ましい膜厚が達成されるまでサイクルを繰り返す。 式(5)
本発明の別の態様では、半導体デバイスのゲート誘電体層として用いるために、窒素が多層材料内に取り込まれる該材料の堆積方法が提供される。本発明の第1の段階は、半導体基板上に第1のセットのプロセス条件を用いて第1の組成物を有する第1の層を堆積することである。
第1の層の組成物は、半導体表面に対して高移動度及び安定した界面といった望ましい特性を促進するように選択される。図3を参照すると、第1の層301は、半導体基板300上に形成される。第1の層に用いることができる材料の部類の実施例は金属シリケートを含む。これらの材料は、金属−シリコン−酸素組成物を有する。金属は、Ti、Zr、Hf、Ta、W、Mo、Ni、Cr、Y、La、C、Nb、Zn、Al、Sn、Ce、Pr、Sm、Eu、Tb、Dy、Ho、Er、Tm、Yb、Lu又は同様のもののいずれか1つ又はこれらの組合せを含むことができる。好ましい金属はHfである。第1の層の組成物は、シリコン濃度が金属濃度よりも高いことを意味するシリコンリッチである。これは、金属酸化物の濃度が付加された状態で金属−シリコン−酸素材料をむしろSiO2のように作用させるのに影響がある。従って、第1の層の材料及び誘電体特性は、ゲート誘電体層として使用されるよく知られたSiO2に似たものとなる。その結果、半導体表面に関する高移動度(高速のトランジスタ速度)及び安定界面の望ましい特性が維持されることになる。Siリッチシリケートは一般に低誘電率を有するので、第1の層は可能な限り薄くすべきである。
好ましくは第1の層301は、ハフニウムシリケート(HfxSiyOz)(式中x<y)からなる。この膜は、原子層堆積(ALD)、化学蒸着(CVD)、金属−誘起化学蒸着(MOCVD)、物理蒸着(PVD)、ジェット蒸着、エアロゾル熱分解、ゾルゲルコーティング、スピンオン金属−有機分解法、又は同様のものなどの何らかの手段によって堆積することができる。好ましい堆積方法はALDである。
ハフニウム前駆体は、ハフニウムジアルキルアミド、ハフニウムアルコキシド、ハフニウムジケトネート、ハフニウムクロリド(HfCl4)、及び同様のもの、最も好ましいテトラキス(エチルメチルアミノ)ハフニウム(TEMA−Hf)のいずれか1つ又はこれらの組合せを含むことができる。シリコン前駆体は、アミノシラン、シリコンアルコキシド、シリコンジアルキルアミド、シラン、シリコンクロリド、テトラメチルジシロキサン(TMDSO)及び同様のもの、最も好ましいテトラキス(エチルメチルアミノ)シリコン(TEMA−Si)のいずれか1つ又はこれらの組合せを含むことができる。He、Ar、N2又はこれらの混合物などの不活性ガスは、前駆体のキャリアガス及び希釈剤として用いることができる。酸素源は、オゾン(O3)、酸素(O2)、原子状酸素、水、一酸化窒素(NO)、亜酸化窒素(N2O)、過酸化水素(H22)、アルコール、及び同様のもののいずれか1つ又はこれらの組合せを含むことができ、最も好ましいのはオゾン(O3)である。例示的な実施形態において、Hf(1−x)SixO2(式中x=0〜5)の組成を有する第1の層301は、TEMA−Hf、TEMA−Si、及びO3からALDによって、100℃〜500℃の温度範囲、0.01〜10Torrの圧力範囲、更にTEMA−Hfの流量1〜5,000sccm、TEMA−Siの流量1〜5,000sccm、及びO3の流量1〜10,000sccmで堆積される。結果として得られる膜は、誘電率が4〜10で、CMOSデバイスの純粋SiO2に比べて>70%の移動度を有する。
多層ゲートデバイスを形成するために、第2の組成物を有する第2の層302が、第2のセットのプロセス条件を用いて第1の層301の上に形成される。第2の層の組成物は、望ましい高誘電率を促進するように選択される。第2の層に用いることができる部類の材料の実施例は、金属酸化物又は金属シリケートを含む。これらの金属は、金属−酸素又は金属−シリコン−酸素組成物を有する。金属は、Ti、Zr、Hf、Ta、W、Mo、Ni、Cr、Y、La、C、Nb、Zn、Al、Sn、Ce、Pr、Sm、Eu、Tb、Dy、Ho、Er、Tm、Yb、Lu又は同様のもののいずれか1つ又はこれらの組合せを含むことができる。好ましい金属はハフニウム(Hf)である。金属シリケートの場合の第2の層の組成物は、シリコン濃度が金属濃度よりも低いことを意味する金属リッチである。これは、SiO2の濃度が付加された状態で金属−シリコン−酸素材料をむしろ金属酸化物のように作用させるのに影響がある。従って、第2の層の金属及び誘電体特性は、誘電体層として使用されるよく知られた金属酸化物に似たものとなり、高「k値」を有するようになる。その結果、高誘電率の望ましい特性が維持されることになる。第2の層の厚さは、ゲート誘電体層の望ましい誘電体特性に適合するように選択される必要がある。
好ましい実施形態において、第2の層302は、酸化ハフニウム(HfO2)又はハフニウムシリケート(HfxSiyOz)(式中x<y)の堆積によって形成される。この膜は、原子層堆積(ALD)、化学蒸着(CVD)、金属−誘起化学蒸着(MOCVD)、物理蒸着(PVD)及び同様のものなどの何らかの手段によって堆積することができる。好ましい堆積方法はALDである。
ハフニウム前駆体は、ハフニウムジアルキルアミド、ハフニウムアルコキシド、ハフニウムジケトネート、ハフニウムクロリド(HfCl4)、及び同様のもの、最も好ましいテトラキス(エチルメチルアミノ)ハフニウム(TEMA−Hf)のいずれか1つ又はこれらの組合せを含むことができる。シリコン前駆体は、アミノシラン、シリコンアルコキシド、シリコンジアルキルアミド、シラン、シリコンクロリド、テトラメチルジシロキサン(TMDSO)及び同様のもの、最も好ましいテトラキス(エチルメチルアミノ)シリコン(TEMA−Si)のいずれか1つ又はこれらの組合せを含むことができる。酸素前駆体は、オゾン(O3)、酸素(O2)、原子状酸素、水(H2O)、一酸化窒素(NO)、亜酸化窒素(N2O)、過酸化水素(H22)、アルコール、及び同様のもののいずれか1つ又はこれらの組合せを含むことができ、最も好ましいのはオゾン(O3)である。例示的な実施形態において、HfO2は、別個のパルス化及びパージ段階でTEMA−Hf及びO3からALDによって、100℃〜400℃の温度範囲、0.01〜10Torrの圧力範囲、更にTEMA−Siの流量1〜5,000sccm、及びO3の流量1〜10,000sccmで堆積される。結果として得られる膜は、15〜25の誘電率を有する。HfFxSi(1−x)O2(式中x=0.5〜1)の組成を有する第2の層は、TEMA−Hf及びTEMA−SiからALDによって、共に1つのパルス化及びパージ段階、続いてO3を用いた個別のパルス化及びパージ段階で、100℃〜500℃の温度範囲、0.01〜10Torrの圧力範囲、更にTEMA−Siの流量1〜5,000sccm、TEMA−Siの流量1〜5,000sccm、及びO3の流量1〜10,000sccmで堆積される。結果として得られたる膜は、10〜25の誘電率を有する。いずれの場合においても、第2の層302は、第1の層301と同じプロセスチャンバ内で連続して「原位置で」堆積される。これは、半導体デバイスの製造のサイクル時間が早くなり、所有コストが低いといった利点がある。
第3の段階は、第2の層302の上に第3のセットのプロセス条件を用いて第3の組成物を有する第3の層303を堆積し、次いで、本発明に従って第3の層に窒素を取り込む段階を備える。第3の層の組成は、ホウ素に対して有効な拡散障壁として機能する望ましい特性を促進するように選択される。第3の層に用いることができる種類の材料の実施例は、金属酸窒化物又は金属シリコン酸窒化物を含む。これらの材料は、金属−酸素−窒素又は金属−シリコン−酸素−窒素組成物を有する。金属は、Ti、Zr、Hf、Ta、W、Mo、Ni、Cr、Y、La、C、Nb、Zn、Al、Sn、Ce、Pr、Sm、Eu、Tb、Dy、Ho、Er、Tm、Yb、Lu又は同様のもののいずれか1つ又はこれらの組合せを含むことができる。好ましくは、金属はハフニウム(Hf)である。第3の層の厚さは、ゲート誘電体層の望ましい誘電体特性に適合するように選択される必要がある。
好ましくは、第3の層303は、上述のような連続的に又は同時注入によってハフニウム窒化物(HfN)又はハフニウム−シリコン−窒素(HfxSiyNz)の層のALD堆積を行い、その後HfN又はHfxSiyNzを酸化して、HfON又はHfSiONからなる第3の層303を形成する。ハフニウム前駆体は、ハフニウムジアルキルアミド、ハフニウムアルコキシド、ハフニウムジケトネート、ハフニウムクロリド(HfCl4)、及び同様のもの、最も好ましいテトラキス(エチルメチルアミノ)ハフニウム(TEMA−Hf)のいずれか1つ又はこれらの組合せを含むことができる。シリコン前駆体は、アミノシラン、シリコンアルコキシド、シリコンジアルキルアミド、シラン、シリコンクロリド、テトラメチルジシロキサン(TMDSO)及び同様のもの、最も好ましいテトラキス(エチルメチルアミノ)シリコン(TEMA−Si)のいずれか1つ又はこれらの組合せを含むことができる。窒素前駆体は、アンモニア(NH3)、窒素(N2)−ND3、原子状窒素、ヒドラジン(N22)、及び同様のもののいずれか1つ又はこれらの組合せを含むことができ、最も好ましいのはNH3である。1つの実施例では、HfNは、別個のパルス化及びパージ段階でTEMA−Hf及びNH3からALDによって、100℃〜500℃の温度範囲、0.01〜10Torrの圧力範囲、更にTEMA−Hfの流量1〜5,000sccm、及びNH31〜1,000sccmで堆積される。或いは、HfxSi(1−x)N2(式中x=0〜1)の組成を有する第3の層303は、TEMA−Hf及びTEMA−SiからALDによって、1つのパルス化及びパージ段階、続いてNH3を用いてパルス化及びパージ段階で、100℃〜500℃の温度範囲、0.01〜10Torrの圧力範囲、更にTEMA−Hfの流量1〜500sccm、TEMA−Siの流量1〜5,000sccm、及びNH3の流量1〜10,000sccmで堆積される。いずれの場合においても、第3の層303は、第1の層及び第2の層と同じプロセスチャンバ内で連続して「原位置で」堆積される。これは、半導体デバイスの製造のサイクル時間が早くなり、所有コストが低いといった利点がある。
任意選択的ではあるが、次いで、第3の層303は、酸素源又は前駆体と反応して金属−酸素−窒素又は金属−シリコン−酸素−窒素材料を形成する。反応層を図3に層304として示す。組成物中に窒素を含めることは、誘電体通るホウ素の拡散経路をブロックし、従ってゲート誘電体層を通るホウ素の有効拡散係数を低下させる影響を及ぼす。これは、半導体デバイスの長期性能及び信頼性にとって重要である。この方法により、窒化high−k層の厚みを制御することができ、従って、表面から多層スタックまでの窒素の深さを制御することができる。CMOSデバイスの高い移動度を維持するために、Si基板とhigh−kスタックとの間の界面に窒素原子がないことが好ましい。
酸素との反応は、式(1)及び式(3)のシーケンスで上述したように、第3の層303の酸化により、或いは代替として、式(2)及び式(4)のシーケンスで上述したように第3の層の膜形成段階の間に酸素前駆体を用いてALDにより行うことができる。
酸素源は、オゾン(O3)、酸素(O2)、水、原子状酸素、過酸化水素(H22)、亜酸化窒素(N2O)、一酸化窒素(NO)及び同様のもののいずれか1つ又はこれらの組合せを含むことができる。
ALDプロセス中に層を酸化するのではなく、ポスト酸化を用いると、O3の高反応性により酸化反応を低温で進めることが可能になる。しかしながら、ポスト酸化反応は、場合によっては適切なエネルギー源を必要とすることがある。適切なエネルギー源は、熱プラズマ、直流プラズマ、遠隔プラズマ、下流プラズマ、紫外フォトンエネルギー又は同様のもののいずれか1つ又はこれらの組合せを含むことができ、最も好ましいのは遠隔プラズマである。酸素源及びエネルギー源(必要に応じて)を組み合わせて、交互の第3の層内に0原子パーセントから66原子パーセントの酸素濃度を導入する。この方法により、窒素を多層材料の第3の層すなわち「最上」層において制御することができる。これにより、反応した交互の第3の層の望ましいホウ素ブロック特性が維持されると共に、第2の層の望ましい誘電体特性並びに第1の層の移動度及び安定特性が維持される。第3の層として用いたハフニウム−窒素又はハフニウム−シリコン−窒素化合物の酸化では、この第3の層は、25℃〜500℃の温度範囲、0.01〜10Torrの圧力範囲、更にオゾン流量1〜10,000sccmでオゾンで処理される。
ALD中に酸素種としてオゾンを用いるのが好ましい場合には、交互のエネルギー源は必要ではない。この場合には、第3の層303は、第1の層及び第2の層と同じプロセスチャンバ内で連続して「原位置で」酸素前駆体で処理することができる。これは、半導体デバイスの製造のサイクル時間が早くなり、所有コストが低いといった利点がある。
[実験]
幾つかの実験を行い、単に例証の目的で本明細書に提示したが、本発明の範囲をどのようにも限定するものではない。
図4は、5分の時間期間に約800℃の高温で堆積後アニール段階においてアンモニアで窒化したHfSiOx膜における窒素1s及びハフニウム4p3/2領域のX線光電子分光(XPS)スペクトルを示す。HfSiOxと比較して、種々の取り出し角(TOA)でのHfSiONのXPSスペクトルにより、膜内の窒素の存在が分かる。HfSiO対照(同様に図4に示している)と比較して、400eV近くに窒素ピークが存在することは、HfSiO層に窒素が取り込まれたことを示している。種々の取り出し角(TOA)での測定結果は、誘電体表面だけでなく膜の深部でもHfSiOが存在することを検出している。
本発明の種々の実施形態による幾つかの膜を形成する実験を行った。幾つかの実験のプロセス条件は以下の表1にまとめている。表1のプロセス条件は、図5〜図8で提示された種々の膜データに対応する。










































Figure 2007515786
図5は、本発明の種々の実施形態により形成されたhigh−k誘電体膜の膜深さの関数として窒素濃度(原子数/cm3)を示すSIMS深さ分布を示す。シリコン基板上に形成されたHfSiNO層の組成分布は、シリコン基板から最も離れたHfSiNO膜の頂点を表す0Åの深さで示される。SIMS深さ分布は、式(3)及び式(4)に示すシーケンスに従って形成されたHfSiNO膜に示され、これらの結果を積層膜と比較する。積層膜は、ウェーハ温度350℃及び圧力1Torrで原子層堆積によって堆積された。積層膜は、HfSiOの1つのシーケンスにつきHfSiNの5つのシーケンスを意味する、5:1シーケンスで形成された。「インシーケンス」O3アニール膜(オゾンがALDサイクル中に用いられることを意味する)は、各O3パルスに対してHfSiNの5つのシーケンスで形成された。
図5に示した曲線の各々に対して、HfSiN ALDパルス化段階には、1秒TEMAHf/TEMASiパルス化、続いて1.5秒パージ、2秒NH3パルス化及び5秒パージが含まれた。2つの積層膜では、HfSiO ALDパルス時間は、1/1.5/1.5/10秒(それぞれ、化学的パルス化/パージ/O3パルス化/パージ)であった。シーケンスO3アニールで形成された膜は、0.5秒O3パルス化に続いて10秒パージで実施された。これらの値及び他のプロセスの詳細(TEMAHF、TEMASiキャリアAr流量、O3濃度)を、表1にまとめる。
図5に示すように、窒素は、シリコン基板の界面層に達するまでHfSiNO膜の深部全体にわたって存在する。
図6は、本発明の他の種々の実施形態により形成されたhigh−k誘電体ゲートスタックの膜深さの関数として、窒素濃度(原子数/cm3)を示すSIMS深さ分布を表す。この事例では、ゲートデバイスは、基板上に形成されたHfO2層と、HfO2層上に形成されたHfSiNO層とを有するシリコン基板を含むように示されている。膜の各々は、図6に対する表1で示したプロセス条件により形成された。このようなゲートデバイスでは、窒素が基板の界面に近づくとCMOSの移動度を低下させる可能性があるので、窒素をシリコン基板界面から離れた最上層内に取り込む利点がある。特に有利には図6に示すように、本発明の方法は、最上層において窒素の最高濃度を促進し、デバイス内の窒素の配置を制御することができる。
図7は、本発明の式(3)のシーケンスにより形成されたオゾン後アニール(すなわち酸化)HfSiN膜内に存在するスパッタ深さの関数として種々の構成成分の原子濃度(原子%)を示すグラフである。膜の各々は、図7に対する表1で示したプロセス条件により形成された。詳細には、結果は、膜のバルク領域で窒素の存在を確認する。酸素は膜の最上部で最も広くいきわたり、窒素がオゾン後アニール処理で酸素と容易に置換される。
図8A及び図8Bは、本発明の種々の実施形態により形成された膜のバイアス電圧の関数として、それぞれキャパシタンスの電気的性能及びリーク電流密度を示している。膜を形成するために用いたプロセス条件は、図8に示した表1の列にまとめられる。本発明の方法により形成された膜は、望ましい電気的特性を示す。
上述のように、二酸化ケイ素の望ましい特性を維持し、問題を克服する多層ゲート誘電体材料の堆積方法が提供される。本発明の特定の実施形態の上記の説明は、例証及び説明の目的で提示されてきた。これらは、網羅的なものではなく、又は本発明を開示された正確な形態に限定するものはなく、明らかに多くの修正、実施形態、及び変形が上記の教示に照らして可能である。本発明の範囲は、本明細書に添付された請求項及びそれらの均等物によって定義されるものとする。
本発明の方法の1つの実施形態を示すフローチャートである。 本発明の方法の別の実施形態を示すフローチャートである。 本発明の1つの実施形態による多層ゲート誘電体材料の断面を示す略図である。 NH3の高温(800℃)堆積後アニールの従来技術の方法により形成された、HfSiOx膜において窒素含有物の存在を示すX線光電子分光(XPS)スペクトルを示すグラフである。 本発明の種々の実施形態により形成されたhigh−k誘電体膜の膜の深さの関数として窒素濃度を示すSIMS深さ分布を表す。 本発明の他の種々の実施形態により形成されたhigh−k誘電体膜の膜の深さの関数として窒素濃度を示すSIMS深さ分布を表す。 本発明の1つの実施形態によりO3で堆積後アニールしたHfSiN膜スパッタ深さの関数として種々の構成成分の原子濃度を示すグラフである。 本発明の種々の実施形態により形成された膜のバイアス電圧の関数としてキャパシタンスの電気的性能を示す。 本発明の種々の実施形態により形成された膜のバイアス電圧の関数としてリーク電流密度を示す。
符号の説明
100、102、104、106、108、200、202、204、206、208 段階
300 半導体基板
301 第1の層
302 第2の層
303 第3の層
304 反応層

Claims (45)

  1. プロセスチャンバにおいて1つ又はそれ以上の基板上に高誘電率誘電体膜を形成する方法であって、
    各サイクルが約500℃以下の温度で実施され、
    (a)前記プロセスチャンバに金属含有前駆体を送って前記基板の表面上に金属原子の1つ又は複数の層を形成し、
    (b)過剰な金属含有前駆体を前記プロセスチャンバから除去し、
    (c)窒素含有前駆体を前記プロセスチャンバに送り、そこで窒素が前記金属原子の層と相互作用して前記基板上に金属−窒素膜を形成し、
    (d)過剰な窒素含有前駆体を前記プロセスチャンバから除去する、
    ことを含む1つ又はそれ以上の原子層堆積サイクルを行う段階と、
    続いて、前記金属−窒素膜を酸化して、前記基板の表面上に高誘電率誘電体膜を形成する段階と、
    からなる方法。
  2. 前記1つ又はそれ以上の原子層堆積サイクルが、約25℃〜500℃の温度範囲で実施されることを特徴とする請求項1に記載の方法。
  3. 前記1つ又はそれ以上の原子層堆積サイクルが、約100℃〜400℃の温度範囲で実施されることを特徴とする請求項1に記載の方法。
  4. 前記酸化段階が、前記原子層堆積サイクルと同じ温度で実施されることを特徴とする請求項1に記載の方法。
  5. 前記酸化段階が、前記原子層堆積サイクルと同じプロセスチャンバ内で実施されることを特徴とする請求項1に記載の方法。
  6. 前記1つ又はそれ以上の原子層堆積サイクルが更に、金属含有前駆体及びシリコン含有前駆体を共に前記プロセスチャンバに送り、前記基板の表面上に金属及びシリコン原子の1つ又は複数の層を形成する段階を含む請求項1に記載の方法。
  7. 前記金属含有前駆体が次式:
    Hf(NRR’)4
    (式中、R及びR’は、各単独で=C1−C6線状炭素、分岐炭素、又は環状炭素、もしくは置換炭素基であり、R=R’であるか又はR及びR’は異なる。)
    からなることを特徴とする請求項1に記載の方法。
  8. 前記金属含有前駆体が、テトラキス(エチルメチルアミノ)ハフニウムであることを特徴とする請求項1に記載の方法。
  9. 前記窒素含有前駆体が、アンモニア、重水素化アンモニア、15N−アンモニア、アミン又はアミド、ヒドラジン、アルキルヒドラジン、窒素ガス、一酸化窒素、亜酸化窒素、窒素ラジカル、N−オキシド、ND3、及びこれらの混合物からなることを特徴とする請求項1に記載の方法。
  10. 前記シリコン含有前駆体が次式:
    Si(NRR’)4
    (式中、R及びR’は、各単独で=C1−C6線状炭素、分岐炭素、又は環状炭素、もしくは置換炭素基であり、R=R’であるか又は異なる。)
    からなることを特徴とする請求項1に記載の方法。
  11. 前記シリコン含有前駆体が、テトラキス(エチルメチルアミノ)シリコンであることを特徴とする請求項6に記載の方法。
  12. 前記プロセスチャンバが、複数の基板を処理するように適合されていることを特徴とする請求項1に記載の方法。
  13. プロセスチャンバにおいて1つ又はそれ以上の基板上に高誘電率誘電体膜を形成する方法であって、
    各サイクルが約500℃以下の温度で実施され、
    (a)前記プロセスチャンバに金属含有前駆体を送って前記基板の表面上に金属原子の1つ又はそれ以上の層を形成し、
    (b)過剰な金属含有前駆体を前記プロセスチャンバから除去し、
    (c)窒素含有前駆体を前記プロセスチャンバに送り、そこで窒素が前記金属原子の1つ又はそれ以上の層と相互作用して前記基板上に金属−窒素膜を形成し、
    (d)過剰な窒素含有前駆体を前記プロセスチャンバから除去し
    (e)酸素含有前駆体を前記プロセスチャンバに送り、そこで酸素が金属−窒素膜を酸化して、前記基板の表面上に高誘電率誘電体膜を形成し、
    (f)過剰の酸素含有反応物を前記プロセスチャンバから除去する、
    ことを含む1つ又はそれ以上の原子層堆積サイクルを行う段階を含む方法。
  14. 前記1つ又はそれ以上の原子層堆積サイクルが、約25℃〜500℃の温度範囲で実施されることを特徴とする請求項13に記載の方法。
  15. 前記1つ又はそれ以上の原子層堆積サイクルが、約100℃〜400℃の温度範囲で実施されることを特徴とする請求項13に記載の方法。
  16. 酸素含有前駆体がオゾンからなることを特徴とする請求項13に記載の方法。
  17. 1つ又はそれ以上の原子層堆積サイクルが更に、金属含有前駆体及びシリコン含有前駆体を共に前記プロセスチャンバに送り、前記基板の表面上に金属及びシリコン原子の1つ又はそれ以上の層を形成する段階を含むことを特徴とする請求項13に記載の方法。
  18. 前記金属含有前駆体が次式:
    Hf(NRR’)4
    (式中、R及びR’は、各単独で=C1−C6線状炭素、分岐炭素、又は環状炭素、もしくは置換炭素基であり、R=R’であるか又はR及びR’は異なる。)
    からなることを特徴とする請求項13に記載の方法。
  19. 前記金属含有前駆体が、テトラキス(エチルメチルアミノ)ハフニウムであることを特徴とする請求項13に記載の方法。
  20. 前記窒素含有前駆体が、アンモニア、重水素化アンモニア、15N−アンモニア、アミン又はアミド、ヒドラジン、アルキルヒドラジン、窒素ガス、一酸化窒素、亜酸化窒素、窒素ラジカル、N−オキシド、ND3、及びこれらの混合物からなることを特徴とする請求項13に記載の方法。
  21. 前記シリコン含有前駆体が次式:
    Si(NRR’)4
    (式中、R及びR’は、各単独で=C1−C6線状炭素、分岐炭素、又は環状炭素、もしくは置換炭素基であり、R=R’であるか又は異なる。)
    からなることを特徴とする請求項17に記載の方法。
  22. 前記シリコン含有前駆体が、テトラキス(エチルメチルアミノ)シリコンであることを特徴とする請求項17に記載の方法。
  23. 前記プロセスチャンバが、複数の基板を処理するように適合されていることを特徴とする請求項13に記載の方法。
  24. 原子層堆積サイクルを繰り返してナノ積層高誘電率誘電体膜を形成することを特徴とする請求項13に記載の方法。
  25. プロセスチャンバにおいて1つ又はそれ以上の基板上に高誘電率誘電体膜を形成する方法であって、
    各サイクルが約500℃以下の温度で実施され、
    (a)前記プロセスチャンバに金属含有前駆体ガス及びシリコン含有前駆体ガスを共に同時注入して、前記基板の表面上に金属及びシリコン原子の1つ又はそれ以上の層を形成し、
    (b)過剰な金属含有前駆体を前記プロセスチャンバから除去し、
    (c)窒素含有前駆体をプロセスチャンバに送り、そこで窒素が金属原子の1つ又はそれ以上の層と相互作用して前記基板上に金属−窒素膜を形成し、
    (d)過剰な窒素含有前駆体をプロセスチャンバから除去する、
    ことを含む1つ又はそれ以上の原子層堆積サイクルを行う段階と、
    前記金属−窒素膜を酸化して前記基板の表面上に高誘電率誘電体膜を形成する段階と、
    を含む方法。
  26. プロセスチャンバにおいて1つ又はそれ以上の基板上に高誘電率誘電体膜を形成する方法であって、
    各サイクルが約500℃以下の温度で実施され、
    (a)前記プロセスチャンバに金属含有前駆体ガス及びシリコン含有前駆体ガスを共に同時注入して、前記基板の表面上に金属及びシリコン原子の1つ又はそれ以上の層を形成し、
    (b)過剰な金属含有前駆体を前記プロセスチャンバから除去し、
    (c)窒素含有前駆体を前記プロセスチャンバに送り、そこで窒素が前記金属原子の1つ又はそれ以上の層と相互作用して前記基板上に金属−窒素膜を形成し、
    (d)過剰な金属含有前駆体を前記プロセスチャンバから除去し、
    (e)金属−窒素膜を前記プロセスチャンバに送り、そこで酸素が前記金属含有前駆体を酸化して前記基板の表面上に高誘電率誘電体膜を形成し、
    (f)過剰の酸素含有前駆体を前記プロセスチャンバから除去する、
    ことを含む1つ又はそれ以上の原子層堆積サイクルを行う段階を含む方法。
  27. ゲート誘電体材料を形成するために半導体ウェーハ上に多層材料を堆積する方法であって、
    第1の組成物を有する第1の層が基板上に第1のセットの条件下で堆積され、
    続いて、第2の組成物を有する第2の層が第2のセットの条件下で堆積され、
    続いて、第3の組成物を有する第3の層が第3のセットの条件下で堆積され、
    続いて、前記第3の層が反応ガスと反応して前記第3の層の組成を変更して第4の組成を備えた材料を形成することを特徴とする方法。
  28. 前記第1の層は金属−シリコン−酸素化合物であり、シリコンの濃度が金属の濃度よりも高いことを特徴とする請求項27に記載の方法。
  29. 前記第2の層が金属−酸素化合物であることを特徴とする請求項27に記載の方法。
  30. 前記第2の層は、金属−シリコン−酸素化合物であり、シリコンの濃度が金属の濃度よりも低いことを特徴とする請求項27に記載の方法。
  31. 前記第3の層が金属−窒素化合物であることを特徴とする請求項27に記載の方法。
  32. 前記第3の層が、金属−シリコン−窒素化合物であることを特徴とする請求項27に記載の方法。
  33. 前記第3の層が、酸素種と反応して前記第4の組成物として金属−酸素−窒素化合物を形成することを特徴とする請求項31に記載の方法。
  34. 前記第3の層が、酸素種と反応して前記第4の組成物として金属−シリコン−酸素−窒素化合物を形成することを特徴とする請求項32に記載の方法。
  35. 前記第1の層が金属−シリコン−酸素化合物であり、シリコンの濃度が金属の濃度よりも高く、前記第2の層が金属−酸素化合物であり、シリコンの濃度が金属の濃度よりも低く、前記第3の層が金属−窒素化合物であり、該第3の層が酸素種と反応して第4の金属−窒素−酸素化合物を形成することを特徴とする請求項27に記載の方法。
  36. 前記第1の層が金属−シリコン−酸素化合物であり、シリコンの濃度が金属の濃度よりも高く、前記第2の層が金属−酸素化合物であり、シリコンの濃度が金属の濃度よりも低く、前記第3の層が金属−シリコン−窒素化合物であり、前記第3の層が酸素種と反応して第4の金属−シリコン−窒素酸素化合物を形成することを特徴とする請求項27に記載の方法。
  37. 前記第1の層が金属−シリコン−酸素化合物であり、シリコンの濃度が金属の濃度よりも高く、前記第2の層が金属−シリコン−酸素化合物であり、シリコンの濃度が金属の濃度よりも低く、前記第3の層が金属−窒素化合物であり、前記第3の層が酸素種と反応して第4の金属−窒素−酸素化合物を形成することを特徴とする請求項27に記載の方法。
  38. 前記第1の層が金属−シリコン−酸素化合物であり、シリコンの濃度が金属の濃度よりも高く、前記第2の層が金属−シリコン−酸素化合物であり、シリコンの濃度が金属の濃度よりも低く、前記第3の層が金属−シリコン−窒素化合物であり、前記第3の層が酸素種と反応して第4の金属−シリコン−窒素酸素化合物を形成することを特徴とする請求項27に記載の方法。
  39. 前記金属は、Ti、Zr、Hf、Ta、W、Mo、Ni、Cr、Y、La、C、Nb、Zn、Al、Sn、Ce、Pr、Sm、Eu、Tb、Dy、Ho、Er、Tm、Yb、又はLuのいずれか1つ又はこれらの組合せを含むことを特徴とする請求項27に記載の方法。
  40. 前記金属が、Hfを含むことを特徴とする請求項27に記載の方法。
  41. 前記シリコンは、アミノシラン、シリコンアルコキシド、シリコンジアルキルアミド、シラン、シリコンクロリド、又はテトラメチルジシロキサン(TMDSO)、ジシラン、アミノジシラン、或いはクロロジシランのいずれか1つ又はこれらの組合せに由来することを特徴とする請求項27に記載の方法。
  42. 前記シリコンは、テトラキス(エチルメチルアミノ)シリコン(TEMA−Si)に由来することを特徴とする請求項27に記載の方法。
  43. 前記ハフニウムは、テトラキス(エチルメチルアミノ)ハフニウム(TEMA−Hf)に由来することを特徴とする請求項27に記載の方法。
  44. ゲート誘電体を形成する方法であって、
    金属−シリコン−酸素化合物からなり、シリコンリッチ濃度を有する第1の層を基板上に形成する段階と、
    金属−シリコン−酸素又は金属−酸素化合物からなり金属リッチ濃度を有する第2の層を前記第1の層の上に形成する段階と、
    金属−窒素又は金属−シリコン−窒素化合物からなる第3の層を第2の層の上に形成する段階と、
    前記第3の層の表面を酸素含有種で処理して前記第3の層に酸素を取り込む段階と、
    を含む方法。
  45. プロセスチャンバにおいて1つ又はそれ以上の基板上に高誘電率誘電体膜を形成する方法であって、
    各サイクルが約500℃以下の温度で実施され、
    (a)前記プロセスチャンバに金属含有前駆体を送って前記基板の表面上に金属原子の1つ又はそれ以上の層を形成し、
    (b)過剰な金属含有前駆体を前記プロセスチャンバから除去し、
    (c)窒素含有前駆体を前記プロセスチャンバに送り、そこで窒素が前記金属原子の1つ又はそれ以上の層と相互作用して前記基板上に金属−窒素膜を形成し、
    (d)過剰な窒素含有前駆体を前記プロセスチャンバから除去し
    (e)前記プロセスチャンバに金属含有前駆体を送って、前記基板の表面上に金属原子の1つ又は複数の層を形成し、
    (f)酸素含有前駆体を前記プロセスチャンバに送り、そこで酸素が金属−窒素膜を酸化して、前記基板の表面上に高誘電率誘電体膜を形成し、
    (g)過剰の酸素含有反応物を前記プロセスチャンバから除去する、
    ことを含む1つ又はそれ以上の原子層堆積サイクルを行う段階を含む方法。
JP2006541412A 2003-11-17 2004-11-17 高誘電率誘電体膜の窒化方法 Pending JP2007515786A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US52096403P 2003-11-17 2003-11-17
US10/919,666 US20050153571A1 (en) 2003-11-17 2004-08-16 Nitridation of high-k dielectric films
PCT/US2004/038844 WO2005050715A2 (en) 2003-11-17 2004-11-17 Nitridation of high-k dielectric films

Publications (1)

Publication Number Publication Date
JP2007515786A true JP2007515786A (ja) 2007-06-14

Family

ID=34623161

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006541412A Pending JP2007515786A (ja) 2003-11-17 2004-11-17 高誘電率誘電体膜の窒化方法

Country Status (6)

Country Link
US (1) US20050153571A1 (ja)
EP (1) EP1714315A2 (ja)
JP (1) JP2007515786A (ja)
KR (1) KR20060126509A (ja)
TW (1) TW200525648A (ja)
WO (1) WO2005050715A2 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011061218A (ja) * 2007-12-26 2011-03-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法および基板処理装置
JP2011066263A (ja) * 2009-09-18 2011-03-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
KR20140079295A (ko) * 2012-12-18 2014-06-26 도쿄엘렉트론가부시키가이샤 박막 형성 방법 및 박막 형성 장치
JP2015216404A (ja) * 2009-09-30 2015-12-03 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびガス供給系
JP2020191463A (ja) * 2020-07-27 2020-11-26 株式会社渡辺商行 HfN膜の製造方法およびHfN膜
WO2021067813A1 (en) * 2019-10-04 2021-04-08 Applied Materials, Inc. Novel methods for gate interface engineering
US11271097B2 (en) 2019-11-01 2022-03-08 Applied Materials, Inc. Cap oxidation for FinFET formation
JP7493580B2 (ja) 2019-07-19 2024-05-31 インターナショナル・ビジネス・マシーンズ・コーポレーション 準化学量論的金属酸化物薄膜

Families Citing this family (375)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
AU2003266410A1 (en) * 2003-07-30 2005-02-25 Infineon Technologies Ag High-k dielectric film, method of forming the same and related semiconductor device
US20050142715A1 (en) * 2003-12-26 2005-06-30 Fujitsu Limited Semiconductor device with high dielectric constant insulator and its manufacture
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
JP4028538B2 (ja) * 2004-09-10 2007-12-26 株式会社東芝 半導体装置の製造方法およびその製造装置
KR100584783B1 (ko) * 2005-02-24 2006-05-30 삼성전자주식회사 복합막 형성 방법과 이를 이용한 게이트 구조물 및 커패시터 제조 방법
EP1790758A1 (en) * 2005-11-25 2007-05-30 Interuniversitair Microelektronica Centrum ( Imec) Atomic layer deposition (ald) method for producing a high quality layer
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US7799668B2 (en) * 2005-08-17 2010-09-21 Texas Instruments Incorporated Formation of uniform silicate gate dielectrics
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US8110469B2 (en) * 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
WO2007029251A2 (en) * 2005-09-06 2007-03-15 T.K. Signal Ltd. Polyalkylene glycol derivatives of 4- (phenylamino)quinazolines useful as irreversible inhibitors of epidermal gr0wth fact0r receptor tyrosine kinase
JP4823635B2 (ja) * 2005-10-12 2011-11-24 東京エレクトロン株式会社 成膜方法およびコンピュータ可読記録媒体
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
KR100729354B1 (ko) * 2005-12-07 2007-06-15 삼성전자주식회사 유전막의 전기적 특성 향상을 위한 반도체 소자의 제조방법
KR100662850B1 (ko) * 2006-02-02 2007-01-02 삼성전자주식회사 복수 개의 금속층을 적층한 반도체 소자
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7579646B2 (en) * 2006-05-25 2009-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Flash memory with deep quantum well and high-K dielectric
JP5107541B2 (ja) * 2006-08-22 2012-12-26 ルネサスエレクトロニクス株式会社 絶縁膜形成方法および半導体装置の製造方法
US8816422B2 (en) * 2006-09-15 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-trapping layer flash memory cell
US8294197B2 (en) * 2006-09-22 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Program/erase schemes for floating gate memory cells
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US7790628B2 (en) 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US8148275B2 (en) 2007-12-27 2012-04-03 Canon Kabushiki Kaisha Method for forming dielectric films
US7816278B2 (en) 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8735963B2 (en) * 2008-07-07 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Flash memory cells having leakage-inhibition layers
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2012090738A1 (ja) * 2010-12-27 2012-07-05 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI549163B (zh) * 2011-09-20 2016-09-11 應用材料股份有限公司 減少摻質擴散之表面穩定化製程
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8658490B2 (en) * 2012-04-04 2014-02-25 Globalfoundries Inc. Passivating point defects in high-K gate dielectric layers during gate stack formation
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9515158B1 (en) * 2015-10-20 2016-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with insertion layer and method for manufacturing the same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6758574B2 (ja) * 2016-04-14 2020-09-23 株式会社渡辺商行 HfN膜の製造方法およびHfN膜
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023150265A1 (en) * 2022-02-04 2023-08-10 Prasad Narhar Gadgil Atomic layer or chemical vapor deposition process for nitride or oxide films
CN114458584B (zh) * 2022-02-17 2024-01-19 西华大学 一种具有表面压应力的膜片及其制备方法和应用

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6399208B1 (en) * 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US6576967B1 (en) * 2000-09-18 2003-06-10 Motorola, Inc. Semiconductor structure and process for forming a metal oxy-nitride dielectric layer
US6844604B2 (en) * 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
FI109770B (fi) * 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
JP4104834B2 (ja) * 2001-04-13 2008-06-18 株式会社東芝 Mis型電界効果トランジスタの製造方法
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
CN100468638C (zh) * 2001-12-18 2009-03-11 松下电器产业株式会社 半导体元件的制造方法
US6790755B2 (en) * 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6717226B2 (en) * 2002-03-15 2004-04-06 Motorola, Inc. Transistor with layered high-K gate dielectric and method therefor
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6764898B1 (en) * 2002-05-16 2004-07-20 Advanced Micro Devices, Inc. Implantation into high-K dielectric material after gate etch to facilitate removal
DE60321271D1 (de) * 2002-06-10 2008-07-10 Imec Inter Uni Micro Electr Transistoren und Speicherkondensatoren enthaltend eine HfO2-Zusammensetzung mit erhöhter Dielektrizitätskonstante
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
TWI278532B (en) * 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US20040012043A1 (en) * 2002-07-17 2004-01-22 Gealy F. Daniel Novel dielectric stack and method of making same
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6607973B1 (en) * 2002-09-16 2003-08-19 Advanced Micro Devices, Inc. Preparation of high-k nitride silicate layers by cyclic molecular layer deposition
KR100460841B1 (ko) * 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
JP2004186567A (ja) * 2002-12-05 2004-07-02 Toshiba Corp 半導体装置および半導体装置の製造方法
CN100401478C (zh) * 2003-02-12 2008-07-09 松下电器产业株式会社 半导体器件的制造方法
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7442415B2 (en) * 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US6930060B2 (en) * 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7045847B2 (en) * 2003-08-11 2006-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with high-k gate dielectric
US6875677B1 (en) * 2003-09-30 2005-04-05 Sharp Laboratories Of America, Inc. Method to control the interfacial layer for deposition of high dielectric constant films
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
JP2005317647A (ja) * 2004-04-27 2005-11-10 Toshiba Corp 半導体装置及びその製造方法
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011061218A (ja) * 2007-12-26 2011-03-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法および基板処理装置
JP2011066263A (ja) * 2009-09-18 2011-03-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP2015216404A (ja) * 2009-09-30 2015-12-03 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびガス供給系
KR20140079295A (ko) * 2012-12-18 2014-06-26 도쿄엘렉트론가부시키가이샤 박막 형성 방법 및 박막 형성 장치
JP2014140013A (ja) * 2012-12-18 2014-07-31 Tokyo Electron Ltd 薄膜形成方法および薄膜形成装置
KR20160055777A (ko) * 2012-12-18 2016-05-18 도쿄엘렉트론가부시키가이샤 박막 형성 방법 및 박막 형성 장치
KR101662877B1 (ko) 2012-12-18 2016-10-05 도쿄엘렉트론가부시키가이샤 박막 형성 방법 및 박막 형성 장치
KR101716085B1 (ko) 2012-12-18 2017-03-13 도쿄엘렉트론가부시키가이샤 박막 형성 방법 및 박막 형성 장치
JP7493580B2 (ja) 2019-07-19 2024-05-31 インターナショナル・ビジネス・マシーンズ・コーポレーション 準化学量論的金属酸化物薄膜
WO2021067813A1 (en) * 2019-10-04 2021-04-08 Applied Materials, Inc. Novel methods for gate interface engineering
US11271097B2 (en) 2019-11-01 2022-03-08 Applied Materials, Inc. Cap oxidation for FinFET formation
JP2020191463A (ja) * 2020-07-27 2020-11-26 株式会社渡辺商行 HfN膜の製造方法およびHfN膜

Also Published As

Publication number Publication date
US20050153571A1 (en) 2005-07-14
KR20060126509A (ko) 2006-12-07
EP1714315A2 (en) 2006-10-25
WO2005050715A3 (en) 2006-05-18
TW200525648A (en) 2005-08-01
WO2005050715A2 (en) 2005-06-02

Similar Documents

Publication Publication Date Title
JP2007515786A (ja) 高誘電率誘電体膜の窒化方法
TWI426547B (zh) 用於批次原子層沈積反應器之處理製程
JP5307513B2 (ja) Ald法又はcvd法による金属含有膜の調製
US6818517B1 (en) Methods of depositing two or more layers on a substrate in situ
US7972978B2 (en) Pretreatment processes within a batch ALD reactor
TWI263695B (en) Atomic layer deposition of oxide film
JP4158975B2 (ja) ナノラミネート膜の原子層堆積
US6806145B2 (en) Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US7994070B1 (en) Low-temperature dielectric film formation by chemical vapor deposition
US7816278B2 (en) In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US20060062917A1 (en) Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20080119057A1 (en) Method of clustering sequential processing for a gate stack structure
JP2008544091A (ja) 誘電材料のプラズマ処置
JP2005533390A (ja) 混合成分を有する薄膜の分子層蒸着
US9064694B2 (en) Nitridation of atomic layer deposited high-k dielectrics using trisilylamine
KR20050020759A (ko) 다중-금속성 전구체의 원자층 증착
JP2004289082A (ja) 高誘電率ゲート絶縁膜の形成方法
EP1425785A2 (en) Method of fabricating a gate stack at low temperature