JP2008544091A - 誘電材料のプラズマ処置 - Google Patents

誘電材料のプラズマ処置 Download PDF

Info

Publication number
JP2008544091A
JP2008544091A JP2008518216A JP2008518216A JP2008544091A JP 2008544091 A JP2008544091 A JP 2008544091A JP 2008518216 A JP2008518216 A JP 2008518216A JP 2008518216 A JP2008518216 A JP 2008518216A JP 2008544091 A JP2008544091 A JP 2008544091A
Authority
JP
Japan
Prior art keywords
substrate
gas
oxide
precursor
hafnium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2008518216A
Other languages
English (en)
Inventor
シャンカール ムスクリッシュナン,
ラフール シャランパニ,
テハール ゴヤニ,
ケー. ナーワンカール,プラヴィン,
シュレイヤス, エス. ケアー,
カーレッド, ゼット. アーメッド,
イー マ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008544091A publication Critical patent/JP2008544091A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electrochemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一実施形態では、誘電材料を形成する方法が提供され、この方法は、原子層堆積(ALD)プロセス中に酸化金属を形成しながら、基板を金属含有前駆物質および酸化ガスに連続的に露出させるステップと、次に、基板を不活性プラズマプロセスおよび熱アニーリングプロセスに連続的に露出させるステップとを含む。一般的に、酸化金属はハフニウム、タンタラム、チタン、アルミニウム、ジルコニウム、ランタン、またはこれらの組合せを含有する。一例では、基板は、窒素を含まない、または実質的に窒素を含まない不活性プラズマガスに露出される。次に、熱アニーリングプロセス中に、基板が酸素の環境に露出される。別の例では、ALDプロセス中に、基板を金属前駆物質と、水蒸気を含有した酸化ガスとに連続的に露出することで、酸化金属材料が形成される。水蒸気は、水素源および酸素源を消費する触媒水蒸気生成器によって形成されてもよい。
【選択図】 図1

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的に基板上に材料を堆積させる方法に関し、より明確には、誘電スタックを形成しながら、誘電材料を堆積させ、安定化させる方法に関する。
[0002]半導体処理の分野では、基板上に材料を堆積させる上で、フラットパネルディスプレイ処理や、その他の電子デバイス処理、気相堆積プロセスが重要な役割を果たしてきた。電子デバイスの外形が小型化を続け、デバイスの密度が増加し続けるに従い、特徴のサイズおよびアスペクト比がより積極的になっている、例えば、65nmまたはこれ未満の特徴サイズ、および10以上のアスペクト比が考慮されてきている。したがって、これらのデバイスを形成するための材料のコンフォーマル堆積が、ますます重要になってきている。
[0003]従来の化学気相堆積法(CVD)は、0.15μmまで低下させたデバイス外形とアスペクト比について成功が証明されているが、より積極的なデバイス外形には代替の堆積技術が必要となる。かなり注目されている1つの技術は原子層堆積(ALD)である。ALDプロセスの最中、基板を含有したプロセスチャンバ内に反応ガスが連続して導入される。一般的に、第1反応物がプロセスチャンバ内に律動的に送られ、基板表面上に吸収される。第2反応物がプロセスチャンバ内に律動的に送られ、第1反応物と反応して堆積した材料が形成される。各反応物ガスの送出どうしの間には浄化ステップが典型的に実行される。浄化ステップは、キャリアガスを用いた連続した浄化、あるいは複数の反応物ガスの、送出の間のパルス浄化であってもよい。
[0004]原子層堆積プロセスは、誘電層、バリア層、伝導層を堆積させるために実現され、成功してきた。ゲート用途やキャパシタ用途に用いられる、ALDプロセスによって堆積させた高K誘電材料には、酸化ハフニウム、ハフニウムシリケート、酸化ジルコニウム、酸化タンタラムが含まれる。高K誘電材料のような誘電材料は、次の製造プロセス中に高温(>500℃)に露出されると、形態的な変更を経験する。例えば、窒化チタンは、酸化ハフニウムまたは酸化ジルコニウム上に、約600度の化学気相堆積(CVD)プロセスによって堆積させられることが多い。このような高温で、酸化ハフニウムまたは酸化ジルコニウムは結晶化し、無定形性と低漏出性質が損失する。さらに、誘電材料の全結晶化が回避された場合であっても、高温への露出が誘電材料の結晶粒成長および/または位相分離を形成した結果、高い漏電によりデバイス性能が落ちる。
[0005]したがって、次の製造プロセス中、高温への露出中に形態的に安定する、誘電材料、特に高K誘電材料を形成するプロセスが必要である。
発明の概要
[0006]一実施形態では、基板上に誘電材料を形成する方法が提供され、この方法では、ALDプロセス中に、上に酸化金属材料を形成するために基板を金属含有前駆物質と酸化ガスに連続的に露出させるステップと、この後、基板を不活性プラズマプロセスおよび熱アニーリングプロセスに露出させるステップとを含む。不活性プラズマプロセスは、不活性ガスで形成されたプラズマに基板を約30秒間〜5分間露出する。一例では、熱アニーリングプロセス中に、基板を約600〜1,200℃の範囲内の温度にまで、2分間加熱することができる。この後、不活性プラズマプロセス中の約1〜3分間の期間、酸化金属を含有した基板を、パワー出力約1,800ワットで、窒素を含まないアルゴンプラズマに露出させる。この後、酸素を含有したアニーリングチャンバ内で基板を、約10〜30秒間、約800〜1,100℃の範囲内の温度で熱的にアニーリングすることができる。
[0007]一般的に、酸化金属材料は、約5〜100Åの範囲内の厚さを有し、ハフニウム、タンタラム、チタン、アルミニウム、ジルコニウム、ランタン、またはこれらの組合せを含む。一例では、厚さ約40Åの酸化ハフニウム層は少なくとも約2.4μF/cmの静電容量を有する。別の例では、この方法は基板表面から天然酸化物を除去し、この後、湿式洗浄プロセス中に化学的酸化物層を形成する事前処置プロセスを提供する。別の例では、この方法は、酸化金属層を堆積させた後、および不活性プラズマプロセスの前に、基板を堆積後アニーリングプロセスに露出するステップを提供する。
[0008]本明細書に記載されている他の実施形態では、基板を酸化ガスおよび少なくとも1つの金属前駆物質に連続的に露出するALDプロセスによって、基板上に酸化金属層を形成できる。酸化ガスは、水素源ガスと酸素源ガスを水蒸気生成器内に流すことで形成させた水蒸気を含有していてもよい。金属前駆物質は、ハフニウム前駆物質、ジルコニウム前駆物質、アルミニウム前駆物質、タンタラム前駆物質、チタン前駆物質、ランタン前駆物質、またはこれらの組合せを含んでいてもよい。一例では、基板上にハフニウム含有材料を形成する方法が提供され、この方法は、酸化ハフニウムを上に含有した誘電材料を形成するために、基板を堆積プロセスに露出させるステップと、基板を、窒素を含まないアルゴンプラズマに使用する不活性プラズマプロセスに露出させるステップと、さらに、酸素含有環境内において熱アニーリングプロセスに基板を露出させるステップと、を含む。
[0009]代替の実施形態では、基板上に誘電材料を形成する方法が提供され、この方法は、酸化金属層を基板上に形成するために、基板を堆積プロセスに露出させ、次に、基板を窒化物形成プラズマプロセスおよび熱アニーリングプロセスに金属オキシナイトライド層を形成するために露出させるステップを含む。通常、酸化金属層は実質的にシリコンを含まず、また、ハフニウム、タンタラム、チタン、アルミニウム、ジルコニウム、ランタン、またはこれらの組合せを含有してもよい。窒化物形成プラズマプロセスは、約900〜1,800ワットの範囲内で、パワー出力で約1〜3分間継続する。熱アニーリングプロセス中に、基板を、2分間で約600〜1,200℃の温度にまで加熱することができる。一例では、窒素濃度が約5〜25原子パーセント(原子%)の範囲内の誘電材料を形成するために、約50体積測定パーセント(体積%)またはこれ未満の窒素ガスを含有したプロセスガスを使用して、基板を窒化物形成プラズマプロセスに露出させる。基板は、酸素を含有したプロセスチャンバ内で、約10〜30秒間、約800〜1,100℃の範囲内の温度で熱的にアニーリングされる。
[0010]一般的に、厚さ約5〜100Åの誘電オキシナイトライド材料は、約2.4μF/cmまたはこれ未満の静電容量を有する。一例では、厚さ約50Åの誘電オキシナイトライド材料は約2.35μF/cmの静電容量を有する。幾つかの実施形態では、この方法は、基板表面から天然酸化物を除去し、この後、湿式洗浄プロセス中に化学的酸化物層を形成するための事前処置プロセスを提供する。別の例では、この方法は、酸化金属層を堆積させた後、および窒化物形成プラズマプロセスの前に、基板を堆積後アニーリングプロセスに露出させるステップを提供する。
[0011]別の実施形態では、基板上にハフニウム含有材料を形成する方法が提供され、この方法は、酸化ハフニウムを上に含有した誘電材料を形成するために基板を堆積プロセスに露出させるステップと、酸化ハフニウムからハフニウムオキシナイトライドを形成するために、基板を窒化物形成プラズマプロセスに露出させるステップと、基板を熱アニーリングプロセスに露出させるステップと、を提供する。
[0012]上に列挙した本発明の特徴を詳細に理解できる方式のために、上で簡略的に要約した本発明のより具体的な記述は、幾つかは添付の図面に図示されている実施形態を参照することで得られる。しかし、添付の図面は本発明の典型的な実施形態のみを図示したものであり、本発明は他の同等に有効な実施形態も許可するため、その範囲を制限するように解釈されるべきではない。
[0019]本発明の実施形態は、様々な用途に使用される誘電材料、特にトランジスタおよびキャパシタ製造で使用される高K誘電材料の準備方法を提供する。原子層堆積(ALD)プロセスを使用して、形成された誘電性複合体の基本構成を制御するために使用できる。一実施形態では、誘電材料または誘電スタックは、ALDプロセス中に基板上に酸化金属を含有した誘電層を堆積させ、誘電層を高密度化しながら基板を不活性ガスプラズマプロセスに露出させ、その後、基板を熱アニーリングプロセスに露出させることで準備される。別の実施形態では、誘電材料または誘電スタックは、ALDプロセス中に酸化金属を含有した誘電層を基板上に堆積させ、誘電層を窒化物形成プロセスに露出させて、酸化金属から金属オキシナイトライドを形成し、その後、基板を熱アニーリングプロセスに露出させる。
[0020]誘電層は通常酸化金属を含有しており、ALDプロセス、従来の化学気相堆積(CVD)プロセス、物理的な気相堆積(PVD)プロセスによって堆積させることができる。誘電層は、酸素と、少なくとも1つの追加の要素、例えばハフニウム、タンタラム、チタン、アルミニウム、ジルコニウム、ランタナム、またはこれらの組合せを含有している。例えば、誘電層は酸化ハフニウム、酸化ジルコニウム、酸化タンタラム、酸化アルミニウム、酸化ランタナム、酸化チタン、これらの誘導体、またはこれらの組合せを含有してもよい。一実施形態では、誘電層は実質的にシリコンを含まない酸化金属を含有している。本発明の実施形態は、基板を金属前駆物質および酸化ガスに連続的に露出させて誘電層を形成するALDプロセスを提供する。一例では、酸化ガスは、水素源ガスと酸素源ガスを水蒸気生成器内に流すことによって形成された水蒸気を含有している。水素源ガスは、水素ガスまたは形成ガスであってもよく、酸素源ガスは酸素ガスまたは亜酸化窒素であってもよい。
誘電材料の不活性プラズマ安定化
[0021]図1では、フローチャートが酸化金属材料(例えば、HfOまたはTaO)のような誘電材料を形成する例示的なプロセス100を図示する。図2A〜図2Cは、トランジスタまたはキャパシタのような半導体デバイスで使用される誘電材料の形成を図示するプロセス100に関連している。層201上に堆積された酸化物層202を含有した層201が不活性プラズマプロセスに露出されて、プラズマ処置された酸化物層204を形成し(図2B)、次に、この酸化物層204が熱アニーリングプロセスによってアニーリング後の層206に変換される(図2C)。
[0022]酸化物層202の堆積前に、基板表面を好ましい官能基で仕切るために、層201を事前処置プロセスに露出させる。本明細書に記載されている堆積プロセスを開始する前に有用な官能基には、水酸基(OH)、アルコキシル基(OR、この場合、R=Me、Et、Pr、Bu)、ハロキシル(OX、この場合、X=F、Cl、Br、I)、ハロゲン化物(F、Cl、Br,I)、酸素ラジカル、アミノまたはアミド(NRまたはNR、この場合、R=H、Me、Et、Pr、Bu)が含まれる。事前処置プロセスは基板を試薬に露出させるが、この試薬は例えばNH、B、SiH、SiH、HO、HF、HCI、O、O、HO、H、H、原子H、原子N、原子O、アルコール、アミン、これらのプラズマ、これらの誘導体、またはこれらの組合せである。官能基は、基板表面に付着させるための入ってくる化学前駆物質用の基部を提供してもよい。事前処置プロセスは、基板200を、約1秒間〜2分間の範囲内の一定の期間、好ましくは約5〜60秒間試薬に露出させることが可能できる。事前処置プロセスはまた、基板200をRCA溶液(SC1/SC2)、HF除去した溶液、WVGまたはISSGシステムからの水蒸気、過酸化物溶液、酸性溶液、基底解、これらのプラズマ、これらの誘導体、これらの組合せに露出することを含む。有用な事前処置プロセスは、共通に譲渡された米国特許6,858,547号、2002年11月21日に提出され、US2003−0232501として公告された同時係属中の米国出願番号10/302,752号に記載されている。上記の両方の関連出願の全体は、事前処置方法および事前プロセス溶液の組成を記載する目的で、本明細書に組み込まれる。
[0023]事前処置プロセスの一例では、厚さ約10Åまたはこれ未満、例えば約5〜7Åの化学的酸化物層を形成するために、基板200を湿式洗浄プロセスに露出する前に、自然酸化物層の除去を行う。自然酸化物は、HF除去した溶液によって除去されてもよい。湿式洗浄プロセスは、カリフォルニア州サンタクララにあるApplied Materials,Inc.より入手可能なTEMPEST(商標)湿式洗浄システムの内部において実行できる。別の例では、基板200は、ALDプロセスを開始する前に、WVGシステムから抽出した水蒸気に約15秒間露出させる。水蒸気は、WVGシステムに第1に水素源ガス(例えば、水素ガスまたは形成ガス)、酸素源ガス(例えば、酸素ガスまたは亜酸化窒素)を流すことで形成することができる。
[0024]プロセス100の一実施形態では、図5Aに描くように、酸化物層202は、ステップ402中に、ALD、CVD、PVD、熱技術、またはこれらの組合せのような気相堆積プロセスによって層201上に形成される。好ましい実施形態では、酸化物層202は2005年5月12日に提出され、共通に譲渡され同時係属中であり、さらにUS2005−0271813号として公告された米国出願番号11/127,767号、また、2005年5月12日に提出され、US2005−0271812号として公告され、共通譲渡され同時係属中の米国出願番号11/127,753号に記載されているALDプロセスおよび装置によって堆積させることができる。上記の出願の全体は、ALDプロセス中に使用される方法および装置を記載する目的で本明細書に組み込まれる。一般に、酸化物層202は、約5〜300Åの範囲内、好ましくは約10〜200Åの範囲内、より好ましくは約20〜100Åの範囲内の膜厚さで堆積させられる。幾つかの例では、酸化物層202は、約10〜60Åの範囲内、好ましくは約30〜40Åの範囲内の厚さに堆積させられる。
[0025]酸化物層202は基板表面上に堆積させられ、また、同質、異質、あるいは等級付けされ、また単層、複数層のスタックもしくは積層であってもよい様々な組成を有していてもよい。一般に、酸化物層202は酸化金属を含有した高K誘電材料である。そのため、酸化物層202は酸素と、少なくとも1つの金属、例えばハフニウム、ジルコニウム、チタン、タンタラム、ランタナム、アルミニウム、またはこれらの組合せとを含有している。酸化物層202内への幾つかのシリコン拡散が基板から生じることができ、通常、酸化物層202は実質的にシリコンを含んでいない。酸化物層202は、酸化ハフニウム(HfOまたはHfO)、ハフニウムオキシナイトライド(HfO)、ハフニウムアルミネート(HfAl)、酸化ハフニウムランタナム(HfLa)のようなハフニウム含有材料、また、酸化ジルコニウム(ZrOまたはZrO)、ジルコニウムオキシナイトライド(ZrO)、ジルコニウムアルミネート(ZrAl)、酸化ジルコニウムランタナム(ZrLa)のようなジルコニウム含有材料、さらに、酸化アルミニウム(AlまたはAlO)、アルミニウムオキシニトライド(AlO)、ランタナム酸化アルミニウム(LaAl)、酸化ランタナム(LaOまたはLa)、これらの合金、これらの誘導体のような他のアルミニウム含有材料またはランタナム含有材料、またはこれらの組合せを含む組成を有していてもよい。酸化物層202に有用であるその他の誘電材料には、酸化チタン(TiOまたはTiO)、チタンオキシナイトライド(TiO)、酸化タンタラム(TaOまたはTa)、タンタラムオキシナイトライド(TaO)を含んでいてもよい。酸化物層202に有用な誘電材料である積層膜には、HfO/Al、La/Al、HfO/La/Alが含まれる。
[0026]一実施形態では、場合によって、基板200を堆積後アニーリング(PDA)プロセスに露出させることができる。酸化物層202を含有した基板200がアニーリングチャンバへ移送され、PDAプロセスに露出される。このアニーリングチャンバは、例えばカリフォルニア州サンタクララにあるApplied Materials,Inc.より入手可能なCENTURA(登録商標)RADIANCE(登録商標)RTPチャンバのようなものである。基板200を周囲の環境に露出させることなくアニーリングすることができるように、アニーリングチャンバは、堆積チャンバおよび/またはプラズマチャンバと同じクラスタツール上にあってもよい。基板200は、約600〜1,200℃の範囲内、好ましくは約600〜1,150℃の範囲内、より好ましくは約600〜1,000℃の範囲内の温度にまで加熱することができる。PDAプロセスは、約1秒間〜5分間、好ましくは約1〜4分間、より好ましくは約2〜4分間の範囲内の時間だけ継続できる。一般に、チャンバ内大気には、少なくとも1つのアニーリングガス、例えば、酸素(O)、オゾン(O)、原子酸素(O)、水(HO)、一酸化窒素(NO)、亜酸化窒素(NO)、二酸化窒素(NO)、四酸化二窒素(N)、窒素(N)、アンモニア(NH)、ヒドラジン(N)、これらの誘導体、またはこれらの組合せが含有される。多くの場合、アニーリングガスは窒素と、例えば酸素のような少なくとも1つの酸素含有ガスを含有している。チャンバは、約5〜100トール、例えば約10トールの圧力を内部に有する。PDAプロセスの一例では、基板200は、酸素大気内で約4分間、約600度の温度に加熱された酸化物層202を含有する。
[0027]ステップ104では、図2Bに描かれているように、プラズマ処置した層204を形成する一方で誘電材料を高密度化するために、酸化物層202が不活性プラズマプロセスに露出される。不活性プラズマプロセスは、遠隔プラズマシステムで装備されたプロセスチャンバ内に不活性ガスを流すことで、枚葉式プラズマ窒化(DPN)チャンバまたは遠隔不活性ガスプラズマプロセスに不活性ガスを流すことで実行された枚葉式不活性ガスプラズマプロセスを含んでいてもよい。
[0028]不活性プラズマプロセスの一実施形態では、基板200は、例えばカリフォルニア州サンタクララにあるApplied Materials,Inc.より入手可能なCENTURA(登録商標)DPNチャンバのようなDPNチャンバ内へ移送される。1つの態様では、DPNチャンバは、酸化物層202を堆積させるために使用するALDチャンバと同じクラスタツールの上にある。このため、基板200は、周囲の環境に露出されることなく、不活性プラズマプロセスに露出される。不活性プラズマプロセス中に、酸化物層202は、DPNチャンバ内にアルゴンを流すことにより形成されたアルゴンイオンによって衝撃を受ける。不活性プラズマプロセス内で使用できるガスには、アルゴン、ヘリウム、ネオン、キセノン、またはこれらの組合せが含まれる。
[0029]窒素を不活性ガスと共流させた場合、酸化金属の金属オキシナイトライドへの変換のような、窒素による誘電材料の窒化物形成が生じる。プラズマプロセスの実行中に、窒化物形成プロセスに使用されるDPNチャンバ内に存在しがちな微量の窒素が不活性ガスとうっかり組み合わせられる。不活性プラズマプロセスは、少なくとも1つの不活性ガスを含有し、窒素(N)を含有しない、または微量のみの窒素を含有するガスを使用する。一実施形態では、不活性ガス中の残余窒素による窒素の濃度は約1体積%またはこれ未満、好ましくは約0.1%またはこれ未満、より好ましくは約100ppmまたはこれ未満、例えば約50ppmである。一例では、不活性プラズマプロセスはアルゴンを備え、窒素を含まないか、実質的に窒素を含まない。これにより、不活性プラズマプロセスによって誘電材料の安定性と密度が増加する一方で、膜換算膜厚(EOT)単位が減少する。
[0030]不活性プラズマプロセスは、約10秒間〜約5分間の期間、好ましくは約30秒間〜4分間、さらに好ましくは約1〜3分間続行される。また、不活性プラズマプロセスは、約500〜3,000ワット、好ましくは約700〜2,500ワット、より好ましくは約900〜1,800ワットの範囲内でのプラズマ電力設定で実施される。一般に、プラズマプロセスは約50〜100%の使用率と、約10kHzのパルス周波数で実施される。DPNチャンバは、約10〜80ミリトールの範囲内の圧力を有していてもよい。不活性ガスの流量は、約10基準立方センチメートル/分(sccm)〜約5基準リットル/分(slm)、好ましくは約50〜750sccm、より好ましくは約100〜500sccmであってもよい。好ましい実施形態では、不活性プラズマプロセスは、DPNチャンバ内で製造される窒素を含まないアルゴンプラズマである。
[0031]別の実施形態では、酸化物層202を堆積させるために使用されるプロセスチャンバを不活性プラズマプロセス中にも使用して、基板200をプロセスチャンバ間で移送することなく、プラズマ処置された層204を形成している。例えば、遠隔アルゴンプラズマが酸化物層202に露出されることで、プラズマ処置された層204が、遠隔プラズマデバイスで構成されたプロセスチャンバ、例えばALDチャンバまたはCVDチャンバの内に直接形成される。その他の、プラズマ処置された層204を形成する不活性プラズマプロセス、例えば基板200のレーザアニーリングが考えられる。
[0032]ステップ106では、基板200は熱アニーリングプロセスに露出される。一実施形態では、基板200は、カリフォルニア州サンタクララにあるApplied Materials,Inc.より入手可能なCENTURA(登録商標)RADIANCE(登録商標)RTPチャンバのようなアニーリングチャンバへ移送され、熱アニーリングプロセスに露出される。上記のCENTURA(登録商標)RADIANCE(登録商標)RTPチャンバは、カリフォルニア州サンタクララにあるApplied Materials,Inc.から入手可能である。チャンバ基板200を周囲の環境に露出させることなくアニーリングできるようにするために、アニーリングチャンバは、堆積チャンバおよび/または窒化物形成チャンバと同じクラスタツール上にあってもよい。基板200は、約600〜1,200℃、好ましくは約700〜1,150℃、より好ましくは約800〜1,000℃の範囲内の温度に加熱されてもよい。熱アニーリングプロセスは、約1〜120秒間、好ましくは約2〜60秒間、より好ましくは約5〜30秒間の範囲内の期間続行される。一般には、チャンバ大気は次のアニーリングガスを少なくとも1つを含有している:例えば酸素(O)、オゾン(O)、原子酸素(O)、水(HO)、一酸化窒素(NO)、亜酸化窒素(NO)、二酸化窒素(NO)、四酸化二窒素(N)、窒素(N)、アンモニア(NH)、ヒドラジン(N)、これらの誘導体、またはこれらの組合せ。多くの場合、アニーリングガスは窒素と、例えば酸素のような少なくとも1つの酸素含有ガスを含有している。チャンバは、約5〜100トール、例えば約10トールの圧力を内部に有する。熱アニーリングプロセスの一例では、基板200は、酸素大気内で約15秒間、約1,050℃の温度に加熱される。別の例では、基板200は、同等容量測定量の窒素および酸素を含有した大気内で約25秒間、約1,100度の温度に加熱される。
[0033]図5Cに描くように、熱アニーリングプロセスは、プラズマ処置層204を誘電材料またはアニーリング後の層206に変換する。熱アニーリングプロセスは、ステップ104中にプラズマ衝撃により生じたあらゆる損傷を修復し、アニーリング後の層206の固定電荷を低減する。誘電材料は無定形に維持され、約5〜25%、好ましくは約10〜20原子%の範囲内、例えば15%の窒素濃度を有する。アニーリング後の層206の膜厚さは約5〜300Å、好ましくは約10〜200Å、より好ましくは約20〜100Åである。幾つかの例では、アニーリング後の層206の厚さは約10〜60Å、好ましくは約30〜40Åである。
[0034]図3は、酸化ハフニウムをそれぞれ含有しているが、異なるプラズマプロセスに露出される2枚の基板上で測定した静電容量対電圧を図形的に図示している。基板Aは窒化物形成プラズマプロセスに露出され、一方、基板Bは不活性プラズマプロセスに露出される。その後、本明細書に記載されているように、基板A、Bは約1,000℃で熱アニーリングプロセスに露出される。両方の表面上で測定された静電容量は、基板Bの静電容量が基板Aのものよりも高いことを明かしている。基板Aは約2.35μF/cmの最大静電容量を有し、基板Bは約2.55μF/cmの最大静電容量を有する。
[0035]一実施形態では、本明細書に記載されている堆積プロセスによって堆積させた誘電材料またはアニーリング後の層206は、約2〜4μF/cm、好ましくは約2.2〜3μF/cm、より好ましくは約2.4〜2.8μF/cmの範囲内の静電容量有する。一例では、誘電材料は窒素を含有せず、または実質的に窒素を含有せず、少なくとも約2.4μF/cmの静電容量を有する。
誘電材料の窒素安定化
[0036]図4は、金属オキシナイトライド材料(例えば、HfOまたはTaO)のような誘電材料を形成する例示的なプロセス400を図示している。図5A〜図5Cは、トランジスタまたはキャパシタのような半導体デバイスに使用する誘電材料の形成を図示するためのプロセス400に関連している。層501上に堆積させた酸化物層502を含有する層501が窒化物形成プロセスに晒されて、オキシナイトライド層504(図5B)が形成され、次に、これが、熱アニーリングプロセスによってアニーリング後の層506に変換される(図5C)。
[0037]酸化物層502を堆積させる前に、層501を事前処置プロセスに露出させて、基板表面を様々な官能基で区切る。本明細書に記載されているように堆積プロセスを開始する前に有用な官能基には、水酸基(OH)、アルコキシル基(OR、この場合、R=Me、Et、Pr、Bu)、ハロキシル(OX、この場合、X=F、Cl、Br、I)、ハロゲン化物(F、Cl、Br,I)、酸素ラジカル、アミノまたはアミド(NRまたはNR、この場合、R=H、Me、Et、Pr、Bu)が含まれる。事前処置プロセスは基板を試薬に露出させるが、この試薬は例えばNH、B、SiH、SiH、HO、HF、HCI、O、O、HO、H、H、原子H、原子N、原子O、アルコール、アミン、これらのプラズマ、これらの誘導体、またはこれらの組合せである。官能基は、基板表面に付着させるための入ってくる化学前駆物質用の基部を提供する。事前処置プロセスは、基板500を、約1秒間〜2分間の範囲内の一定の期間、好ましくは約5〜60秒間試薬に露出させることが可能できる。事前処置プロセスはまた、基板500をRCA溶液(SC1/SC2)、HF除去した溶液、WVGまたはISSGシステムからの水蒸気、過酸化物溶液、酸性溶液、基底解、これらのプラズマ、これらの誘導体、これらの組合せに露出することを含む。有用な事前処置プロセスは、共通に譲渡された米国特許6,858,547号、2002年11月21日に提出され、US2003−0232501として公告された同時係属中の米国出願番号10/302,752号、「高誘電率材料の核を拡張するための表面事前処置(Surface Pre−Treatment for Enhancement of Nucleation of High Dielectric Constant Materials)」に記載されている。上記の両方の関連出願の全体は、事前処置方法および事前プロセス溶液の組成を記載する目的で、本明細書に組み込まれる。
[0038]事前処置プロセスの一例では、厚さ約10Åまたはこれ未満、例えば約5〜7Åの化学的酸化物層を形成するために、基板500を湿式洗浄プロセスに露出する前に、自然酸化物層の除去を行う。自然酸化物は、HF除去した溶液によって除去されてもよい。湿式洗浄プロセスは、カリフォルニア州サンタクララにあるApplied Materials,Inc.より入手可能なTEMPEST(商標)湿式洗浄システムの内部において実行できる。別の例では、基板500は、ALDプロセスを開始する前に、WVGシステムから抽出した水蒸気に約15秒間露出させる。
[0039]プロセス400の一実施形態では、図5Aに描くように、酸化物層502は、ステップ402中に、ALD、CVD、PVD、熱技術、またはこれらの組合せのような気相堆積プロセスによって層501上に形成される。一実施形態では、酸化物層502は、プロセス100で記載されたALDプロセスおよび装置によって堆積させることができる。一般に、酸化物層502は、約5〜300Åの範囲内、好ましくは約10〜200Åの範囲内、より好ましくは約20〜100Åの範囲内の膜厚さで堆積させられる。幾つかの例では、酸化物層502は、約10〜60Åの範囲内、好ましくは約30〜40Åの範囲内の厚さに堆積させられる。
[0040]酸化物層502は基板表面上に堆積させられ、また、同質、異質、あるいは等級付けされ、また単層、複数層のスタックもしくは積層であってもよい様々な組成を有していてもよい。一般に、酸化物層502は酸化金属または金属オキシナイトライドを含有した高K誘電材料である。そのため、酸化物層502は酸素と、少なくとも1つの金属、例えばハフニウム、ジルコニウム、チタン、タンタラム、ランタナム、アルミニウム、またはこれらの組合せとを含有している。酸化物層502内への幾つかのシリコン拡散が基板から生じることができ、通常、酸化物層502は実質的にシリコンを含んでいない。酸化物層502は、酸化ハフニウム(HfOまたはHfO)、ハフニウムオキシナイトライド(HfO)、ハフニウムアルミネート(HfAl)、酸化ハフニウムランタナム(HfLa)のようなハフニウム含有材料、また、酸化ジルコニウム(ZrOまたはZrO)、ジルコニウムオキシナイトライド(ZrO)、ジルコニウムアルミネート(ZrAl)、酸化ジルコニウムランタナム(ZrLa)のようなジルコニウム含有材料、さらに、酸化アルミニウム(AlまたはAlO)、アルミニウムオキシニトライド(AlO)、ランタナム酸化アルミニウム(LaAl)、酸化ランタナム(LaOまたはLa)、これらの合金、これらの誘導体のような他のアルミニウム含有材料またはランタナム含有材料、またはこれらの組合せを含む組成を有していてもよい。酸化物層502に有用である他の誘電材料には、酸化チタン(TiOまたはTiO)、チタンオキシナイトライド(TiO)、酸化タンタラム(TaOまたはTa)、タンタラムオキシナイトライド(TaO)を含んでいてもよい。酸化物層502に有用な誘電材料である積層膜には、HfO/Al、La/Al、HfO/La/Alが含まれる。
[0041]一実施形態では、場合によって、基板500を堆積後アニーリング(PDA)プロセスに露出させることができる。酸化物層502を含有した基板500がアニーリングチャンバへ移送され、PDAプロセスに露出される。このアニーリングチャンバは、例えばカリフォルニア州サンタクララにあるApplied Materials,Inc.より入手可能なCENTURA(登録商標)RADIANCE(登録商標)RTPチャンバのようなものである。基板500を周囲の環境に露出させることなくアニーリングすることができるように、アニーリングチャンバは、堆積チャンバおよび/または窒化チャンバと同じクラスタツール上にあってもよい。基板500は、約600〜1,200℃の範囲内、好ましくは約600〜1,150℃の範囲内、より好ましくは約600〜1,000℃の範囲内の温度にまで加熱することができる。PDAプロセスは約1秒間〜5分間、好ましくは約5秒間〜4分間、より好ましくは約1〜4分間の範囲内の時間だけ継続できる。一般に、チャンバ内大気には、少なくとも1つのアニーリングガス、例えば、酸素(O)、オゾン(O)、原子酸素(O)、水(HO)、一酸化窒素(NO)、亜酸化窒素(NO)、二酸化窒素(NO)、四酸化二窒素(N)、窒素(N)、アンモニア(NH)、ヒドラジン(N)、これらの誘導体、またはこれらの組合せが含まれる。多くの場合、アニーリングガスは窒素と、例えば酸素のような少なくとも1つの酸素含有ガスを含有している。チャンバは、約5〜100トール、例えば約10トールの圧力を内部に有する。PDAプロセスの一例では、基板500は、酸素大気内で約4分間、約600℃の温度に加熱された酸化物層502を含有する。
[0042]ステップ404では、図5Bに描くように、酸化物層502は、窒素原子を誘電材料内に物理的に組み込んでオキシナイトライド層504を形成する窒化物形成プロセスに露出される。窒化物形成プロセスはまた、誘電材料の密度を増加させる。窒化物形成プロセスは、枚葉式プラズマ窒化(DPN)、遠隔プラズマ窒化物形成、熱線誘導された原子N、誘電体堆積中(例えば、ALDまたはCVDプロセス中)における窒素組み込みを含んでいてもよい。通常、オキシナイトライド層504は表面において窒素が豊富である。オキシナイトライド層504の窒素濃度は約5〜40原子%、好ましくは約10〜25原子%の範囲内であってもよい。窒化物形成プロセスは酸化物層502を、DPNプロセスのような窒素プラズマに露出させることが好ましい。
[0043]窒化物形成プロセスの一実施形態では、基板500は、カリフォルニア州サンタクララにあるApplied Materials,Inc.より入手可能なCENTURA(登録商標)DPNチャンバのようなDPNチャンバ内へ移送される。1つの態様では、DPNチャンバは、酸化物層502の堆積に使用したALDチャンバと同じクラスタツール上にある。このため、基板を、周囲の環境に露出することなく、窒化物形成プロセスに露出することができる。DPNプロセス中に、窒素(N)とアルゴンなどの不活性または貴ガスプラズマとを共流させることで形成された原子Nによって、酸化物層502に対して衝撃を与えることができる。窒素の他にも、アンモニア(NH)、ヒドラジン(例えば、N、MeN)、アミン(例えば、MeN、MeNH、MeNH)、アニリン(例えば、CNH)、アジ化物(例えば、MeN、MeSiN)のような他の窒素含有ガスを使用して窒素プラズマを形成することが可能である。プラズマプロセスに使用できるガスには、アルゴン、ヘリウム、ネオン、キセノン、またはこれらの組合せが含まれる。
[0044]窒化物形成プラズマは窒素源ガスと不活性ガスを含有しているため、窒素と不活性ガスの混合物を含有したプロセスガスをプラズマチャンバ内に導入するか、窒素と不活性ガスをプラズマチャンバ内に流す、または共流させることができる。窒化物形成プラズマの窒素濃度は約5〜95体積%、好ましくは約25〜70体積%、より好ましくは約40〜60体積%の範囲内であってもよく、一方その他は不活性ガスである。通常、窒化物形成プラズマ中の窒素濃度は約50体積%またはこれ未満である。一例では、窒素濃度は約50体積%であり、かつアルゴン濃度は約50体積%である。別の例では、窒素濃度は約40体積%であり、アルゴン濃度は約60%である。別の例では、窒素濃度は約25体積%であり、アルゴン濃度は約75体積%である。
[0045]窒素の流量は約10sccm〜5slm、好ましくは約50〜500sccm、より好ましくは約100〜250sccmの範囲内である。不活性ガスの流量は約10sccm〜5slm、好ましくは50〜750sccm、より好ましくは約100〜500sccmの範囲内である。窒素と不活性ガスを含有したプロセスガス、流動または共流している窒素と不活性ガスの流量は、約10sccm〜5slm、好ましくは約100〜750sccm、より好ましくは約200〜500sccmの範囲内であってもよい。DPNチャンバは約10〜80ミリトールの範囲内の圧力を有していてもよい。窒化物形成プロセスは約10秒間〜5分間、好ましくは約30秒間〜4分間、より好ましくは約1〜3分間の期間で進行する。また、窒化物形成プロセスは、約500〜3,000ワット、好ましくは約700〜2,500ワット、より好ましくは約900〜1,800ワットのプラズマ電力設定時に実施される。一般には、プラズマプロセスは約50〜100%の使用率、約10kHzのパルス周波数で実施される。好ましい実施形態では、窒化物形成プロセスはDPNプロセスであり、アルゴンと窒素を共流させることでプラズマを含む。
[0046]別の実施形態では、酸化物層502の堆積に使用されているプロセスチャンバを、基板500をプロセスチャンバ間で移送することなく、オキシナイトライド層504を形成する窒化物形成プロセス中にも使用できる。例えば、窒素遠隔プラズマは酸化物層502に露出され、遠隔プラズマデバイスで構成されたプロセスチャンバ、例えばALDチャンバまたはCVDチャンバの内部にオキシナイトライド層504が直接形成される。熱または熱線によってラジカル窒素組成も製造され、これが窒化物形成プロセス中に使用される。その他の、オキシナイトライド層504を形成する窒化物形成プロセスも考えられ、これには例えば、窒素含有環境内で基板をアニーリングすること、および/または、オキシナイトライド層504の形成中に、窒素前駆物質をALD周期のさらなる半反応を含めることが含まれる。例えば、酸化ハフニウムを形成するためのALD周期中におけるさらなる半反応は、アンモニアのパルスと、この後のパージガスのパルスを含んでいてもよい。
[0047]ステップ406では、基板500は熱アニーリングプロセスに露出される。一実施形態では、基板500は、カリフォルニア州サンタクララにあるApplied Materials,Inc.より入手可能なCENTURA(登録商標)RADIANCE(登録商標)RTPチャンバのようなアニーリングチャンバへ移送され、熱アニーリングプロセスに露出される。基板500を周囲環境に露出することなくアニーリングプロセスできるように、アニーリングチャンバは、堆積チャンバおよび/または窒化物形成チャンバと同じクラスタツール上にあってもよい。基板500は、約600〜1,200℃、好ましくは約700〜1,150℃、より好ましくは約800〜1,000℃の範囲内の温度に加熱される。熱アニーリングプロセスは、約1〜120秒間、好ましくは約2〜60秒間、より好ましくは約5〜30秒間の範囲内の期間続行できる。一般に、チャンバ大気は少なくとも1つのアニーリングガス、例えば酸素(O)、オゾン(O)、原子酸素(O)、水(HO)、一酸化窒素(NO)、亜酸化窒素(NO)、二酸化窒素(NO)、四酸化二窒素(N)、窒素(N)、アンモニア(NH)、ヒドラジン(N)、これらの誘導体、またはこれらの組合せが含まれる。多くの場合、アニーリングガスは窒素と、例えば酸素のような少なくとも1つの酸素含有ガスとを含有している。チャンバ内の圧力は約5〜100トール、例えば約10トールであってもよい。熱アニーリングプロセスの一例では、基板500が、酸素大気内で約15秒間、1,050℃にまで加熱される。別の例では、基板500は、同等容量測定量の窒素および酸素を含有した大気内で、約25秒間、約1,100℃にまで加熱される。
[0048]図5Cに描くように、熱アニーリングプロセスは、オキシナイトライド層504を誘電材料またはアニーリング後の層506に変換する。熱アニーリングプロセスは、ステップ404のプラズマ衝撃によって生じたあらゆる損傷を修復し、アニーリング後の層506の固定電荷を低減する。誘電材料は無定形に維持され、約5〜25%、好ましくは約10〜20原子%の範囲内、例えば15%の窒素濃度を有する。アニーリング後の層506の膜厚さは約5〜300Å、好ましくは約10〜200Å、より好ましくは約20〜100Åである。幾つかの例では、アニーリング後の層506の厚さは約10〜60Å、好ましくは約30〜40Åである。
[0049]一例では、図6Aは、それぞれがハフニウム酸化物を含有するが、露出されていない、または異なる熱プロセスに露出されていない3枚の基板上で測定した静電容量対電圧を図形的に図示している。本明細書に記載するように、基板Aをプラズマプロセスまたは熱アニーリングプロセスに露出せず、基板Bを窒化物形成プラズマプロセスおよび約500℃の熱アニーリングプロセスに露出し、基板Cを本明細書に記載されているように窒化物形成プラズマプロセスおよび約1,000℃の熱アニーリングプロセスに露出した。表面上で測定された静電容量は、基板Cの静電容量が、基板Aよりも高い静電容量を有する基板Bの静電容量よりも高いことを明かしている。基板Aの静電容量は約1.75μF/cm、基板Bの最大静電容量は約1.95μF/cm、基板Cの最大静電容量は約2.35μF/cmである。また、既にアニーリングプロセスが施された基板Bは、基板Aよりも熱的に安定している。基板Aは、恐らくは、基板製造プロセスで経験する上昇した温度に露出されると結晶化し、一方、基板Bは無定形を維持する。
[0050]図6Bは、基板Cの電流密度が基板Aおよび基板B両方の電流密度よりも低いことを明かすために、各表面上で測定された漏電を図形的に図示している。基板A、基板Bのそれぞれは約100Å/cmよりも高い電流密度を有し、基板Cは約1Å/cmよりも低い密度を有する。
[0051]さらに、既にアニーリングプロセスが施された基板B、基板Cは基板Aよりも熱的に安定しており、基板Cはこれらよりも高い温度でアニーリングされており、基板Bよりも熱的に安定している。基板Aは、恐らくは、後続の製造工程で経験する上昇した温度に露出されると結晶化し、一方、基板Cは無定形に維持される。基板Bは、上昇した温度が約500℃に達すると結晶化する。
[0052]別の実施形態においては、本明細書に記載された、堆積工程により堆積させた誘電材料またはアニーリング後の層506の静電容量は約1.5〜3μF/cm、好ましくは約2〜2.7μF/cm、より好ましくは約2.2〜2.5μF/cmの範囲内である。一例では、誘電材料は窒素を含有しており、約2.35μF/cmまたはこれ未満の静電容量を有する。
[0053]膜換算膜厚(EOT)標準は、MOSゲート内の高K誘電材料の性能を、MOSゲート内の酸化シリコン(SiO)ベースの材料の性能と比較するために使用できる。EOT値は、酸化シリコン材料の厚さとしてのゲート静電容量を得るのに必要な高K誘電材料の厚さと相互に関連する。(名称からわかるように)高K誘電材料の誘電率(K)は二酸化シリコンの約3.9ものよりも高いため、材料の厚さと材料のK値の間の相互関連はEOT値によって評価できる。例えば、K値が約32で、層厚さが約5nmのハフニウム含有材料は、約0.6nmのEOT値を有する。したがって、誘電材料のK値を増加させ、誘電材料を高密度化して厚さを減少させることによって、これよりも低いEOT値を実現できるようになる。そのため、誘電材料のこれよりも低いEOT値の一部は、緻密化処理によって、より高いK値と、より薄型で高密度の層によって生じる。
誘電材料の堆積プロセス
[0054]一般的に、本明細書に記載されている誘電層は、酸化物層202、502を含んだ金属材料を含有しており、ALDプロセス、対流CVDプロセス、PVDプロセスによって堆積される。一実施形態では、原子層堆積プロセス中に基板上に誘電材料を形成する方法を提供し、この方法では、プロセスチャンバ内に基板を位置決めするステップを含み、さらに、基板を酸化ガスと、例えばハフニウム前駆物質、ジルコニウム前駆物質、シリコン前駆物質、アルミニウム前駆物質、タンタラム前駆物質、チタン前駆物質、ランタン前駆物質、これらの組合せといった少なくとも1つの前駆物質とに連続的に露出させるステップを含む。堆積プロセス中に形成される誘電材料の例には、酸化ハフニウム、酸化ジルコニウム、酸化ランタン、酸化タンタラム、酸化チタン、酸化アルミニウム、これらの誘導体、または組合せが含まれる。水素源ガスと酸素源ガスを水蒸気生成器を通過するように流すことで、水蒸気を含有した酸化ガスを形成できる。水蒸気生成器は、パラジウム、プラチナ、ニッケル、鉄、クロム、ルテニウム、ロジウム、これらの組合せ、またはこれらの合金を含有した触媒を有する。水素源ガスおよび/または酸素源ガスは、追加のガスによって希釈することができる。例えば、窒素中に約5体積%の水素を含有した形成ガスを、水素源ガスとして使用できる。幾つかの例では、超過量の酸素源ガスを水蒸気生成器内に提供することで、酸化ガスと酸素豊富な水蒸気を提供する。別の例では、酸化ハフニウム材料または他の酸化金属材料の堆積の後の事前浸潤プロセス中に基板が酸化ガスに露出される。
[0055]典型的に、酸化金属材料(例えば、酸化物層202、502)を形成するALDプロセスは、圧力約1〜100トール、好ましくは約1〜20トール、より好ましくは約1〜10トールの範囲のプロセスチャンバ内で実施される。通常、基板の温度は約70〜1,000℃、好ましくは約100〜650℃、より好ましくは約250〜500℃の範囲内に維持される。ALD堆積プロセスのさらなる開示が、2005年5月12日に提出され、US2005−0271813として公告された、共通に譲渡された米国出願11/127,767号に記載されている。上記の出願の全体は、ALDプロセス中に使用される方法および装置を記述する目的で本発明に組み込まれる。
[0056]一例では、ハフニウム前駆物質が、約5〜200sccmの範囲内の流量でプロセスチャンバ内に導入される。通常、ハフニウム前駆物質は窒素のようなキャリアガスと共に、約50〜1,000sccmの範囲内の総流量で導入される。ハフニウム前駆物質は、特定のプロセス条件、ハフニウム前駆物質、または堆積された酸化ハフニウム材料の望ましい組成に応じて、約0.1〜10秒間の範囲内の流量でプロセスチャンバ内に律動的に送られる。一実施形態では、ハフニウム前駆物質は、約1〜5秒間の範囲内、例えば3秒間の流量でプロセスチャンバ内に律動的に送られる。別の実施形態では、ハフニウム前駆物質は、約0.1〜1秒間の範囲内、例えば0.5秒間の流量でプロセスチャンバ内に律動的に送られる。一例では、ハフニウム前駆物質は四塩化ハフニウム(HfCl)であることが好ましい。別の例では、ハフニウム前駆物質はテトラキス(ジアルキルアミド)ハフニウム化合物、例えばテトラキス(ジエチルアミド)ハフニウム((EtN)HfまたはTDEAH)であることが好ましい。
[0057]一般的に、ハフニウム前駆物質を含有したアンプルを介してキャリアガスを導入することで、ハフニウム前駆物質が処理チャンバ内に分配される。アンプルは、アンプル、バブル、カートリッジまたは化学前駆物質を含有し、且つ分配するために使用される他のコンテナを含んでもよい。PROE−VAP(商標)といった適切なアンプルは、コネチカット州ダンブリーにあるAdvanced Technology Materials,Inc.から入手可能である。一例では、アンプルは、HfClを約150〜200℃の範囲内で含有している。別の例では、アンプルは液体前駆物質(例えば、TDEAH、TDMAH、TDMAS、TrisDMAS)を含有していてよく、また、加熱したキャリアガスで液体前駆物質を気化させるために使用される射出弁システムを含有した液体送出システムの一部であってもよい。一般的に、アンプルは約138kPa(約20psi)〜414kPa(約60psi)の範囲内の圧力で加圧され、また、約100またはこれ未満の温度、好ましくは約20〜60℃の範囲内の温度に加熱される。
[0058]処理チャンバ内に酸化ガスを、約0.05〜1,000sccmの範囲内の流量、好ましくは約0.5〜100sccmの範囲内の流量で導入することができる。酸化ガスは処理チャンバ内に、約0.05〜10秒間、好ましくは約0.08〜3秒間、より好ましくは約0.1〜2秒間の範囲内で律動的に送られる。一実施形態では、酸化ガスは約1〜5秒間の範囲内、例えば約1.7秒間の流量で律動的に送られる。別の実施形態では、酸化ガスは約0.1〜3秒間、例えば約0.5秒間の流量で律動的に送られる。
[0059]酸化ガスは、処理チャンバと流体連通した水蒸気生成器(WVG)システムで製造される。WVGシステムは、低温度(例えば<500℃)における酸素源ガス(例えばO)および水素源ガス(例えばH)の触媒反応の手段により、超高純度の水蒸気を生成する。水素源ガスおよび酸素源ガスはそれぞれ、約5〜200sccm、好ましくは約10〜100sccmの範囲内の流量でWVGシステム内へ流れる。一般的に、酸素源ガスおよび水素源ガスの流量は、酸化ガスの流出量中における酸素または酸素源ガスの存在、水素または水素源ガスの欠如を有するように、独立的に調整される。
[0060]水蒸気を含有する酸化ガスを生成するために有用な酸素源ガスは、酸素(O)、原子酸素(O)、オゾン(O)、亜酸化窒素(NO)、一酸化窒素(NO)、二酸化窒素(NO)、五酸化二窒素(N)、過酸化水素(H)、これらの誘導体または組合せを含む。水蒸気を含有した酸化ガスを生成するために有用な水素源ガスは、水素(H)、原子水素(H)、形成ガス(N/H)、アンモニア(NH)、炭化水素(例えばCH)、アルコール(例えばCHOH)、これらの誘導体または組合せを含む。キャリアガスは酸素源ガスまたは水素源ガスと共流させることができ、N、He、Ar、あるいはこれらの組合せを含んでいてもよい。酸素源ガスは酸素または亜酸化窒素であり、水素源ガスは水素または、窒素中に5体積%の水素を含有したもののような形成ガスである。
[0061]水素源ガスと酸素源ガスをキャリアガスで希釈することで、堆積プロセス中に酸化ガス中の水蒸気の感度制御が提供される。一実施形態では、ALDプロセス中にハフニウム含有材料または他の誘電材料を形成するために化学反応を完了するためには、より遅い水蒸気の流量(約<10sccm水蒸気)が望ましい。より遅い水蒸気の流量により、酸化ガス中の水蒸気濃度が希釈される。希釈された水蒸気は、基板表面上に吸収された前駆物質を酸化させる濃度にある。このため、水蒸気の流れがより遅いために、水蒸気への露出後の浄化時間が最小化され、製造スループットが増加する。さらに、より遅い水蒸気の流量によって、望ましくない共反応が回避されることで、微粒子汚染物質の形成が減少する。マスフローコントローラ(MFC)を使用して、約0.5sccmの流量で水蒸気の流れを製造しながら、水素源ガスを約0.5sccmの流量で制御することができる。しかし、殆どのMFCシステムは、このような遅い速度での一貫した流量を提供することができない。このため、希釈した水素源ガス(例えば形成ガス)をWVGシステムとして使用することで、より遅い水蒸気の流量を達成することができる。一例では、流量約10sccmで、5%の水素形成ガスを含有した水素源ガスは、WVGシステムから水蒸気を約0.5sccmの流量で送出する。代替の実施形態では、ハフニウム含有材料または他の誘電材料を形成しながら、ALDプロセス中に化学反応を完了するために、より高速な水蒸気の流量(約>10sccm水蒸気)を用いることが望ましい。例えば、約100sccmの水素ガスは約100sccmの水蒸気を送出する。
[0062]形成ガスは、アルゴンまたは窒素のようなキャリアガス中の体積約1〜95%の水素濃度で選択することができる。1つの態様では、形成ガスの水素濃度は体積約1〜30%、好ましくは約2〜20%、より好ましくは約3〜10%の範囲内にあり、例えば形成ガスは約5%の水素と約95%の窒素を含有していてもよい。別の態様では、キャリアガス中における形成ガスの水素濃度は約30〜95%、好ましくは約40〜90%、より好ましくは約50〜85%の範囲内であり、例えば、形成ガスは約80%の水素と約20%の窒素を含有していてもよい。
[0063]一例では、WVGシステムは、水素(95%の窒素)含有率5%、流量約10sccmの水素源ガスを受容し、また、流量10sccmの酸素源ガス(例えばO)を受容して、流量約0.5sccmの水蒸気と、流量約9.8sccmの酸素とを含有した酸化ガスを形成する。別の例では、WVGシステムは、流量約20sccmで、5%の水素形成ガスを含有した水素源ガスと、流量約10sccmの水蒸気ガスを設けた酸素源ガスとを受容して、流量約1sccmの水蒸気と、流量約9sccmの水素とを含有した酸化ガスを形成する。別の例では、WVGシステムは、流量約20sccmの水素ガスと、流量約10sccmの酸素源ガスとを含有した水素源ガスを受容して、流量約10sccmの水蒸気と、流量約9.8の酸素とを含有した酸化ガスを形成している。別の例では、ALDプロセス中に水蒸気を形成するために、酸素源ガスとしての亜酸化窒素を水素源ガスと共に使用している。一般的に、それぞれのモルと同等の酸素ガスを、2モルと同等の亜酸化窒素で代用している。
[0064]WVGシステムは、触媒ラインが付いた反応器または触媒カートリッジのような触媒を含有しており、この場合、水素源と酸素源の間の触媒化学反応によって水蒸気を含有した酸化ガスが生成される。WVGシステムの温度は、点火反応によって水蒸気を製造する発熱性生成器とは異なり、通常、1,000℃を超える。通常、触媒を含有したWVGシステムは、約100〜500℃の範囲内、好ましくは約350℃またはこれ未満の低温で水蒸気を製造する。触媒反応器内に含有された触媒は、パラジウム、プラチナ、ニッケル、鉄、クロム、ルテニウム、ロジウム、これらの合金または組合せといった金属または合金を含んでいてもよい。超高純度の水蒸気は、本発明のALDプロセスに理想的である。一実施形態では、反応しなかった水素が下流へ流れることを防止するために、酸素源ガスがWVGシステムへ約5秒間だけ流れられるようにしている。次に、水素源ガスは約5秒間だけ反応器へ流入できるようになる。酸素源ガスと水素源ガス(例えばHとO)の間の触媒反応により水蒸気が生成される。酸素源ガスと水素源ガスの流れを規制することで、形成された酸化ガス含有水蒸気中の酸素および水素濃度の精密な制御が可能となる。水蒸気は水素源ガスおよび酸素源ガスの残余物、またはこれらの組合せの残余物を含有していてもよい。適切なWVGシステムは市販されており、例えば、カリフォルニア州サンタクララにあるFujikin of America,Inc.より市販の「水蒸気生成器(Water Vapor Generator)(WVG)」システム、また、カリフォルニア州メンロパークにあるUltra Clean Technologyより市販の「触媒ステム生成器システム(Catalyst Steam Generotor System)(CSGS)」がある。
[0065]ALD周期中の、ハフニウム前駆物質、酸化ガス、その他の前駆物質の各パルス後に、浄化ガスまたはキャリアガス、好ましくはアルゴンまたは窒素のパルスがプロセスチャンバ内に連続的に導入される。典型的に、浄化ガスまたはキャリアガスのパルスは、約2標準リットル/分〜約22slmの範囲内、好ましくは約10slmの流量で導入される。約0.01〜20秒間の範囲内の期間について各処理周期が発生する。一例では、プロセス周期は約10秒間継続する。別の例では、プロセス周期は約2秒間継続する。これよりも長い約10秒間の間継続する処理ステップでは、非常に優れたハフニウム酸化膜を堆積させるが、スループットが低下する。特定の浄化ガスの流量と、プロセス周期の期間が経験より得られる。一例では、直径300mmのウェーハで直径200mmのウェーハの場合と類似のスループットを維持するためには、直径200mmのウェーハの場合と同じ継続期間にかけて約2倍の流量を必要とする。
[0066]一実施形態では、堆積させた材料からのハロゲン汚染物質を減少させるために、水素ガスをキャリアガス、浄化ガスおよび/または反応ガスとして適用している。ハロゲン原子(例えばHfCl、ZrCl、TaF)を含有する前駆物質は、堆積させた誘電材料を容易に汚染してしまう。ハロゲンは還元剤であり、揮発性および除去可能な副産物としてのハロゲン化水素(例えばHCIやHF)を製造する。これにより、水素を前駆物質化合物(例えばハフニウム前駆物質)と組み合わせてキャリアガスまたは反応ガスとして使用することができ、また水素は別のキャリアガス(例えばArやN)を含んでいてもよい。一例では、堆積させた材料のハロゲン濃度を低下させ、酸素濃度を増加させるために、約100〜500℃の範囲内の温度の水/水素混合物を使用している。一例では、WVGシステムに過剰な水素源ガスを供給して水素が豊富な水蒸気を形成することで、水/水素混合物を導出できる。
[0067]本明細書に記載されている、材料を堆積させるための幾つかの実施形態では、伝統的な酸化剤のような代替酸化ガスを、WVGシステムから形成した水蒸気を含有した酸化ガスの代わりに使用することができる。代替酸化ガスは酸素源からプロセスチャンバに導入され、プロセスチャンバは、WVGシステムから導出したものではない水、酸素(O)、オゾン(O)、原子酸素(O)、過酸化水素(H)、亜酸化窒素(NO)、一酸化窒素(NO)、四酸化二窒素(N)、二酸化窒素(NO)、これらの誘導体または組合せを含有している。本発明の実施形態は、WVGシステムから形成された水蒸気を含有する酸化ガスから恩典を得るプロセスを提供し、別の実施形態は、本明細書に記載されている堆積プロセスの最中にハフニウム含有材料および他の誘電材料を形成しながら、代替の酸化ガスあるいは伝統的な酸化剤を利用したプロセスを提供する。
[0068]多くの前駆物質は、本明細書に記載されている誘電材料を堆積させるための本発明による実施形態の範囲内に入る。1つの重要な前駆物質特徴は、好適な蒸気圧を有していることである。環境温度および圧力にある前駆物質はガス、液体、固体であってもよい。しかし、ALDチャンバ内で使用されるのは揮発性にした前駆物質である。有機金属化合物は、少なくとも1つの金属原子と少なくとも1つの有機化合物含有官能基、例えばアミド、アルキル、アルコキシル、アルキルアミド、またはアニリドとを含有している。前駆物質は有機金属化合物、無機化合物、ハロゲン化合物を含んでいてもよい。
[0069]例示的なハフニウム前駆物質は、ハロゲン化物、アルキルアミド、サイクロペンタジエニル、アルキル、アルコキシド、これらの誘導体または組合せのような配位子を含有したハフニウム化合物を含む。ハフニウム前駆物質として有用なハフニウムハロゲン化合物は、HfCl、Hfl、HfBrを含んでいてもよい。ハフニウム前駆物質として有用なハフニウムアルキルアミド化合物は(RR’N)Hfを含んでおり、この場合、RまたはR’は独立的に水素、メチル、エチル、プロピル、ブチルである。ハフニウム含有材料を堆積させるために有用なハフニウム前駆物質は次を含んでいてもよい:(EtN)Hf、(MeN)Hf、(MeEtN)Hf、(BuCHfCl、(CHfCl、(EtCHfCl、(MeHfCl、(Me)HfCl、(PrCHfCl、(PrC)HfCl、(BuCHfMe、(acac)Hf、(hfac)Hf、(tfac)Hf、(thd)Hf、(NOHf、(BuO)Hf、(PrO)Hf、(EtO)Hf、(MeO)Hf、またはこれらの誘導体。好ましくは、ここでの堆積プロセス中に使用されるハフニウム前駆物質は、HfCl、(EtN)Hf、(MeN)Hfを含む。
[0070]代替実施形態では、金属前駆物質を、WVGシステムから導出した水蒸気を含有した酸化ガスと共に連続して律動的に送ることによって、様々な酸化金属または金属オキシナイトライドを形成することができる。本明細書で開示しているALDプロセスは、ハフニウム前駆物質を他の金属前駆物質で代用し、さらなる誘電性材料、例えばハフニウムアルミネート、チタンアルミネート、オキシ窒化チタン、酸化ジルコニウム、オキシ窒化ジルコニウム、ジルコニウムアルミネート、酸化チタン、タンタラムオキシナイトライド、酸化チタン、酸化アルミニウム、アルミニウムオキシナイトライド、酸化ランタン、ランタンオキシナイトライド、ランタンアルミネート、これらの合金、誘導体、または組合せを形成することによって変えることができる。一実施形態では、層どうしを重ねて堆積させるために、2つ以上のALDプロセスが同時発生的に実施される。例えば、組み合わせたプロセスは、第1誘電材料を形成するための第1ALDプロセスと、第2誘電材料を形成する第2ALDプロセスとを含む。組み合わせたプロセスは、様々なハフニウム含有材料、例えばハフニウムケイ酸アルミニウム、またはハフニウムアルミニウムシリコンオキシナイトライドを製造するために使用できる。一例では、第1ハフニウム含有材料を基板上に堆積させ、次にこの上に第2ハフニウム含有材料を堆積させることによって、誘電スタック材料が形成される。第1および第2ハフニウム含有材料はこれらの組成において異なっていてもよいため、或る層は酸化ハフニウムを、別の層はケイ酸ハフニウムを含有することができる。1つの態様では、より下の層はケイ素を含有している。本明細書に記載されているALDプロセス中に使用される代替金属前駆物質には次が含まれる:ZrCl、CpZr、(MeN)Zr、(EtN)Zr、TaF、TaCl、(BuO)Ta、(MeN)Ta、(EtN)Ta、(MeN)Ta(NBu)、(EtN)Ta(NBu)、TiCl、Til、(PrO)Ti、(MeN)Ti、(EtN)Ti、AlCl、MeAl、MeAlH、(AMD)La、((MeSi)(Bu)N)La、((MeSi)N)La、(BuN)La、(PrN)La、これらの誘導体または組合せ。
[0071]本明細書で使用している「基板表面」とは、膜処理が実行される、基板上に形成された任意の基板または材料表面である。例えば、処理が実行される基板表面は、シリコン、酸化シリコン、ストレインドシリコン、シリコン・オン・インシュレータ(SOI)、炭素ドープした酸化シリコン、窒化シリコン、ドープしたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアのような材料、およびこれら以外の任意の材料、例えば金属、窒素、金属合金、その他の伝導材料を用途に応じて含んでいる。基板表面上のバリア層、金属、窒化金属には、チタン、窒化チタン、窒化タングステン、タンタラム、窒化タンタラムが含まれる。基板は、直径200mmまたは300mmのウェーハ、並びに矩形または四角形の1区画といったように様々な寸法を有する。記載がない限り、本明細書に記載されている実施形態および例は、直径200mmまたは300mmの基板上で、より好ましくは300mmの基板上で好ましく実施される。本明細書に記載されている実施形態のプロセスは、多くの基板および表面上にハフニウム含有材料を堆積させるものである。本発明の実施形態が面上において有用である基板は半導体ウェーハに制限されず、結晶シリコン(例えばSi<100>またはSi<111>)、酸化シリコン、ストレインドシリコン、シリコンゲルマニウム、ドープされた、または無ドープのポリシリコン、ドープされた、または無ドープのウェーハ、パターン化された、またはパターン化されていないウェーハを含む。基板は、研磨、エッチング、還元、水酸化、および/またはアニーリングプロセスを施すために、事前処置プロセスに露出される。
[0072]本明細書で使用している「原子層堆積」または「周期堆積」は、基板表面上に材料の層を堆積させるために、2つ以上の反応化合物の連続的な導入を意味する。2つ、3つ、これ以上の反応化合物を、プロセスチャンバの反応ゾーン内に交互に導入してもよい。通常、各反応化合物が基板表面上において接着および/または反応できるようにするために、各化合物は時間的に遅延させることで分離されている。1つの態様では、第1前駆物質または化合物Aは反応ゾーン内へ律動的に送られ、この後に第1時間遅延が追随する。次に、第2前駆物質または化合物Bが反応ゾーン内へ律動的に送られ、この後に第2時間遅延が追随する。各時間遅延の最中に、窒素のような浄化ガスがプロセスチャンバ内に導入されて、反応ゾーンを浄化するか、若しくは反応ゾーンから残留反応化合物または副産物を全て除去する。あるいは、堆積プロセス全体にかけて浄化ガスを連続的に流すことで、反応化合物のパルスの間の時間遅延の最中に浄化ガスのみが流れるようにしてもよい。基板表面上に所望の膜または膜厚さが形成されるまで、反応化合物が交互に律動的に送られる。いずれのシナリオにおいても、化合物Aの律動的な送り、浄化ガス、化合物Bの律動的な送り、浄化ガスというALDプロセスが1つの周期になっている。周期は化合物Aまたは化合物Bのどちらから開始してもよく、望ましい厚さの膜を達成するまで、それぞれの周期秩序を続けることができる。別の実施形態では、化合物Aを含有した第1前駆物質、第2前駆物質含有化合物B、化合物Cを含有した第3前駆物質は、プロセスチャンバ内へ別々に律動的に送られる。あるいは、第1前駆物質のパルスが第2前駆物質時間のパルスと調子を合わせて重なっていてもよく、一方、第3前駆物質のパルスは第1、第2前駆物質いずれかのパルスと重ならない。
[0073]本明細書で使用している「パルス」とは、処理チャンバの反応ゾーン内に断続的または非連続的に導入される特定の化合物の量を意味するものとする。パルスの継続期間に応じて、各パルス内における特定の化合物の量を時間にかけて変えることができる。各パルスの継続期間は、例えば採用したプロセスチャンバの体積容量、これに結合した真空システム、特定の化合物自体の揮発性/反応性といった因数の数に応じて変えることができる。本明細書で使用している「半反応」とは、浄化ステップが後に続く前駆物質ステップのパルスを意味するものとする。
実施例
[0074]例1〜10をCENTURA(登録商標)プラットホーム上で実施した。このプラットホームは、TEMPEST(商標)湿式洗浄システム、ALDチャンバ、CENTURA(登録商標)DPN(枚葉式プラズマ窒化)チャンバ、CENTURA(登録商標)RADIANCE(登録商標)RTP(熱アニーリング)チャンバを含有しており、これらは全てカリフォルニア州サンタクララにあるApplied Materials,Inc.より入手可能である。直径300mmの基板上で実験を実施し、基板表面を疎水仕上げ溶液に露出して、自然酸化を除去し、その後、湿式洗浄システム内に置き、厚さ約5Åの化学的酸化物層を形成した。水蒸気生成器(WVG)システムに結合した数個のALDチャンバについては、2005年5月12日に提出され、US2005−0271812号として公告され、共通譲渡され同時係属中の米国出願番号11/127,753号にさらに記述されている。この出願の全体は、ALDプロセス中に使用される方法および装置を記述する目的で本明細書に組み込まれる。別の有用なALDチャンバが、共通譲渡された米国特許6,916,398号にさらに記述されている。この特許の全体は、ALDプロセス中に使用される方法および装置を記述する目的で本明細書に組み込まれる。金属触媒を有するWVGシステムは、カリフォルニア州サンタクララにあるFujikin of America,Inc.より入手可能である。WVGシステムは、水素源ガス(N中に5体積%のH)と酸素源ガス(O)から、水蒸気を含有した酸化ガスを製造した。
[0075]実施例1 − HfO の堆積 − 化学的酸化物表面を含有する基板をALDチャンバ内に置いた。基板を、ハフニウム前駆物質(HfCl)と、水蒸気を含有した酸化ガスとに連続的に露出させ、ALDプロセス中に酸化ハフニウム層を形成した。ALD周期は、各前駆物質を窒素浄化周期によって分離した状態で、HfClと水蒸気を連続して律動的に送ることを含めた。ALD周期を繰り返して、厚さ約40Åの酸化ハフニウム層を形成した。基板をDPNチャンバ内へ移送し、アルゴンプラズマを含有した不活性プラズマプロセスに露出した。不活性プラズマプロセスでは、約200sccmのアルゴン流量を、約1,800ワット、使用率50%、10kHzで、約90秒間含有することで、酸化ハフニウム層を高密度化した。この後、基板を熱アニーリングチャンバへ移送し、約15トールに維持された酸素/窒素大気内において約1,000℃で約15秒間加熱した。
[0076]実施例2 − HfO の堆積 − 化学的酸化物表面を含有する基板をALDチャンバ内に置いた。基板をハフニウム前駆物質(TDEAH)と、水蒸気を含有した酸化ガスとに連続して露出することによって、ALDプロセス中に酸化ハフニウム層を形成した。ALD周期は、各前駆物質を窒素浄化周期によって分離した状態で、TDEAHと水蒸気を連続して律動的に送ることを含めた。ALD周期を繰返して、厚さ約50Åの酸化ハフニウムの層を形成した。基板をDPNチャンバ内に移送し、アルゴンプラズマを含有した不活性プラズマプロセスに露出した。不活性プラズマプロセスでは、約200sccmのアルゴン流量を、約1,800ワット、使用率50%、10kHzで、約90秒間含有することで、酸化ハフニウム層を高密度化した。この後、基板を熱アニーリングチャンバへ移送し、約15トールに維持された酸素/窒素大気内において、約1,050℃で約12秒間加熱した。
[0077]実施例3 − TaO の堆積 − 化学的酸化物表面を含有する基板をALDチャンバ内に置いた。タンタラム前駆物質(TaCl)と水を使用してALDプロセスを実行し、基板表面上に酸化タンタラム層を形成した。ALD周期は、各前駆物質が窒化浄化周期によって分離された状態で、TaClと水蒸気を連続して律動的に送ることを含む。ALD周期を繰返し、厚さ約100Åの酸化タンタラム層を形成した。基板をDPNチャンバ内へ移送し、アルゴンプラズマを含有した不活性プラズマプロセスに露出した。不活性プラズマプロセスでは、約200sccmのアルゴン流量を、約1,800ワット、使用率50%、10kHzで、約60秒間含有することで、酸化タンタラム層を高密度化した。この後、基板を熱アニーリングチャンバへ移送し、約10トールに維持された酸素/窒素大気内において、約1,000℃で約15秒間加熱した。
[0078]実施例4 − ZrO の堆積 − 化学的酸化物表面を含有する基板をALDチャンバ内に置いた。基板を、ジルコニウム前駆物質(ZrCl)と、水蒸気を含有した酸化ガスとに連続して露出して、ALDプロセス中に酸化ジルコニウム層を形成した。ALD周期は、各前駆物質が窒素浄化周期によって分離された状態で、ZrClと水蒸気を連続して律動的に送ることを含めた。ALD周期を繰り返し、厚さ約60Åの酸化ジルコニウム層を形成した。基板をDPNチャンバ内に移送し、アルゴンプラズマを含有した不活性プラズマプロセスに露出した。不活性プラズマプロセスでは、約200sccmのアルゴン流量を、約1,800ワット、使用率50%、10kHzで、約2分間含有することで、酸化ジルコニウム層を高密度化した。次に、基板を熱アニーリングチャンバへ連続して移送し、約25トールに維持された酸素/窒素大気内において、約950℃で30秒間加熱した。
[0079]実施例5 − HfOxNyの堆積 − 化学的酸化物表面を含有した基板をALDチャンバ内に置いた。基板をハフニウム前駆物質(HfCl)と、水蒸気を含有した酸化ガスとに連続して露出し、ALDプロセス中に酸化ハフニウム層を形成した。ALD周期は、各前駆物質が窒素浄化周期によって分離された状態で、HfClと水蒸気を連続して律動的に送ることを含めた。ALD周期を繰返し、厚さ約40Åの酸化ハフニウム層を形成した。ハフニウムオキシナイトライド材料を形成するために、酸化ハフニウム層内の窒素原子を高密度化および組み込むために、基板をDPNチャンバ内へ移送し、窒化物形成プラズマプロセスに露出した。窒化物形成プロセスは、約160sccmのアルゴン流量と、約40sccmの窒素流量とを、約1,800ワット、使用率50%、10kHzで、約180秒間含有した。次に、基板を熱アニーリングチャンバへ連続して移送し、約15トールに維持された酸素/窒素大気内において約1,000℃で約15秒間加熱した。
[0080]実施例6 − HfO の堆積 − 化学的酸化物表面を含有する基板をALDチャンバ内に置いた。基板をハフニウム前駆物質(TDEAH)と、水蒸気を含有した酸化ガスに連続して露出することで、ALDプロセス中に酸化ハフニウム層を形成した。ALD周期は、各前駆物質が窒素浄化周期によって分離された状態で、TDEAHと水蒸気を連続して律動的に送ることを含めた。ALD周期を繰り返し、厚さ約50Åの酸化ハフニウム層を形成した。基板をDPNチャンバ内へ移送して、ハフニウム酸化物材料を形成するために窒素原子を高密度化し、酸化ハフニウム層に組み込むために窒化物形成プラズマプロセスに露出した。窒化物形成プロセスは、約160sccmのアルゴン流量と、約40sccmの窒素流量とを、約1,800ワット、使用率50%、10kHzで、約180秒間含有した。この後、基板を熱アニーリングチャンバへ連続して移送し、約15トールに維持された酸素/窒素大気内において、約1,050℃で約12秒間加熱した。
[0081]実施例7 − TaO の堆積 − 化学的酸化物表面を含有した基板をALDチャンバ内に置いた。タンタラム前駆物質(TaCl)と水を使用してALDプロセスを実行し、基板表面上に酸化タンタラム層を形成した。ALD周期は、各前駆物質が窒素浄化周期によって分離された状態で、TaCl5と水蒸気を連続して律動的に送ることを含む。ALD周期を繰返し、厚さ約100Åの酸化タンタラム層を形成した。タンタラムオキシナイトライド材料を形成するために、窒素原子を高密度化し、これを酸化タンタラム層内に組み込むために、基板をDPNチャンバ内へ移送して窒化物形成プラズマプロセスに露出した。窒化物形成プロセスは、約120sccmのアルゴン流量と、約80sccmの窒素流量とを、約1,800ワット、使用率50%、10kHzで、約120秒間含有した。この後、基板を熱アニーリングチャンバへ連続して移送し、約10トールに維持された酸素/窒素大気内で、約1,000℃で15秒間加熱した。
[0082]実施例8 − ZrO の堆積 − 化学的酸化物表面を含有した基板をALDチャンバ内に置いた。基板をジルコニウム前駆物質(ZrCl)と、水蒸気を含有した酸化ガスとに連続的に露出することで、ALDプロセス中に酸化ジルコニウム層を形成した。ALD周期は、各前駆物質が窒素浄化周期によって分離された状態で、ZrClと水蒸気を連続して律動的に送る。ALD周期を繰返し、厚さ約60Åの酸化ジルコニウム層を形成した。ジルコニウムオキシナイトライド材料を形成するために、窒素原子を高密度化して、酸化ジルコニウム層内に組み込むために、基板をDPNチャンバ内へ移送して、窒化物形成プラズマプロセスに露出した。窒化物形成プロセスは、約100sccmのアルゴン流量と、約100sccmの窒素流量とを、約1,800ワット、使用率50%、10kHzで、約60秒間含有した。この後、基板を熱アニーリングチャンバへ連続して移送し、約25トールに維持した酸素/窒素大気内において、約950℃で約30秒間加熱した。
[0083]実施例9 − 図3AのHfO の堆積 − 同一のプロセス条件下において、基板A、B上に酸化ハフニウム層を堆積させた。基板AをDPNチャンバ内へ移送し、窒化物形成プラズマプロセスに露出した。窒化物形成プロセスは、約160sccmのアルゴン流量と、約40sccmの窒素流量とを、約1,800ワット、使用率50%、10kHzで、約180秒間含有した。基板BをDPNチャンバ内へ移送し、アルゴンプラズマを含有した不活性プラズマプロセスに露出した。不活性プラズマプロセスは、酸化ハフニウム層を高密度化するために、約200sccmのアルゴン流量を、約1,800ワット、使用率50%、10kHzで、約90秒間含有した。この後、基板A、Bを熱アニーリングチャンバへ連続して移送し、約15トールに維持された酸素/窒素大気内で、約1,000℃で15秒間加熱した。
[0084]両表面上で静電容量を測定した結果、基板Bの静電容量が基板Aのものよりも高いことが明らかになった(図3)。基板Aは約2.35μF/cmの最大静電容量を有し、基板Bは約2.55μF/cmの最大静電容量を有する。
[0085]実施例10 − 図6A〜図6BのHfO の堆積 − 同一のプロセス条件下において、基板A、B、C上に酸化ハフニウム層を堆積させた。基板Aを不活性プラズマプロセス、または熱アニーリングプロセスに露出しなかった。窒素元素を高密度化し、これを酸化ハフニウム層内に組み込んで、ハフニウムオキシナイトライド材料を形成するために、基板B、基板CをDPNチャンバ内に移送し、同一の窒化物形成プラズマプロセスに独立的に露出させた。窒化物形成プロセスは、約160sccmのアルゴン流量と、約40sccmの窒素流量とを、約1,800ワット、使用率50%、10kHzで、約180秒間含有した。基板Bを、熱アニーリングチャンバへ移送し、約15トールに維持された酸素/窒素(約0.1体積%)大気内において、約500℃で15秒間加熱した。基板Cを熱アニーリングチャンバへ移送し、約15トールに維持された酸素/窒素(約0.1体積%)大気内において、約1,000℃で15秒間加熱した。
[0086]各基板上で静電容量を測定し、基板Cの静電容量が基板Bのものよりも高く、基板Bの静電容量は基板Aのものよりも高いことが明らかになった(図6A)。基板Aは約1.75μF/cmの最大静電容量を有し、基板Bは約1.95μF/cmの最大静電容量を有し、基板Cは約2.35μF/cmの最大静電容量を有した。
[0087]各表面上でさらに漏電を測定した結果、基板Cは、基板A、基板Bよりも2度低い電流密度を有することが明らかになった(図6B)。基板A、基板Bのそれぞれは約100Å/cmよりも高い電流密度を有し、基板Cは約1Å/cmよりも低い電流密度を有した。
[0088]一例において、表1は、酸化ハフニウムを含有した基板を、プラズマプロセスで処置せず、アニーリングプロセスはこのようなプロセスに露出させた類似の基板よりも静電容量が低いことを示している。2枚の基板それぞれを窒化物形成プラズマプロセスに露出させたが、より高い熱アニーリングプロセス(即ち、500℃に対して1,000℃)に露出させた基板は静電容量がより高かった。さらに、2枚の基板のそれぞれを約1,000℃の熱アニーリングプロセスに露出させ、不活性プラズマプロセス(例えばアルゴンを含有)に露出させた基板は、窒化物形成プラズマプロセスに露出させた基板よりも静電容量が高い。
Figure 2008544091
[0089]前出は本発明の実施形態に向けられたものであるが、本発明のその他およびさらなる実施形態を、これの基本範囲から逸脱することなく考案することも可能であり、この基本範囲は特許請求項によって決定される。
本明細書に記載されている一実施形態による誘電材料を形成しているプロセスシーケンスを図示する。 図1で参照したプロセスシーケンスの様々な段階にある基板を描く。 図1で参照したプロセスシーケンスの様々な段階にある基板を描く。 図1で参照したプロセスシーケンスの様々な段階にある基板を描く。 一般的に、本明細書に記載されている一実施形態に従って形成された誘電材料の電気性質を図形的に図示する。 本明細書に記載されている別の実施形態による誘電材料を形成するためのプロセスシーケンスを図示する。 図4中で参照したプロセスシーケンスの様々な段階にある基板を描く。 図4中で参照したプロセスシーケンスの様々な段階にある基板を描く。 図4中で参照したプロセスシーケンスの様々な段階にある基板を描く。 本明細書に記載されている一実施形態にしたがって形成された誘電材料の電気性質を図形的に図示する。 本明細書に記載されている一実施形態にしたがって形成された誘電材料の電気性質を図形的に図示する。 本明細書に記載されている一実施形態にしたがって形成された誘電材料の電気性質を図形的に図示する。
符号の説明
100…プロセス、104…ステップ、200…基板、201…層、202…酸化層、204…プラズマプロセスされた層、206…誘電材料またはアニーリング後の層、402…ステップ、500…基板、501…層、502…酸化層、504…オキシナイトライド層、506…アニール後の層。

Claims (60)

  1. 基板上に誘電材料を形成する方法であって、
    基板をプロセスチャンバ内に位置決めするステップと、
    水蒸気を備える酸化ガスを形成するために、水素源ガスと酸素源ガスを水蒸気生成器内に流すステップと、
    原子層堆積プロセス中に、前記基板上に誘電材料を形成するために、前記基板を前記酸化ガスと、少なくとも1つの金属含有前駆物質とに連続的に露出させるステップと、
    不活性プラズマプロセスの最中に前記誘電材料を高濃度化しながら、前記基板を不活性ガスプラズマに露出させるステップと、
    前記基板を熱アニーリングプロセスに露出させるステップと、
    を備える方法。
  2. 前記水素源ガスが水素ガスまたは形成ガスであり、前記酸素源ガスが酸素ガスまたは亜酸化窒素である、請求項1に記載の方法。
  3. 前記少なくとも1つの金属含有前駆物質が、ハフニウム前駆物質、ジルコニウム前駆物質、アルミニウム前駆物質、タンタラム前駆物質、チタン前駆物質、ランタン前駆物質、およびこれらの組合せからなる群より選択される、請求項2に記載の方法。
  4. 前記誘電材料が、酸化ハフニウム、酸化ジルコニウム、酸化ランタン、酸化タンタラム、酸化チタン、酸化アルミニウム、これらの合金、誘導体、組合せからなる群より選択した少なくとも1つの材料を備える、請求項3に記載の方法。
  5. 前記誘電材料を形成する前に、厚さ約10Åまたはこれ未満の酸化層を形成するために、前記基板が湿式洗浄プロセスに露出される、請求項4に記載の方法。
  6. 前記不活性ガスプラズマが、アルゴン、ヘリウム、ネオン、およびこれらの組合せからなる群より選択したガスを備える、請求項1に記載の方法。
  7. 前記不活性ガスプラズマがアルゴンを備え、また、窒素を含まず、あるいは実質的に窒素を含まない、請求項6に記載の方法。
  8. 前記基板が、約30秒間〜5分間の範囲内の期間、約500〜3,000ワットの範囲内のパワー出力を有する前記不活性ガスプラズマに露出される、請求項7に記載の方法。
  9. 前記パワー出力が、約900〜1,800ワットの範囲内であり、前記期間が約1〜3分間の範囲内である、請求項8に記載の方法。
  10. 前記熱アニーリングプロセスが、約1〜120秒間の範囲内の期間、約600〜1,200℃の範囲内の温度で生じる、請求項7に記載の方法。
  11. 前記期間が約5〜30秒間の範囲内であり、前記温度が約800〜1,100℃の範囲内である、請求項10に記載の方法。
  12. 前記熱アニーリングプロセスの最中、前記基板が酸素の環境に露出される、請求項11に記載の方法。
  13. 前記誘電材料が、約5〜100Åの範囲内の厚さを有する、請求項4に記載の方法。
  14. 前記誘電材料が酸化ハフニウムを備え、前記厚さが約10〜60Åの範囲内である、請求項13に記載の方法。
  15. 前記基板が、前記原子層堆積プロセス後、および不活性プラズマプロセスの前に、堆積後アニーリングプロセスに露出される、請求項13に記載の方法。
  16. 前記ハフニウム含有材料が、少なくとも2.4μF/cmの静電容量を有する、請求項14に記載の方法。
  17. 基板上に誘電材料を形成する方法であって、
    原子層堆積プロセス中に前記基板上に酸化金属材料を形成するために、基板を、少なくとも1つの金属含有前駆物質と、酸化ガスとに連続して露出させるステップと、
    不活性プラズマプロセス中に前記酸化金属材料を高密度化しながら、不活性プラズマに前記基板を露出するステップと、
    前記基板を熱アニーリングプロセスに露出するステップと
    を備える方法。
  18. 前記原子層堆積プロセスがさらに、前記酸化ガスを形成するために、水素源ガスと酸素源ガスを水蒸気生成器内に流すことを備え、前記酸化ガスが水蒸気を備える、請求項17に記載の方法。
  19. 前記水素源ガスが水素源ガスまたは形成ガスであり、前記酸素源ガスが酸素ガスまたは亜酸化窒素である、請求項18に記載の方法。
  20. 前記少なくとも1つの金属含有前駆物質が、ハフニウム前駆物質、ジルコニウム前駆物質、アルミニウム前駆物質、タンタラム前駆物質、チタン前駆物質、ランタン前駆物質、およびこれらの組合せからなる群より選択される、請求項19に記載の方法。
  21. 前記酸化金属材料が、酸化ハフニウム、酸化ジルコニウム、酸化ランタン、酸化タンタル、酸化チタン、酸化アルミニウム、これらの合金、誘導体、組合せからなる群より選択された少なくとも1つの材料を備える、請求項20に記載の方法。
  22. 前記不活性ガスプラズマが、アルゴン、ヘリウム、ネオン、これらの組合せからなる前記群より選択したガスを備える、請求項17に記載の方法。
  23. 前記基板が、約30秒間〜5分間の範囲内の期間、約500〜3,000ワットの範囲内のパワー出力を有する不活性ガスプラズマに露出される、請求項22に記載の方法。
  24. 前記パワー出力が約900〜1,800ワットの範囲内であり、前記期間が約1〜3分間の範囲内である、請求項23に記載の方法。
  25. 前記不活性ガスプラズマがアルゴンを備え、窒素を含まないか、または実質的に窒素を含まない、請求項22に記載の方法。
  26. 前記熱アニーリングプロセスが約1〜120秒間の範囲内の期間、および約600〜1,200℃の範囲内の温度で生じる、請求項25に記載の方法。
  27. 前記期間が、約5〜30秒間の範囲内の期間で、約800〜1,100℃の範囲内の温度で生じる、請求項26に記載の方法。
  28. 前記熱アニーリングプロセスの最中、前記基板が酸素環境に露出される、請求項26に記載の方法。
  29. 前記酸化金属材料が、ハフニウム、タンタラム、チタン、アルミニウム、ジルコニウム、ランタン、およびこれらの組合せからなる前記群より選択した少なくとも1つの要素を備える、請求項25に記載の方法。
  30. 前記酸化金属が約5〜100Åの範囲内の厚さを有する、請求項29に記載の方法。
  31. 前記酸化金属材料が酸化ハフニウムを備え、前記厚さが約10〜60Åの範囲内である、請求項30に記載の方法。
  32. 前記酸化金属材料が少なくとも約2.4μF/cmの静電容量を有する、請求項30に記載の方法。
  33. 約10Åまたはこれ未満の厚さを有する酸化層を形成するために、前記誘電材料を形成する前に、前記基板が湿式洗浄プロセスに露出される、請求項29に記載の方法。
  34. 前記基板が、前記原子層堆積プロセス後、前記不活性プラズマプロセスの前に、堆積後アニーリングプロセスに露出される、請求項33に記載の方法。
  35. 基板上にハフニウム含有材料を形成する方法であって、
    酸化ハフニウムを含有した誘電材料を形成するために、基板を堆積プロセスに露出させるステップと、
    不活性プラズマプロセス中に誘電材料を高密度化しながら、前記基板を不活性ガスプラズマに露出させるステップであって、前記不活性ガスプラズマがアルゴンを備え、また、窒素を含まず、あるいは実質的に窒素を含まないステップと、
    前記基板を、酸素を備える熱アニーリングプロセスに露出させるステップと、
    をさらに備える方法。
  36. 前記ハフニウム含有材料が少なくとも2.4μF/cmの静電容量を有する、請求項35に記載の方法。
  37. 前記誘電材料を形成する前記堆積プロセスが、酸化ハフニウムを含有した前記誘電層を形成するために、前記基板を酸化ガスおよびハフニウム前駆物質に連続的に露出させることを備える原子層堆積プロセスであり、前記酸化ガスが水蒸気を備え、水素源ガスと酸素源ガスを水蒸気生成器内に流すことによって形成される、請求項35に記載の方法。
  38. 前記水素源ガスが水素ガスまたは形成ガスであり、前記酸素源ガスが酸素ガスまたは亜酸化窒素である、請求項37に記載の方法。
  39. 基板上に誘電材料を形成する方法であって、
    酸化金属層を上に形成するために、基板を堆積プロセスに露出するステップと、
    金属オキシナイトライド層を上に形成するために、前記基板を窒化物形成プラズマプロセスに露出するステップと、
    誘電金属材料を形成するために、前記基板を熱アニーリングプロセスに露出するステップと、
    を備える方法。
  40. 前記窒化物形成プラズマプロセスが、約1〜3分間の範囲内の期間で、約900〜1,800ワットの範囲内のパワー出力で生じる、請求項39に記載の方法。
  41. 前記窒化プラズマプロセスが、約50体積%またはこれ未満の窒素濃度を含有したプロセスガスを備える、請求項40に記載の方法。
  42. 前記誘電材料が約5〜25原子%の範囲内の窒素濃度を有する、請求項41に記載の方法。
  43. 前記酸化金属層が実質的にシリコンを含まない、請求項42に記載の方法。
  44. 前記酸化金属層が、ハフニウム、タンタラム、チタン、アルミニウム、ジルコニウム、ランタン、およびこれらの組合せからなる群より選択した少なくとも1つの要素を備える、請求項39に記載の方法。
  45. 前記熱アニーリングプロセスが、約5〜30秒間の範囲内の期間、および、約800〜1,100℃の温度で生じる、請求項44に記載の方法。
  46. 前記熱アニーリングプロセス中に、前記基板が酸素の環境に露出される、請求項45に記載の方法。
  47. 前記誘電材料が、約5〜100Åの範囲内の厚さを有する、請求項39に記載の方法。
  48. 前記誘電材料がハフニウムオキシナイトライドを備え、前記厚さが約10〜60Åの範囲内である、請求項47に記載の方法。
  49. 前記誘電材料が、少なくとも約2.4μF/cmの静電容量を有する、請求項48に記載の方法。
  50. 前記酸化金属層が原子層堆積プロセスによって形成される、請求項39に記載の方法。
  51. 前記原子層堆積プロセスの前に、約10Åまたはこれ未満の厚さを有する酸化層を形成するために、前記基板が湿式洗浄プロセスに露出される、請求項50に記載の方法。
  52. 前記原子層堆積プロセス後、および前記窒化部形成プラズマプロセスの前に、前記基板が堆積後アニーリングプロセスに露出される、請求項51に記載の方法。
  53. 前記原子層堆積プロセスが、この上に前記酸化金属層を形成するために、酸化ガスおよび少なくとも1つの金属含有前駆物質に連続的に露出させる、請求項50に記載の方法。
  54. 前記酸化ガスが水蒸気を備え、水素源ガスと酸素源ガスを水蒸気生成器内に流すことによって形成される、請求項53に記載の方法。
  55. 前記水素源ガスが水素ガスまたは形成ガスであり、前記酸素源ガスが酸素ガスまたは亜酸化窒素である、請求項54に記載の方法。
  56. 前記少なくとも1つの金属含有前駆物質が、ハフニウム前駆物質、ジルコニウム前駆物質、アルミニウム前駆物質、タンタラム前駆物質、チタン前駆物質、ランタン前駆物質、およびこれらの組合せからなる群より選択される、請求項55に記載の方法。
  57. 基板上にハフニウム含有材料を形成する方法であって、
    酸化ハフニウムを含有した誘電材料を形成するために、基板を堆積プロセスに露出するステップと、
    酸化ハフニウムからハフニウムオキシナイトライドを形成するために、前記基板を窒化物形成プラズマプロセスに露出するステップと、
    前記基板を、酸素を備える熱アニーリングプロセスに露出するステップと、
    を備える方法。
  58. 前記ハフニウム含有材料が約2.4μF/cmの静電容量を有する、請求項57に記載の方法。
  59. 前記誘電材料を形成する前記堆積プロセスが、酸化ハフニウムを含有した前記誘電材料を形成するために、前記基板を酸化ガスおよびハフニウム前駆物質に連続的に露出することを備える原子層堆積プロセスであり、前記酸化ガスが水蒸気を備え、水素源ガスと酸素源ガスを水蒸気生成器内に流すことによって形成される、請求項57に記載の方法。
  60. 前記水素源ガスが水素ガスまたは形成ガスであり、前記酸素源ガスが酸素ガスまたは亜酸化窒素である、請求項59に記載の方法。
JP2008518216A 2005-06-24 2006-06-13 誘電材料のプラズマ処置 Withdrawn JP2008544091A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/167,070 US20060019033A1 (en) 2004-05-21 2005-06-24 Plasma treatment of hafnium-containing materials
PCT/US2006/022997 WO2007001832A1 (en) 2005-06-24 2006-06-13 Plasma treatment of dielectric material

Publications (1)

Publication Number Publication Date
JP2008544091A true JP2008544091A (ja) 2008-12-04

Family

ID=37084595

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008518216A Withdrawn JP2008544091A (ja) 2005-06-24 2006-06-13 誘電材料のプラズマ処置

Country Status (6)

Country Link
US (1) US20060019033A1 (ja)
JP (1) JP2008544091A (ja)
KR (1) KR20080011236A (ja)
CN (1) CN101248212A (ja)
TW (1) TW200702475A (ja)
WO (1) WO2007001832A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021153086A (ja) * 2020-03-24 2021-09-30 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム

Families Citing this family (459)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US8026161B2 (en) * 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US20060125030A1 (en) * 2004-12-13 2006-06-15 Micron Technology, Inc. Hybrid ALD-CVD of PrxOy/ZrO2 films as gate dielectrics
US7235501B2 (en) * 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) * 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7508648B2 (en) * 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7374964B2 (en) * 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7687409B2 (en) * 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7662729B2 (en) * 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
WO2007142690A2 (en) * 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7709402B2 (en) * 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
EP2047502A4 (en) * 2006-06-30 2009-12-30 Applied Materials Inc NANO CRYSTAL EDUCATION
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US20080057659A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US7432548B2 (en) * 2006-08-31 2008-10-07 Micron Technology, Inc. Silicon lanthanide oxynitride films
US7776765B2 (en) * 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7902018B2 (en) * 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
DE102007002962B3 (de) * 2007-01-19 2008-07-31 Qimonda Ag Verfahren zum Herstellen einer dielektrischen Schicht und zum Herstellen eines Kondensators
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7910446B2 (en) * 2007-07-16 2011-03-22 Applied Materials, Inc. Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
JP5264163B2 (ja) * 2007-12-27 2013-08-14 キヤノン株式会社 絶縁膜の形成方法
US9136545B2 (en) * 2008-02-27 2015-09-15 GM Global Technology Operations LLC Low cost fuel cell bipolar plate and process of making the same
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US8208241B2 (en) * 2008-06-04 2012-06-26 Micron Technology, Inc. Crystallographically orientated tantalum pentoxide and methods of making same
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8035165B2 (en) 2008-08-26 2011-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating a first contact structure in a gate last process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8770142B2 (en) 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
WO2010095901A2 (en) 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8481433B2 (en) * 2009-03-31 2013-07-09 Applied Materials, Inc. Methods and apparatus for forming nitrogen-containing layers
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5475807B2 (ja) * 2010-01-22 2014-04-16 株式会社東芝 半導体装置及びその製造方法
US8580698B2 (en) * 2010-04-14 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a gate dielectric layer
WO2012012381A1 (en) * 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8643115B2 (en) 2011-01-14 2014-02-04 International Business Machines Corporation Structure and method of Tinv scaling for high κ metal gate technology
US8877300B2 (en) * 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US9653327B2 (en) * 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9054048B2 (en) 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
JP5852459B2 (ja) * 2012-02-10 2016-02-03 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
JP5997258B2 (ja) 2012-03-28 2016-09-28 株式会社豊田中央研究所 オフ角を備えているシリコン単結晶とiii族窒化物単結晶の積層基板と、その製造方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9337103B2 (en) 2012-12-07 2016-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for removing hard mask oxide and making gate structure of semiconductor devices
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
WO2015093389A1 (ja) * 2013-12-18 2015-06-25 文彦 廣瀬 酸化物薄膜の形成方法および装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9633839B2 (en) * 2015-06-19 2017-04-25 Applied Materials, Inc. Methods for depositing dielectric films via physical vapor deposition processes
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10103027B2 (en) 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10510545B2 (en) 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US20190057860A1 (en) * 2017-08-18 2019-02-21 Lam Research Corporation Methods for improving performance in hafnium oxide-based ferroelectric material using plasma and/or thermal treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TWI635539B (zh) 2017-09-15 2018-09-11 金巨達國際股份有限公司 高介電常數介電層、其製造方法及執行該方法之多功能設備
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102470206B1 (ko) * 2017-10-13 2022-11-23 삼성디스플레이 주식회사 금속 산화막의 제조 방법 및 금속 산화막을 포함하는 표시 소자
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
EP3768874A4 (en) 2018-03-19 2022-03-30 Applied Materials, Inc. METHODS FOR DEPOSITING COATINGS ON AEROSPACE ELEMENTS
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
CN108531890B (zh) * 2018-04-27 2020-06-16 华南理工大学 一种金属氧化物透明导电薄膜的制备方法及其产品和用途
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
TW202129058A (zh) * 2019-07-07 2021-08-01 美商應用材料股份有限公司 使用原位蒸氣產生技術(issg)的金屬氧化物的熱原子層沉積
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN110379709A (zh) * 2019-07-25 2019-10-25 上海华力集成电路制造有限公司 氧化铪薄膜的制造方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
US11830725B2 (en) 2020-01-23 2023-11-28 Applied Materials, Inc. Method of cleaning a structure and method of depositing a capping layer in a structure
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR102383410B1 (ko) * 2020-07-23 2022-04-05 연세대학교 산학협력단 금속 산화물 박막의 전기적 특성 향상 방법
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11830728B2 (en) 2021-10-13 2023-11-28 Applied Materials, Inc. Methods for seamless gap filling of dielectric material

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
JP2764472B2 (ja) * 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
US5449716A (en) * 1994-06-30 1995-09-12 Dow Corning Corporation Functional polyorganosiloxane emulsions from dihydrolyzable silanes and photocurable compositions therefrom
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6043177A (en) * 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10308283A (ja) * 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
TW471068B (en) * 1997-03-05 2002-01-01 Hitachi Ltd Method for fabricating semiconductor integrated circuit device with insulation film
US6037273A (en) * 1997-07-11 2000-03-14 Applied Materials, Inc. Method and apparatus for insitu vapor generation
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100275727B1 (ko) * 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
DE19843151C2 (de) * 1998-09-21 2001-03-08 Alfing Montagetechnik Gmbh Bearbeitungsvorrichtung mit mindestens einem Bearbeitungswerkzeug
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
AU3837200A (en) * 1999-04-13 2000-11-14 Hamamatsu Photonics K.K. Semiconductor device
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6753556B2 (en) * 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
US7094284B2 (en) * 1999-10-07 2006-08-22 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6524934B1 (en) * 1999-10-28 2003-02-25 Lorimer D'arcy H. Method of manufacture for generation of high purity water vapor
WO2001038486A2 (en) * 1999-11-22 2001-05-31 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
KR100803770B1 (ko) * 2000-03-07 2008-02-15 에이에스엠 인터내셔널 엔.브이. 구배(graded)박막
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100427423B1 (ko) * 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Cvd용 인너튜브
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100545706B1 (ko) * 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
DE10034003A1 (de) * 2000-07-07 2002-01-24 Infineon Technologies Ag Grabenkondensator mit Isolationskragen und entsprechendes Herstellungsverfahren
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
JP5290488B2 (ja) * 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
JP4680429B2 (ja) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
JP3622055B2 (ja) * 2002-03-26 2005-02-23 松下電器産業株式会社 半導体装置及びその製造方法
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
DE60321271D1 (de) * 2002-06-10 2008-07-10 Imec Inter Uni Micro Electr Transistoren und Speicherkondensatoren enthaltend eine HfO2-Zusammensetzung mit erhöhter Dielektrizitätskonstante
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040029321A1 (en) * 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US7115530B2 (en) * 2003-12-03 2006-10-03 Texas Instruments Incorporated Top surface roughness reduction of high-k dielectric materials using plasma based processes
US7135361B2 (en) * 2003-12-11 2006-11-14 Texas Instruments Incorporated Method for fabricating transistor gate structures and gate dielectrics thereof
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021153086A (ja) * 2020-03-24 2021-09-30 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7222946B2 (ja) 2020-03-24 2023-02-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム

Also Published As

Publication number Publication date
WO2007001832A1 (en) 2007-01-04
TW200702475A (en) 2007-01-16
KR20080011236A (ko) 2008-01-31
CN101248212A (zh) 2008-08-20
US20060019033A1 (en) 2006-01-26

Similar Documents

Publication Publication Date Title
JP2008544091A (ja) 誘電材料のプラズマ処置
US20060062917A1 (en) Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8361910B2 (en) Pretreatment processes within a batch ALD reactor
US8323754B2 (en) Stabilization of high-k dielectric materials
TWI554636B (zh) 由金屬脒鹽前驅物製造介電膜的方法
JP4158975B2 (ja) ナノラミネート膜の原子層堆積
TWI426547B (zh) 用於批次原子層沈積反應器之處理製程
JP5307513B2 (ja) Ald法又はcvd法による金属含有膜の調製
KR101274330B1 (ko) 알칼리 토금속 베타-디케티미네이트 전구체를 이용한원자층 증착
JP2004256916A (ja) 酸化膜の原子層堆積
JP2007515786A (ja) 高誘電率誘電体膜の窒化方法
JP2005537639A (ja) アルコールを用いて金属酸化物を形成するシステムおよび方法
KR20160048002A (ko) 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
US9064694B2 (en) Nitridation of atomic layer deposited high-k dielectrics using trisilylamine
TWI515803B (zh) 矽化鉭內的摻雜鋁
TW201443274A (zh) 使用二矽氧烷先質之膜的沉積
KR20050020759A (ko) 다중-금속성 전구체의 원자층 증착

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090507

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20090723