TWI635539B - 高介電常數介電層、其製造方法及執行該方法之多功能設備 - Google Patents

高介電常數介電層、其製造方法及執行該方法之多功能設備 Download PDF

Info

Publication number
TWI635539B
TWI635539B TW106131698A TW106131698A TWI635539B TW I635539 B TWI635539 B TW I635539B TW 106131698 A TW106131698 A TW 106131698A TW 106131698 A TW106131698 A TW 106131698A TW I635539 B TWI635539 B TW I635539B
Authority
TW
Taiwan
Prior art keywords
layer
reaction chamber
plasma
bias
dielectric layer
Prior art date
Application number
TW106131698A
Other languages
English (en)
Other versions
TW201916167A (zh
Inventor
陳敏璋
鍾鎮陽
Original Assignee
金巨達國際股份有限公司
陳敏璋
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 金巨達國際股份有限公司, 陳敏璋 filed Critical 金巨達國際股份有限公司
Priority to TW106131698A priority Critical patent/TWI635539B/zh
Priority to CN201810920927.5A priority patent/CN109509788B/zh
Application granted granted Critical
Publication of TWI635539B publication Critical patent/TWI635539B/zh
Priority to US16/131,249 priority patent/US10923343B2/en
Publication of TW201916167A publication Critical patent/TW201916167A/zh
Priority to US17/141,935 priority patent/US11322348B2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources

Abstract

本發明揭露一種高介電常數介電層、其製造方法及執行該方法之多功能設備。本發明之高介電常數介電層包含依序形成於半導體元件之一材料層上之M層原子層沉積薄膜,其中M係大於1的整數。該材料層可以是半導體材料層、金屬層或另一介電層。每一層原子層沉積薄膜係由氧化物且藉由原子層沈積製程所形成。M層原子層沉積薄膜中之N層選定的薄膜,於原子層沈積製程期間或之後,經非反應性氣體電漿轟擊,其中N係自然數且小於或等於M。

Description

高介電常數介電層、其製造方法及執行該方法之多功能設備
本發明係關於一種高介電常數介電層、其製造方法及執行該方法之多功能設備,並且特別地,本發明是關於一種具有低漏電流密度之高介電常數介電層、其製造方法及執行該方法之多功能設備。
為了滿足半導體元件在尺寸的縮小以及降低閘極漏電流上的要求,許多具有高介電常數的閘極介電材料已被提出來替代傳統的介電層。以矽基半導體元件為例,Al2O3、HfO2、ZrO2、TiO2、Y2O3與La2O3等,已被提出來代替傳統的SiO2閘極介電層。
然而,介電層本身的薄膜品質與缺陷密度對閘極漏電流的影響甚大。目前,對於製造具有優良的薄膜品質與低缺陷密度之介電層的製程,以及製程中或製程後處理的研究,仍有相當大的空間。
因此,本發明所欲解決之一技術問題在於提供一種具有優良薄膜品質與低缺陷密度,進而具有低漏電流密度之高介電常數介電層,以及其製造方法及執行該方法之多功能設備。並且,根據本發明之高介電常數介電層具有優良的可靠度。
根據本發明之一較佳具體實施例之高介電常數介電層,係形成於半導體元件內。根據本發明之高介電常數介電層包含M層原子層沉積薄膜,其中M係大於1的整數。M層原子層沉積薄膜係依序形成於半導體元件之一材料層上。該材料層可以是半導體材料層、金屬層或另一介電層。每一層原子層沉積薄膜係由氧化物且藉由原子層沈積製程(atomic layer deposition process,ALD process)所形成。在製備M層原子層沉積薄膜的過程當中,本發明針對M層原子層沉積薄膜中之N層選定的薄膜,於原子層沈積製程期間或之後,執行非反應性氣體電漿轟擊,以對此N層選定的薄膜產生退火的效果,以降低此N層選定的薄膜之缺陷密度,其中N係自然數且小於或等於M。
於一具體實施例中,根據本發明之高介電常數介電層具有較低之漏電流密度,在電容等效厚度(capacitance equivalent thickness,CET)小於2nm時,漏電流密度小於1×10-4A/cm2。並且,根據本發明之高介電常數介電層具有厚度範圍從1nm至50nm。
根據本發明之一較佳具體實施例之製造高介電常數介電層於半導體元件內之方法。首先,係藉由原子層沉積製程,依序形成氧化物之M層原子層沉積薄膜於半導體元件之一材料層上,其中M係大於1的整數。該材料層可以是半導體材料層、金屬層或另一介電層。在製備M層原子層沉積薄膜的過程當中,根據本發明之方法,針對M層原子層沉積薄膜中之N層選定的薄膜,執行非反應性氣體電漿轟擊,以對此N層選定的薄膜產生退火的效果,以降低此N層選定的薄膜之缺陷密度,即完成高介電常數介電層,其中N係自然數且小於或等於M。
於一具體實施例中,氧化物可以是HfO2、ZrO2、Al2O3、La2O3、SiO2、TiO2與Y2O3等。
於一具體實施中,用以產生非反應性氣體電漿之惰性氣體可以是Ar、He、Ne、He/Ar、He/N2與He/Ne等。
根據本發明之一較佳具體實施例之執行根據本發明之方法的多功能設備,包含反應腔體、電漿源、電漿源功率產生單元、偏壓電極、交流偏壓產生單元、直流偏壓產生單元、金屬夾盤、第一先驅物(precursor)供應源、第二先驅物供應源、載送氣體(carrier gas)供應源、氧氣供應源、惰性氣體供應源、自動壓力控制器以及抽氣泵。電漿源係設置於反應腔體內之頂部。電漿源功率產生單元係電連接至電漿源,並且被控制輸出交流功率至電漿源。偏壓電極係設置於反應腔體內之底部。交流偏壓產生單元係電連接至偏壓電極,並且被控制選擇性地輸出交流偏壓或直流電偏壓重疊交流偏壓至偏壓電極,以控制該非反應性氣體電漿轟擊的能量。直流偏壓產生單元係電連接至偏壓電極,並且被控制選擇性地輸出正直流電偏壓或負直流電偏壓至偏壓電極,以控制非反應性氣體電漿轟擊的能量。金屬夾盤係設置於偏壓電極上,並且用以夾持半導體元件之半成品。第一先驅物供應源係經由第一控制閥連通至反應腔體,並且被控制供應形成氧化物之第一先驅物至反應腔體內。第二先驅物供應源係經由第二控制閥連通至反應腔體,並且被控制供應形成氧化物之第二先驅物至反應腔體內。載送氣體供應源係經由第一流量控制器連通至反應腔體,並且被控制供應載送氣體至反應腔體內。氧氣供應源係經由第二流量控制器連通至反應腔體之頂部。氧氣供應源被控制供應氧氣,由電漿源之交流功率游離成氧氣電漿。惰性氣體供應源係經由第三流量控制器連通至反應腔體之頂部。惰性氣體供應源被控制供應惰性氣體,由電漿源之交流功率游離成非反應性氣體電漿。抽氣泵經由自動壓力控制器連通至反應腔體之底部,並且由自動壓力控制器控制對反應腔體進行抽氣。
與先前技術不同,本發明之高介電常數介電層具有優良薄膜品質與低缺陷密度,並且具有低漏電流密度。
關於本發明之優點與精神可以藉由以下的發明詳述及所附圖式得到進一步的瞭解。
1‧‧‧高介電常數介電層
10‧‧‧原子層沉積薄膜
11‧‧‧未經非反應性氣體電漿轟擊的原子層沉積薄膜
12‧‧‧選定的薄膜
2‧‧‧半導體元件
3‧‧‧多功能設備
30‧‧‧反應腔體
302‧‧‧頂部
304‧‧‧底部
31‧‧‧電漿源
32‧‧‧電漿源功率產生單元
33‧‧‧偏壓電極
34‧‧‧交流偏壓產生單元
35‧‧‧直流偏壓產生單元
36‧‧‧金屬夾盤
37‧‧‧第一先驅物供應源
372‧‧‧第一控制閥
38‧‧‧第二先驅物供應源
382‧‧‧第二控制閥
39‧‧‧氧氣供應源
392‧‧‧第二流量控制器
40‧‧‧惰性氣體供應源
402‧‧‧第三流量控制器
41‧‧‧自動壓力控制器
42‧‧‧抽氣泵
44‧‧‧載送氣體供應源
442‧‧‧第一流量控制器
圖1係根據本發明之一較佳具體實施例之高介電常數介電層的截面視圖。
圖2係根據本發明之一較佳具體實施例之高介電常數介電層之一變形的截面視圖。
圖3係根據本發明之一較佳具體實施例之高介電常數介電層之另一變形的截面視圖。
圖4係執行根據本發明之方法的多功能設備之架構示意圖。
圖5係本發明製作DHe試片、THe試片及Hf0試片的ALD循環流程示意圖。
圖6係經PMA處理的DHe試片、THe試片及Hf0試片經XPS量測所得之能譜。
圖7係經PMA處理的DHe試片、THe試片及Hf0試片的HRTEM照片。
圖8係經PMA處理的DHe試片、THe試片及Hf0試片經定電壓應力(constant voltage stress,CVS)測試的結果。
請參閱圖1、圖2及圖3,該等圖式係以截面視圖示意地繪示根據本發明之一較佳具體實施例之高介電常數介電層1。
如圖1、圖2及圖3所示,根據本發明之較佳具體實施例之高介電常數介電層1係形成於半導體元件2內。高介電常數介電層1係形成於半導體元件之一材料層上。該材料層可以是半導體材料層、金屬層或另一介電層。
於一具體實施中,半導體材料層可以由Si、Ge、SiGe、GeSn、絕緣層覆矽、III-V族化合物、II-VI族化合物與IV-VI族化合物等半導體材料所形成。金屬材料層可以由鉑、鉭、鈦、鎢、銅、鋁、鋁矽銅合金、鋁銅合金、氮化鈦、氮化鉭、重摻雜多晶矽等金屬材料所形成。
同樣示於圖1、圖2及圖3,根據本發明之高介電常數介電層1包含M層原子層沉積薄膜10,其中M係大於1的整數。M層原子層沉積薄膜10係依序形成於半導體元件2之一材料層上。該材料層可以是半導體材料層、金屬層或另一介電層。每一層原子層沉積薄膜10係為氧化物且藉由原子層沈積製程所形成。
原子層沉積製程具有以下優點:(1)可在原子等級控制材料的形成;(2)可更精準地控制薄膜的厚度;(3)可大面積量產;(4)有優異的均勻度(uniformity);(5)有優異的三維包覆性(conformality);(6)無孔洞結構;(7)缺陷密度低;以及(8)沉積溫度較低…等製程優點。本發明所採用的原子層沈積製程可以形成原子層沉積薄膜10。
於一具體實施例中,氧化物可以是HfO2、ZrO2、Al2O3、La2O3、SiO2、TiO2與Y2O3等。
特別地,針對M層原子層沉積薄膜10中之N層選定的薄膜12,於原子層沈積製程期間或之後,執行非反應 性氣體電漿轟擊,以對此N層選定的薄膜12,產生退火的效果,以降低此N層選定的薄膜12之缺陷密度,其中N係自然數且小於或等於M。於圖1中,符號”11”代表僅使用原子層沈積製程所形成的氧化物薄膜,未經非反應性氣體電漿轟擊。符號”12”代表使用原子層沈積製程期間或之後,並經非反應性氣體電漿轟擊,所形成的氧化物薄膜。
於圖1所示案例,N層選定的薄膜12的層數,佔所有薄膜層數的半數,且集中在本發明之高介電常數介電層1的下半部。
於圖2所示案例,N層選定的薄膜12的層數,佔所有薄膜層數的半數,且集中在本發明之高介電常數介電層1的上半部。
於圖3所示案例,選定的薄膜12與未經非反應性氣體電漿轟擊的原子層沉積薄膜11,穿插堆疊以形成本發明之高介電常數介電層1。但本發明之高介電常數介電層1並不以圖1、圖2及圖3所示未經非反應性氣體電漿轟擊的原子層沉積薄膜11與選定的薄膜12之間的排列關係為限,本發明之高介電常數介電層1也可以形成數層未經非反應性氣體電漿轟擊的原子層沉積薄膜11,再形成一層選定的薄膜12。
於一具體實施中,用以產生非反應性氣體電漿之惰性氣體可以是Ar、He、Ne、He/Ar、He/N2與He/Ne等。
一般在電漿製程中,Ar被廣泛用作為電漿源的工作氣體。另一方面,He在氣相沉積的過程中通常用作為緩衝氣體,其作為不可燃性氣體來控制工作壓力。與Ar電漿相比,由於He的半徑較小導致He具有較高的電離能,因此He電漿具有較高的能量。此外,由於He的質量較小,因此He電漿所引起的損傷低於Ar電漿所引起的損傷。因此,採用 He電漿等非反應性氣體電漿轟擊選定的薄膜12,藉由電漿轟擊將能量傳導至薄膜表面,進而導致退火效應。選定的薄膜12表面溫度的升高會增強吸附於表面的原子(adatoms)之運動和遷移,這有利於選定的薄膜12其薄膜品質的改善並且降低缺陷密度。由溫度升高引起的另一個效應,是有利於去除吸附於表面的先驅物的配體(ligands)。
藉此,根據本發明之高介電常數介電層1具有較低之漏電流密度,在其電容等效厚度(CET)小於2nm時,其漏電流密度小於1×10-4A/cm2。並且本發明之高介電常數介電層1應用於半導體元件內,其厚度範圍從1nm至50nm,可以取代傳統的超薄SiO2薄膜。
根據本發明之一較佳具體實施例之製造如圖1、圖2或圖3所示高介電常數介電層1於半導體元件2內之方法,首先,係藉由原子層沉積製程,依序形成氧化物之M層原子層沉積薄膜10於半導體元件2之一材料層上,其中M係大於1的整數。該材料層可以是半導體材料層、金屬層或另一介電層。
根據本發明之方法,針對M層原子層沉積薄膜10中之N層選定的薄膜12,執行非反應性氣體電漿轟擊,以對此N層選定的薄膜12產生退火的效果,以降低此N層選定的薄膜12之缺陷密度,即完成高介電常數介電層1,其中N係自然數且小於或等於M。本發明之高介電常數介電層1,其未經非反應性氣體電漿轟擊的原子層沉積薄膜11與選定的薄膜12之間的排列關係,已於上文中詳述,在此不再贅述。
形成半導體材料層的材料以及用以產生非反應性氣體電漿之惰性氣體,已於上文中詳述,在此不再贅述。
於一具體實施中,氧化物係HfO2。供應Hf元素的先驅物可以是HfCl4、HfI4、HfCl2[N(SiMe3)2]2、HfCp2Me2、HfCp2Cl2、 Hf(CpMe)2Me2、Hf(CpMe)2(OMe)Me、Hf(CpMe)2(OiPr)Me、Hf(CpMe)2(mmp)Me、Hf(Cp)(NMe2)3、Hf(CpMe)(NMe2)3、Hf(Cp2CMe2)Me2、Hf(Cp2CMe2)Me(OMe)、Hf(OiPr)4、Hf(OtBu)4、Hf(OtBu)2(mmp)2、Hf(OtBu)(NEtMe)3、Hf(mmp)4、Hf(mp)4、Hf(ONEt2)4、Hf(NMe2)4、Hf(NEt2)4、Hf(NEtMe)4、Hf[N(SiMe3)2]2Cl2、Hf(NO3)4。供應O元素的先驅物可以是O2電漿、N2O電漿、O3、H2O、H2O2
於另一具體實施中,氧化物係ZrO2。供應Zr元素的先驅物可以是ZrCl4、ZrI4、ZrCp2Cl2、ZrCp2Me2、ZrCp2Me(OMe)、ZrCp(NMe2)3、Zr(CpMe)2Me2、Zr(CpMe)2Me(OMe)、Zr(CpMe)(NMe2)3、Zr(CpEt)(NMe2)3、Zr(Cp2CMe2)Me2、Zr(Cp2CMe2)Me(OMe)、Zr(OiPr)4、Zr(OiPr)2(dmae)2、Zr(OtBu)4、Zr(OtBu)2(dmae)2、Zr(dmae)4、Zr(thd)4、Zr(NMe2)4、Zr(NEt2)4、Zr(NEtMe)4、Zr[N(SiMe3)2]2Cl2、Zr(MeAMD)4。供應O元素的先驅物可以是O2電漿、N2O電漿、O3、H2O、H2O2
於另一具體實施中,氧化物係Al2O3。供應Al元素的先驅物可以是AlCl3、AlBr3、AlMe3、AlMe2Cl、AlMe2OiPr、AlEt3、Al(OEt)3、Al(OnPr)3、Al(mmp)3、Al(NEt2)3、Al(NiPr2)3、Al(iPrAMD)Et2。供應O元素的先驅物可以是O2電漿、N2O電漿、O3、H2O、H2O2
於另一具體實施中,氧化物係TiO2。供應Ti元素的先驅物可以是TiF4、TiCl4、TiI4、Ti(CpMe5)(OMe)3、Ti(CpMe)(OiPr)3、Ti(OMe)4、Ti(OEt)4、Ti(OiPr)4、Ti(OiPr)2(dmae)2、Ti(OiPr)2(thd)2、Ti(trhd)2(O(CMe2Et)2、Ti(OBu)4、Ti(NMe2)4、TiCp2((iPrN)2C(NHiPr))。供應O元素的先驅物可以是O2電漿、N2O電漿、O3、H2O、H2O2
於另一具體實施中,氧化物係La2O3。供應La元素的先驅物可以是La(thd)3、La[N(SiMe3)2]3、La(iPrAMD)3、La(iPrfAMD)3、La(Cp)3、La(CpEt)3、La(CpiPr)3。供應O元素的先驅 物可以是O2電漿、N2O電漿、O3、H2O、H2O2
於另一具體實施中,氧化物係SiO2。供應Si元素的先驅物可以是SiCl4、Si2Cl6、SiCl3H、SiCl2H2、SiH4、Si(OMe)4、Si(OEt)4、Si(OEt)3((CH2)3NH2)、Si(OtPe)3OH、HMDS、SiH2(N(CH3)2)2、SiH2(NHtBu)2、SiH2(NEt2)2、SiH(N(CH3)2)3、Si(NCO)4、MeOSi(NCO)3。。供應O元素的先驅物可以是O2電漿、N2O電漿、O3、H2O、H2O2
於另一具體實施中,氧化物係Y2O3。供應Y元素的先驅物可以是Y(thd)3、YCp3、Y(CpMe)3、Y(CpEt)3、Y(iPrAMD)3。供應O元素的先驅物可以是O2電漿、N2O電漿、O3、H2O、H2O2
於一具體實施例中,非反應性氣體電漿之流量範圍從1sccm至1000sccm。
於一具體實施例中,非反應性氣體電漿之工作壓力範圍從100~10-3torr.。
請參閱圖4,圖4係以示意圖繪示執行根據本發明之方法的多功能設備3的架構。
如圖4所示,根據本發明之多功能設備3包含反應腔體30、電漿源31、電漿源功率產生單元32、偏壓電極33、交流偏壓產生單元34、直流偏壓產生單元35、金屬夾盤36、第一先驅物供應源37、第二先驅物供應源38、載送氣體供應源44、氧氣供應源39、惰性氣體供應源40、自動壓力控制器41以及抽氣泵42。
電漿源31係設置於反應腔體30內之頂部302。電漿源功率產生單元32係電連接至電漿源31,並且被控制輸出交流功率至電漿源31。偏壓電極33係設置於反應腔體30內之底部304。交流偏壓產生單元34係電連接至偏壓電極33, 並且被控制選擇性地輸出交流偏壓或直流電偏壓重疊交流偏壓至偏壓電極33,以控制非反應性氣體電漿轟擊的能量。於一具體實施例中,交流偏壓產生單元34被控制選擇性地輸出交流偏壓或直流電偏壓重疊交流偏壓。
直流偏壓產生單元35係電連接至偏壓電極33,並且被控制選擇性地輸出正直流電偏壓或負直流電偏壓至偏壓電極33,以控制非反應性氣體電漿轟擊的能量。於一具體實施例中,直流偏壓產生單元35被控制選擇性地輸出正直流電偏壓或負直流電偏壓。
金屬夾盤36係設置於偏壓電極33上,並且用以夾持半導體元件2之半成品。
第一先驅物供應源37係經由第一控制閥372連通至該反應腔體30,並且被控制供應形成氧化物之第一先驅物至反應腔體30內。第二先驅物供應源38係經由第二控制閥382連通至反應腔體30,並且被控制供應形成氧化物之第二先驅物至反應腔體30內。
載送氣體供應源44係經由第一流量控制器442連通至反應腔體30,並且被控制供應載送氣體至反應腔體30內。
氧氣供應源39係經由第二流量控制器392連通至反應腔體30之頂部302。氧氣供應源39被控制供應氧氣,由電漿源之交流功率游離成氧氣電漿。惰性氣體供應源40係經由第三流量控制器402連通至反應腔體30之頂部302。惰性氣體供應源40被控制供應惰性氣體,由電漿源之交流功率游離成非反應性氣體電漿。抽氣泵42經由自動壓力控制器41連通至反應腔體30之底部304,並且經由自動壓力控制器41進行抽氣速率的自動調控,以達理想的製程壓力。
須強調的是,根據本發明之多功能設備3可以在 原地(in situ)執行非反應性氣體電漿轟擊,也就是不用將包含半導體元件2之半成品移至另一個腔體,在反應腔體30內即可執行電漿轟擊。此外,在製備M層原子層沉積薄膜的過程當中,根據本發明之多功能設備3,可以針對M層原子層沉積薄膜中之N層選定的薄膜,於原子層沈積製程期間或之後,執行非反應性氣體電漿轟擊。
同樣如圖4所示,金屬夾盤36相對於偏壓電極33具有可調整的傾斜角度,以改變非反應性氣體電漿轟擊選定的薄膜12的入射角度,進一步調控薄膜品質與缺陷密度。
為印證本發明之高介電常數介電層具有低漏電流密度。本發明在電阻率(resistivity)為1-10Ω-cm的p型矽基板上,製作原子層沈積的多層HfO2薄膜,且下半部層數薄膜經He電漿轟擊的試片(下文中標註為DHe)。本發明在電阻率(resistivity)為1-10Ω-cm的p型矽基板上,製作原子層沈積的多層HfO2薄膜,且上半部層數薄膜經He電漿轟擊的試片(下文中標註為THe)。作為對照,本發明並且在電阻律(resistivity)為1-10Ω-cm的p型矽基板上,製作原子層沈積的多層HfO2薄膜,且薄膜的所有層皆未經He電漿轟擊的試片(下文中標註為Hf0)。DHe試片、THe試片及Hf0試片的ALD循環流程請見圖5所示。
接著,在DHe試片、THe試片及Hf0試片中多層HfO2薄膜的表面與矽基板的背面分別被覆Pt和Al,分別作為閘極電極與下電極,形成金氧半導體(metal-oxide-semiconductor,MOS)結構。之後在合成氣體(forming gas)為5% H2/95% N2的氣氛中於400℃持溫30分鐘執行後金屬化退火(post-metallization annealing,PMA)處理。
請參閱圖6及圖7。經PMA處理的DHe試片、THe試片及Hf0試片,其X光光電子能譜(X-ray photoelectron spectroscopy,XPS)示於圖6。經PMA處理的DHe試片、THe試片及Hf0試片之高解析穿透式電子顯微鏡(high-resolution transmission electron microscopy,HRTEM)照片示於圖7。圖6所示結果顯示經PMA處理的DHe試片,具有較高的矽酸鹽(silicate)波峰強度,顯示其具有較厚之介面層(interfacial layer)。圖7的照片也證實經PMA處理的DHe試片具有較厚的介面層。這是由於DHe試片形成過程,He電漿轟影響到鄰近矽基板的區域。此外,由圖7可知,DHe試片、THe試片及Hf0試片中的介電層的總厚度約為4nm。
請參閱表1,經PMA處理的DHe試片、THe試片及Hf0試片,經測試所得電容等效厚度(CET)、等效介電常數(effective dielectric constant,Keff)、閘極漏電流密度(gate leakage current density,Jg)、接面缺陷密度(interfacial state density,Dit)列於表1中。其中Jg的定義為在閘極電極施加平帶電壓(flat-band voltage)減1V時,試片的閘極漏電流密度。其中,DHe試片、THe試片及Hf0試片的CET皆小於為2nm。
表1所示結果證實DHe試片、THe試片的漏電流密度皆低於1×10-4A/cm2,優於未經He電漿轟擊的Hf0試片,顯示He電漿轟擊能有效增進薄膜的品質與降低缺陷密度。
請參閱圖8,經PMA處理的DHe試片、THe試片及Hf0試片經定電壓應力(constant voltage stress,CVS)測試的結果示於圖8,其中在閘極電極所施加的電壓為-4V,施加電壓的時間分別為0、40、100、400與1000sec。圖8所示結果證實,與Hf0試片相比較,DHe試片與THe試片在經過定電壓應力測試之後,其在低閘極電壓(閘極電壓的絕對值小於2V)時,閘極漏電流密度上升的程度較低,顯示經He電漿轟擊處理的DHe試片與THe試片,具有較佳的可靠度(reliability)。
藉由以上較佳具體實施例之詳述,係希望能更加清楚描述本發明之特徵與精神,而並非以上述所揭露的較佳具體實施例來對本發明之面向加以限制。相反地,其目的是希望能涵蓋各種改變及具相等性的安排於本發明所欲申請之專利範圍的面向內。因此,本發明所申請之專利範圍的面向應該根據上述的說明作最寬廣的解釋,以致使其涵蓋所有可能的改變以及具相等性的安排。

Claims (10)

  1. 一種高介電常數介電層,係形成於一半導體元件內,該高介電常數介電層包含:M層原子層沉積薄膜,係依序形成於該半導體元件之一材料層上,每一層原子層沉積薄膜係由一氧化物且藉由一原子層沈積製程所形成,M係一大於1的整數,其中該M層原子層沉積薄膜中之N層選定的薄膜,於該原子層沈積製程期間或之後,執行一非反應性氣體電漿轟擊,以對該N層選定的薄膜產生退火的效果,以降低該N層選定的薄膜之缺陷密度,N係一自然數且小於或等於M。
  2. 如請求項1所述之高介電常數介電層,其中該氧化物係選自由HfO2、ZrO2、Al2O3、La2O3、SiO2、TiO2以及Y2O3所組成之群組中之其一。
  3. 如請求項2所述之高介電常數介電層,其中該高介電常數介電層在其電容等效厚度(capacitance equivalent thickness,CET)小於2nm時,具有小於1×10-4A/cm2之一漏電流密度。
  4. 一種製造一高介電常數介電層於一半導體元件內之方法,該方法包含下列步驟:藉由一原子層沉積製程,依序形成一氧化物之M層原子層沉積薄膜於該半導體元件之一材料層上,其中M係一大於1的整數;以及針對該M層原子層沉積薄膜中之N層選定的薄膜,於該原子層沈積製程期間或之後,執行一非反應性氣體電漿轟擊,以對該N層選定的薄膜產生退火的效果,以降低該N層選定的薄膜之缺陷密度,即完成該高介電常數介電層,其中N係一自然數且小於或等於M。
  5. 如請求項4所述之方法,其中該氧化物係選自由HfO2、ZrO2、Al2O3、La2O3、SiO2、TiO2以及Y2O3所組成之群組中之其一。
  6. 如請求項5所述之方法,其中該高介電常數介電層在其電容等效厚度(capacitance equivalent thickness,CET)小於2nm時,具有小於1×10-4A/cm2之一漏電流密度。
  7. 如請求項6所述之方法,其中用以產生該非反應性氣體電漿之一惰性氣體係選自由Ar、He、Ne、He/Ar、He/N2以及He/Ne所組成之群組中之其一。
  8. 如請求項7所述之方法,其中該非反應性氣體電漿之一流量範圍從1sccm至1000sccm。
  9. 一種執行如請求項4至8中任一項所述之方法的多功能設備,包含:一反應腔體;一電漿源,係設置於該反應腔體內之一頂部;一電漿源功率產生單元,係電連接至該電漿源且被控制輸出一交流功率至該電漿源;一偏壓電極,係設置於該反應腔體內之一底部;一交流偏壓產生單元,係電連接至該偏壓電極,且被控制選擇性地輸出一交流偏壓或一直流電偏壓重疊一交流偏壓至該偏壓電極,以控制一非反應性氣體電漿轟擊的能量;一直流偏壓產生單元,係電連接至該偏壓電極,且被控制選擇性地輸出一正直流電偏壓或一負直流電偏壓至該偏壓電極,以控制該非反應性氣體電漿轟擊的能量;一金屬夾盤,係設置於該偏壓電極上,用以夾持該半導體元件之一半成品;一第一先驅物供應源,係經由一第一控制閥連通至該反應腔體,且被控制供應形成該氧化物之一第一先驅物至該反應腔體內;一第二先驅物供應源,係經由一第二控制閥連通至該反應腔體,且被控制供應形成該氧化物之一第二先驅物至該反應腔體內;一載送氣體供應源,係經由一第一流量控制器連通至該反應腔體,且被控制供應一載送氣體至該反應腔體內;一氧氣供應源,係經由一第二流量控制器連通至該反應腔體之該頂部,該氧氣供應源被控制供應一氧氣,由該電漿源之該交流功率游離成一氧氣電漿;一惰性氣體供應源,係經由一第三流量控制器連通至該反應腔體之該頂部,該惰性氣體供應源被控制供應該惰性氣體,由該電漿源之該交流功率游離成該非反應性氣體電漿;一自動壓力控制器;以及一抽氣泵,經由該自動壓力控制器連通至該反應腔體之該底部,且經由該自動壓力控制器進行抽氣速率的自動調控,以達一理想的製程壓力。
  10. 如請求項9所述之多功能設備,其中該金屬夾盤相對於該偏壓電極具有一可調整的傾斜角度。
TW106131698A 2017-09-15 2017-09-15 高介電常數介電層、其製造方法及執行該方法之多功能設備 TWI635539B (zh)

Priority Applications (4)

Application Number Priority Date Filing Date Title
TW106131698A TWI635539B (zh) 2017-09-15 2017-09-15 高介電常數介電層、其製造方法及執行該方法之多功能設備
CN201810920927.5A CN109509788B (zh) 2017-09-15 2018-08-14 高介电常数介电层、其制造方法及执行该方法的设备
US16/131,249 US10923343B2 (en) 2017-09-15 2018-09-14 High-k dielectric layer, fabricating method thereof and multi-function equipment implementing such fabricating method
US17/141,935 US11322348B2 (en) 2017-09-15 2021-01-05 Multi-function equipment implementing fabrication of high-k dielectric layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW106131698A TWI635539B (zh) 2017-09-15 2017-09-15 高介電常數介電層、其製造方法及執行該方法之多功能設備

Publications (2)

Publication Number Publication Date
TWI635539B true TWI635539B (zh) 2018-09-11
TW201916167A TW201916167A (zh) 2019-04-16

Family

ID=64453070

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106131698A TWI635539B (zh) 2017-09-15 2017-09-15 高介電常數介電層、其製造方法及執行該方法之多功能設備

Country Status (3)

Country Link
US (2) US10923343B2 (zh)
CN (1) CN109509788B (zh)
TW (1) TWI635539B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110684966A (zh) * 2019-10-16 2020-01-14 江苏鲁汶仪器有限公司 一种pecvd方式生长致密薄膜的方法
CN117467984A (zh) * 2023-11-08 2024-01-30 江苏首芯半导体科技有限公司 薄膜沉积装置及沉积方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6713846B1 (en) * 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
US7303996B2 (en) * 2003-10-01 2007-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. High-K gate dielectric stack plasma treatment to adjust threshold voltage characteristics
US20100120238A1 (en) * 2007-06-07 2010-05-13 Canon Anelva Corporation Semiconductor manufacturing apparatus and method

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6048766A (en) * 1998-10-14 2000-04-11 Advanced Micro Devices Flash memory device having high permittivity stacked dielectric and fabrication thereof
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
KR100604845B1 (ko) * 2004-04-12 2006-07-26 삼성전자주식회사 질소를 포함하는 씨앗층을 구비하는 금속-절연체-금속캐패시터 및 그 제조방법
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7163877B2 (en) * 2004-08-18 2007-01-16 Tokyo Electron Limited Method and system for modifying a gate dielectric stack containing a high-k layer using plasma processing
US7510983B2 (en) * 2005-06-14 2009-03-31 Micron Technology, Inc. Iridium/zirconium oxide structure
KR100753020B1 (ko) * 2006-08-30 2007-08-30 한국화학연구원 원자층 증착법을 이용한 비휘발성 부유 게이트 메모리소자를 위한 나노적층체의 제조방법
US9711373B2 (en) * 2008-09-22 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate dielectric for high-k metal gate devices
TWI408834B (zh) * 2010-04-02 2013-09-11 Miin Jang Chen 基於奈米晶粒之光電元件及其製造方法
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8722548B2 (en) * 2010-09-24 2014-05-13 International Business Machines Corporation Structures and techniques for atomic layer deposition
TWI495120B (zh) * 2011-02-09 2015-08-01 Sino American Silicon Prod Inc 光電元件及其製造方法
CN102226270A (zh) * 2011-04-29 2011-10-26 中国科学院上海微系统与信息技术研究所 沉积栅介质的方法、制备mis电容的方法及mis电容
CN103046030B (zh) * 2011-10-13 2015-07-29 中国科学院微电子研究所 基于压力测量模块的原子层沉积设备的使用方法
DE102012200211A1 (de) * 2012-01-09 2013-07-11 Carl Zeiss Nts Gmbh Vorrichtung und Verfahren zur Oberflächenbearbeitung eines Substrates
CN103441214B (zh) * 2013-08-02 2015-10-21 浙江大学 一种阻变存储器的制备方法
KR101870491B1 (ko) * 2014-03-11 2018-06-22 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치, 기판 처리 시스템, 박막 트랜지스터의 제조 방법 및 기억 매체
US9637823B2 (en) * 2014-03-31 2017-05-02 Asm Ip Holding B.V. Plasma atomic layer deposition
KR102350441B1 (ko) * 2015-06-05 2022-01-14 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버
FI127769B (en) * 2016-03-11 2019-02-15 Beneq Oy Apparatus and method
US10109478B2 (en) * 2016-09-09 2018-10-23 Lam Research Corporation Systems and methods for UV-based suppression of plasma instability
CN106893977B (zh) * 2017-01-11 2019-05-14 深圳大学 一种高效热电转换特性的ZnSb基薄膜及其制备方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6713846B1 (en) * 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
US7303996B2 (en) * 2003-10-01 2007-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. High-K gate dielectric stack plasma treatment to adjust threshold voltage characteristics
US20100120238A1 (en) * 2007-06-07 2010-05-13 Canon Anelva Corporation Semiconductor manufacturing apparatus and method

Also Published As

Publication number Publication date
US11322348B2 (en) 2022-05-03
US10923343B2 (en) 2021-02-16
CN109509788A (zh) 2019-03-22
US20190088467A1 (en) 2019-03-21
US20210134587A1 (en) 2021-05-06
TW201916167A (zh) 2019-04-16
CN109509788B (zh) 2022-03-08

Similar Documents

Publication Publication Date Title
CN101341584B (zh) 高电介质薄膜的改性方法和半导体装置
US7723242B2 (en) Enhanced thin-film oxidation process
JP2005536063A (ja) High−k金属酸化物の原子層堆積
US9224594B2 (en) Surface preparation with remote plasma
US20080274626A1 (en) Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface
CN101447420A (zh) 一种制备高介电常数栅介质薄膜铪硅氧氮的方法
KR102538510B1 (ko) 얇은 산화하프늄 막들 중의 도펀트 농도의 튜닝성
CN107026070B (zh) 半导体装置的制作方法
US11322348B2 (en) Multi-function equipment implementing fabrication of high-k dielectric layer
CN101401194A (zh) 使用低能量等离子体系统制造高介电常数晶体管栅极的方法和装置
TWI508189B (zh) 閘極堆疊形成期間於高介電閘極介電層中鈍化點缺陷
WO2012090482A1 (ja) 半導体装置の製造方法および装置
CN101962758B (zh) 一种在锗衬底上低温原子层沉积Hf基栅介质薄膜的方法
US8633119B2 (en) Methods for manufacturing high dielectric constant films
KR102046163B1 (ko) 반도체 소자의 제조방법
JP4224044B2 (ja) 半導体装置の製造方法
US9330901B2 (en) Nitrogen-containing oxide film and method of forming the same
US8633114B2 (en) Methods for manufacturing high dielectric constant films
JP4032889B2 (ja) 絶縁膜の形成方法
JP2012080055A (ja) 酸化プラセオジムを備えた誘電体、酸化プラセオジムを備えたトランジスタ及びその製造方法
CN110379709A (zh) 氧化铪薄膜的制造方法
TWI838267B (zh) 薄膜及沉積薄膜的方法
TW202249067A (zh) 用於形成包含釩及氮的層之方法及系統
TW202244305A (zh) 藉由超循環原子層沉積之新穎非晶高k金屬氧化物介電質的方法及應用
CN106856171A (zh) 鳍式场效应晶体管的形成方法