WO2012090482A1 - 半導体装置の製造方法および装置 - Google Patents

半導体装置の製造方法および装置 Download PDF

Info

Publication number
WO2012090482A1
WO2012090482A1 PCT/JP2011/007292 JP2011007292W WO2012090482A1 WO 2012090482 A1 WO2012090482 A1 WO 2012090482A1 JP 2011007292 W JP2011007292 W JP 2011007292W WO 2012090482 A1 WO2012090482 A1 WO 2012090482A1
Authority
WO
WIPO (PCT)
Prior art keywords
forming
film
metal
semiconductor device
electrode
Prior art date
Application number
PCT/JP2011/007292
Other languages
English (en)
French (fr)
Inventor
尚武 北野
拓哉 清野
松尾 明
雄 佐藤
栄太郎 森本
Original Assignee
キヤノンアネルバ株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by キヤノンアネルバ株式会社 filed Critical キヤノンアネルバ株式会社
Priority to JP2012526211A priority Critical patent/JP5458177B2/ja
Priority to KR1020127032006A priority patent/KR101409433B1/ko
Priority to DE112011104624.3T priority patent/DE112011104624B4/de
Publication of WO2012090482A1 publication Critical patent/WO2012090482A1/ja
Priority to US13/675,147 priority patent/US8481382B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/351Sputtering by application of a magnetic field, e.g. magnetron sputtering using a magnetic field in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/345Magnet arrangements in particular for cathodic sputtering apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/345Magnet arrangements in particular for cathodic sputtering apparatus
    • H01J37/3452Magnet distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/345Magnet arrangements in particular for cathodic sputtering apparatus
    • H01J37/3455Movable magnets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape

Definitions

  • the present invention relates to a method and apparatus for manufacturing a semiconductor device having a high-dielectric-constant insulating film and a metal gate electrode, and more particularly to a technique for improving the performance of a MOSFET (Metal Oxide Semiconductor Field Transistor).
  • MOSFET Metal Oxide Semiconductor Field Transistor
  • the threshold voltage of the transistor is determined by the impurity concentration in the channel region and the impurity concentration in the polycrystalline silicon film.
  • the threshold voltage of the transistor is determined by the impurity concentration of the channel region and the work function of the gate electrode.
  • a material with a work function of Si mid gap (4.6 eV) or less, preferably 4.4 eV or less is desirable for an N-type MOSFET, and work is desired for a P-type MOSFET.
  • Non-Patent Document 1 a metal gate electrode is formed using a CVD method, and a high effective work function (5.1 eV) and a good leakage current (Jg) characteristic with respect to an equivalent oxide thickness (EOT) are obtained. It has been.
  • Non-Patent Document 2 the transistor characteristics may be deteriorated due to impurities contained in the raw material.
  • the PVD method when used, it is considered that the amount of impurities mixed is small compared to the CVD method.
  • the leakage current is smaller than that of the CVD method.
  • leakage current is improved by forming an electrode having a metal nitride / metal laminate structure while nitriding the surface layer portion of the insulating film (oxide) using a DC sputtering method. It has been reported. However, as the miniaturization progresses (gate length of 32 nm and later), this method has become insufficient.
  • An object of the present invention is to provide a semiconductor device manufacturing method and apparatus capable of obtaining a desired effective work function using the PVD method and reducing leakage current without increasing the equivalent oxide thickness. Is to provide.
  • a first aspect of the present invention is a method for manufacturing a semiconductor device, comprising: preparing a substrate on which an insulating film having a relative dielectric constant higher than that of a silicon oxide film is formed; A step of depositing a metal nitride film on the insulating film, wherein the step of depositing the metal nitride film includes a plurality of metal targets and a plurality of magnet pieces that form lattice points in a process chamber capable of reducing pressure.
  • a second aspect of the present invention is a method for manufacturing a semiconductor device, the step of forming a high dielectric constant insulating film on a semiconductor substrate, and the formation of a first metal nitride layer on the high dielectric constant insulating film A step of forming a silicon layer on the first metal nitride layer, and a source on the semiconductor substrate using at least the high dielectric constant film, the first metal nitride layer, and the silicon layer as a mask.
  • Forming a region and a drain region forming an interlayer insulating film on the semiconductor substrate on which the source region and the drain region are formed, and exposing the silicon layer by removing a part thereof; and Removing the silicon layer on the high dielectric constant insulating film to form a trench structure; and forming a second metal nitride layer so as to cover the inside of the trench structure.
  • a metal target and magnet pieces for forming lattice points are arranged in a plurality of lattices in a process chamber capable of decompression, And a sputtering deposition process using a cusp magnetic field formed on the target surface by a structure arranged so that adjacent magnet pieces have different polarities, introducing a gas containing nitrogen gas into the processing chamber, It is a step of forming a metal nitride layer by forming the nitrogen plasma by the cusp magnetic field.
  • a third aspect of the present invention is a method for manufacturing a semiconductor device, comprising: a first MOS transistor formation region on a semiconductor substrate; and a second MOS transistor formation region having a conductivity type opposite to that of the first MOS transistor.
  • a step of exposing the silicon layer, a step of removing the silicon layer on the high dielectric constant insulating film to form a trench structure, and covering the inside of the trench structure A step of sequentially forming a tantalum nitride film and a titanium nitride film, a step of removing the titanium nitride film and the tantalum nitride film covering the bottom of the trench structure on the first MOS transistor formation region, Forming the alloy layer containing titanium and aluminum so as to cover the inside; and forming the aluminum film on the alloy layer so as to fill the inside of the trench structure, and the metal nitride Introducing a nitrogen-containing gas into at least one of the layer, the titanium nitride film, and the tantalum nitride film, a metal target, and magnet pieces for forming lattice points are arranged in a plurality of lattice shapes, and A cusp magnetic field formed on a target surface by a structure in which adjacent magnet pieces are arranged so as
  • a device for forming a metal nitride layer a processing chamber capable of decompression, a first electrode provided in the processing chamber and configured to hold a metal target, A second electrode having a substrate holder capable of holding the substrate, a gas inlet for introducing a gas containing nitrogen, and a plurality of magnet pieces forming lattice points are arranged in a lattice pattern in the processing chamber; A magnet mechanism arranged so that adjacent magnet pieces have different polarities, and configured so as to form a cusp magnetic field on the surface of the target when the target is provided on the first electrode; , A DC power source connected to the first electrode, a first high frequency power source for applying a high frequency power to the first electrode superimposed on a direct current voltage from the DC power source, and the second High frequency on electrode Characterized in that it comprises a second high-frequency power source for applying
  • a desired effective work function can be obtained, and an improvement in leakage current characteristics can be realized without increasing the equivalent oxide thickness.
  • the form of the metal nitride film can be improved leakage current characteristics for oxide thickness equivalent thickness, in FIG. 1 MIS (M etal I nsulator S emiconductor structure) capacitor element Is described as an example.
  • MIS Metal etal I nsulator S emiconductor structure
  • capacitor element Is described as an example.
  • a titanium nitride film 3 as a gate electrode is formed on a p-type silicon substrate 1 having a silicon oxide film and a HfSiO film 2 as a high dielectric constant film as a gate insulating film on the surface. Yes.
  • the high dielectric constant material used for the gate insulating film is a material having a relative dielectric constant larger than that of SiO 2 (3.9), and is a metal oxide, a metal silicate, a metal oxide into which nitrogen is introduced, Examples thereof include metal silicate introduced with nitrogen.
  • a high dielectric constant film into which nitrogen is introduced is preferable as the gate insulating film from the viewpoint of suppressing crystallization and improving the reliability of the element.
  • the metal in the high dielectric constant material is preferably Hf or Zr from the viewpoint of heat resistance of the film and suppression of fixed charge in the film.
  • a metal oxide containing Hf or Zr and Si a metal oxynitride containing nitrogen in addition to this metal oxide is preferable, and HfSiO and HfSiON are more preferable.
  • a silicon oxide film and a high dielectric constant film laminated thereon are used as the gate insulating film, but the present invention is not limited to this, and the high dielectric constant insulating film alone or the silicon oxynitride film A high dielectric constant film laminated thereon can be used.
  • the metal nitride film as the gate electrode preferably contains one or more metal elements selected from the group consisting of Ti, Ta, W and Al or r.
  • FIG. 2 shows an outline of an apparatus used in a titanium nitride film forming process in one embodiment of the present invention.
  • a semiconductor manufacturing apparatus 100 includes a chamber 201 having an upper electrode 401 and a lower electrode 301 as shown in FIG.
  • the chamber 201 functions as a vacuum processing container.
  • a vacuum exhaust pump 410 that exhausts the inside of the chamber 201 is connected to the exhaust port 205 and an automatic pressure control mechanism (APC) 431 is provided.
  • APC automatic pressure control mechanism
  • An upper electrode high-frequency power source 102 and a DC power source 103 are connected to the upper electrode 401 via a matching unit 101.
  • the lower electrode 301 is connected to a lower electrode high-frequency power source 305 via a matching unit 304.
  • the chamber 201 has a substantially columnar shape, and includes a substantially disc-shaped upper wall (ceiling wall) 202, a substantially cylindrical side wall 203, and a substantially disc-shaped bottom wall 204.
  • a pressure gauge 430 (for example, a diaphragm gauge) for measuring pressure is provided near the side wall 203 in the chamber 201.
  • the pressure gauge 430 is electrically connected to the automatic pressure control mechanism 431 and is configured to automatically control the pressure in the chamber 201.
  • the upper electrode 401 includes an upper wall 202, a magnet mechanism 405, a target electrode (first electrode) 402, an insulator 404, and a shield 403.
  • the magnet mechanism 405 is provided below the upper wall 202, and the target electrode 402 is provided below the magnet mechanism 405.
  • the insulator 404 insulates the target electrode 402 from the side wall of the chamber 201 and holds the target electrode 402 in the chamber 201. Further, a shield 403 is provided below the insulator 404.
  • the target electrode 402 is connected to the upper electrode high-frequency power source 102 and the DC power source 103 via the matching unit 101.
  • the main parts of the target electrode 402 include nonmagnetic metals such as Al, SUS, and Cu.
  • a material target material (not shown) necessary for forming a film on the substrate 306 can be provided on the reduced pressure side of the target electrode 402. Further, a pipe is formed in the upper electrode 401 and the target electrode 402, and the upper electrode 401 and the target electrode 402 can be cooled by flowing cooling water through the pipe.
  • a target containing one or more metal elements selected from the group consisting of Ti, Ta, W, and Al may be used.
  • the magnet mechanism 405 includes a magnet support plate 407, a plurality of magnet pieces 406 supported by the magnet support plate 407, and a magnetic field adjusting magnetic body 408 provided on the outermost peripheral side of the plurality of magnet pieces 406. Yes.
  • the magnet mechanism 405 can be rotated about the central axis of the material target by a rotation mechanism (not shown).
  • the plurality of magnet pieces 406 are arranged adjacent to each other above the target electrode 402 so as to be parallel to the surface of the target electrode 402. In the adjacent magnet piece 406, a closed point cusp magnetic field 411 is formed to confine plasma.
  • the magnetic body 408 for magnetic field adjustment is extended so that the magnet piece 406 located on the outer peripheral side partially overlaps on the target electrode 402 side. With such a configuration, the magnetic field strength can be suppressed (controlled) in the gap between the target electrode 402 and the shield 403.
  • the lower electrode 301 includes a stage holder 302, a cooling / heating mechanism 412, a bottom wall 204, and a second electrode insulator 303.
  • the stage holder 302 is a device for placing the substrate 306, and a cooling / heating mechanism 412 is provided therein.
  • the second electrode insulator 303 is a device for electrically insulating and supporting the stage holder 302 and the bottom wall 204 of the chamber 201.
  • the lower electrode high-frequency power source 305 is connected to the stage holder 302 via a matching unit 304.
  • the stage holder 302 is provided with an electrostatic adsorption device having a monopolar electrode, and this monopolar electrode is connected to a DC power source (not shown).
  • a plurality of gas jets are supplied to the stage holder 302 to supply a gas (for example, an inert gas such as Ar) for controlling the temperature of the substrate 306 to the back surface of the substrate 306.
  • a gas for example, an inert gas such as Ar
  • An outlet and a substrate temperature measuring instrument for measuring the temperature of the substrate are provided.
  • a plurality of gas introduction ports 409 for supplying a predetermined gas such as a process gas such as argon into the chamber 201 is provided in the chamber 201.
  • the shape of the magnet mechanism 405 will be described in detail with reference to FIG.
  • FIG. 2 is a plan view of the magnet mechanism 405 as viewed from the target electrode 402 side. As shown in FIG.
  • an annular magnetic field adjustment magnetic body 408 and a magnet piece 406 disposed in the inner peripheral region of the magnetic field adjustment magnetic body 408 are supported by a disk-shaped magnet support 407.
  • symbol 403 a indicates the inner diameter of the shield 403
  • many small circles indicate the outer shape of each magnet piece 406.
  • Each magnet piece 406 has the same shape and the same magnetic flux density.
  • the letters N and S indicate the magnetic poles of the magnet piece 406 viewed from the target electrode 402 side.
  • the magnet pieces 406 are arranged in a plurality of square lattices (X-axis direction and Y-axis direction) such that each magnet piece 406 forms each lattice point with substantially the same interval (range of 5 to 100 mm).
  • the adjacent magnet pieces 406 have opposite polarities.
  • the magnet pieces 406 adjacent in the diagonal direction have the same polarity. That is, a point cusp magnetic field 411 is formed by any four adjacent magnet pieces 406.
  • the arrangement shape of the magnet pieces 406 may be a hexagonal lattice, and it is sufficient that at least a unit lattice is formed.
  • the height of the magnet piece 406 is usually larger than 2 mm, and its cross-sectional shape is a square or a circle.
  • the diameter, height, and material of the magnet piece 406 can be appropriately set depending on the process application.
  • the magnetic body 408 for magnetic field adjustment is extended so that the magnet piece 406 located on the outer peripheral side partially overlaps on the target electrode 402 side. Thereby, the magnetic field strength can be suppressed (controlled) in the gap between the target electrode 402 and the shield 403.
  • the magnetic body for magnetic field adjustment 408 may be any material that can control the magnetic field strength in the gap between the target electrode 402 and the shield 403, and for example, a material having high magnetic permeability such as SUS430 is preferable.
  • the magnetic field can be adjusted by adjusting the area where the magnet piece 406 and the magnetic body for magnetic field adjustment 408 overlap.
  • the magnetic field necessary for sputtering the target electrode 402 is supplied to the outermost periphery of the target electrode 402, and the target electrode 402 and the shield 403 In the gap, the magnetic field strength can be adjusted.
  • a Ti metal target is used as the target, the substrate temperature is set to 30 ° C., the Ti target power is set to 1500 W, and the DC voltage superimposed on the high-frequency power is set to 350V.
  • the frequency of the high frequency power source is preferably between 10 and 100 MHz. More preferably, in order to form a high-density plasma using a point cusp magnetic field at the above pressure, it is desirable to be between 40-60 MHz.
  • the TiN film 3 is processed into a desired size by using a lithography technique and a RIE (Reactive Ion Etching) technique to form an element.
  • the effective work function is generally determined from a flat band obtained by CV measurement between the gate insulating film and the gate electrode. In addition to the original work function of the gate electrode, the effective work function is formed at a fixed charge in the insulating film or at the interface. Affected by dipoles and Fermi level pinning. It is distinguished from the original “work function” of the material constituting the gate electrode. 4 and 5 show the measurement results of the electrical characteristics of the element having the TiN electrode formed according to the embodiment of the present invention. Here, the electrical characteristics were evaluated in an as-deposited state.
  • the “as-deposited state” refers to a state in which a TiN electrode is formed.
  • FIG. 4 shows EOT-Jg characteristics of a TiN electrode formed according to an embodiment of the present invention and a TiN electrode formed by DC magnetron sputtering (hereinafter referred to as a conventional technique). From FIG. 4, it can be confirmed that the leakage current (Jg) is reduced by about three orders of magnitude in the TiN electrode formed according to the embodiment of the present invention as compared with the TiN electrode formed by the conventional method.
  • FIG. 5 shows values of effective work functions of the TiN electrode formed by the conventional method and the TiN electrode formed by one embodiment of the present invention.
  • the effective work function of the element having the TiN electrode formed by the conventional method is 4.6 eV
  • the effective work function of the element having the TiN electrode formed according to the embodiment of the present invention is It can be seen that a high value of 5.0 eV or higher is shown. This value is an effective work function suitable for a P-type MOSFET.
  • FIG. 6 shows the relationship between the plasma density and the electron temperature with respect to the power applied to the target electrode 402 in the vicinity of the substrate of the generated magnetic field using the magnet mechanism 405 shown in FIG.
  • FIG. 6 shows that when the applied power to the target electrode 402 is 1500 W, the electron temperature is about 2.5 eV while having a plasma density of 1 ⁇ 10 11 / cm 3 or more. Due to the high density plasma, the formed nitrogen ions or nitrogen radicals efficiently enter the High-k film, whereby the High-k film is modified. This phenomenon is considered to improve the leakage current without increasing the oxide equivalent film thickness.
  • the point cusp magnetic field 411 is arranged at a position corresponding to each corner of a quadrangular quadrilateral in a grid pattern, and the polarity of the magnet pieces adjacent in the side direction of each quadrilateral is opposite.
  • the magnetic piece is formed according to the magnet piece 406 arranged, and the magnitude of the magnetic field is fixed.
  • the plasma density is reduced as shown in FIG. Can be changed.
  • the inert gas argon flow rate and the reactive gas nitrogen flow rate and the sputtering rate of the Ti target in the region where the nitrogen flow rate / (nitrogen flow rate + argon flow rate) is 0.05 or more, It was confirmed that the reduction rate of the sputtering rate generated by nitriding the target surface was the maximum region.
  • a desired effective work function can be obtained while improving the EOT-Jg characteristics.
  • FIG. 7A to 7C are diagrams showing the steps of the semiconductor device manufacturing method according to the first embodiment of the present invention.
  • an element isolation region 502 formed by STI (Shallow Trench Isolation) technology is provided on the surface of a silicon substrate 501.
  • a silicon thermal oxide film having a thickness of 1.0 nm is formed on the surface of the element-isolated silicon substrate 501 by a thermal oxidation method.
  • the silicon substrate 501 was put into the cluster tool 600 shown in FIG.
  • the silicon substrate 501 was transferred from the load lock chamber 605 of FIG.
  • the silicon substrate 501 on which Hf is formed is transferred to the chamber 602 through the transfer chamber 604 without being exposed to the atmosphere, and subjected to annealing at 900 ° C. for 1 min in an atmosphere having an oxygen partial pressure of 0.1 Pa.
  • a gate insulating film 503 having a stacked structure of a silicon oxide film and an HfSiO film is formed.
  • the silicon substrate 501 on which the gate insulating film 503 is formed is transferred to the chamber 603 without being exposed to the atmosphere, and in the processing apparatus shown in detail in FIG.
  • FIG. 9A to 9G are views showing the steps of a semiconductor device manufacturing method according to the second embodiment of the present invention.
  • an element isolation region 702 was formed on the surface of a silicon substrate 701 using the STI technique.
  • a silicon thermal oxide film 703 having a film thickness of 1.0 nm is formed on the surface of the silicon substrate 701 from which the element has been separated by thermal oxidation, and then a high dielectric constant made of HfO 2 by CVD, ALD, or sputtering.
  • a film 704 was formed to 2.0 nm, and a high dielectric constant gate insulating film 705 containing a high dielectric constant film was formed.
  • a titanium nitride film 706 having a thickness of 2.0 nm is formed on the high dielectric constant gate insulating film 705 by the same method as in the first embodiment, and subsequently, a film having a thickness of 100 nm is formed by CVD or sputtering.
  • a silicon film 707 was formed.
  • the high dielectric constant gate insulating film 705, the titanium nitride film 706, and the silicon film 707 are processed using a lithography technique and an RIE technique, and then ion implantation is performed to form an extension diffusion region 708.
  • the source region and the drain region were formed using at least the high dielectric constant gate insulating film 705, the titanium nitride film 706, and the silicon film 707 as a mask.
  • a side wall 709 was formed by depositing a silicon nitride film or a silicon oxide film by a CVD method and then etching back.
  • an interlayer insulating film 711 made of a silicon oxide film, a silicon nitride film, or the like is formed on the substrate by a CVD method, and then the upper surface of the interlayer insulating film 711 by a CMP (Chemical Mechanical Polishing) method.
  • the silicon film 707 was exposed as shown in the figure.
  • the silicon film 707 was removed by wet etching or dry etching to form a trench structure 712.
  • the substrate having the trench structure 712 is transferred to the chamber 902 using the cluster tool shown in FIG. 10 having the sputtering method according to an embodiment of the present invention, and tantalum nitride is formed. did.
  • the substrate on which tantalum nitride was formed was transferred to the chamber 901 or 903 without being exposed to the atmosphere, and a titanium nitride film was formed to form a gate electrode 713 made of metal nitride.
  • the substrate on which the gate electrode 713 is formed is transported to the chamber 905 without being exposed to the atmosphere, and the trench structure 712 is filled with a metal material used for a normal wiring material such as W or Al, and etching back or CMP is performed.
  • a gate electrode 714 was formed by planarization using a technique (FIG. 9G).
  • the use of the method for forming a metal nitride layer in the present invention reduces the leakage current value without causing an increase in EOT, and the P-type. It was confirmed that an effective work function (4.6 eV or more) suitable for the MOSFET can be obtained. It was also confirmed that the same effect can be obtained even when an HfSiO film is used as the high dielectric constant gate insulating film.
  • FIG. 11A to 11F are views showing the steps of a semiconductor device manufacturing method according to the third embodiment of the present invention.
  • the “trench structure is formed in each of the region for forming the N-type MOSFET as the first region and the region for forming the P-type MOSFET as the second region.
  • achieves the effective work function suitable for each is formed.
  • trench structures 801 and 802 are formed in a region where the first N-type MOSFET is formed and a region where the second MOSFET is formed using the same method as in the second embodiment.
  • a tantalum nitride film 803 and a titanium nitride film 804 were formed by using the sputtering method of the present invention so as to cover the inside of the trench structure.
  • the tantalum nitride film and the titanium nitride film constituting the bottom of the trench structure 801 in the region where the first N-type MOSFET is to be formed are removed by using the lithography technique and the etching technique.
  • the titanium nitride film was wet-etched using a mixed solution of sulfuric acid, hydrogen peroxide water, and water, and the tantalum nitride film was removed by etching with Ar plasma.
  • the substrate was transferred to the chamber 904, and a metal alloy film 805 containing an alloy of titanium and aluminum was formed.
  • the substrate on which the metal alloy film 805 is formed is transferred to a chamber 905 in which film formation is performed using a sputtering method so as to fill the inside of the trench structure, and the metal film 806 made of aluminum.
  • planarization was performed using a CMP technique to form the structure shown in FIG.
  • the aluminum contained in the metal alloy film 805 made of titanium and aluminum is set at least in the region where the N-type MOSFET is formed by setting the substrate temperature to 300 ° C. to 400 ° C.
  • the effective work function suitable for the N-type MOSFET can be achieved by diffusing in the titanium nitride film 800.
  • an effective work function suitable for the P-type MOSFET can be maintained.
  • the leakage current value can be reduced without causing an increase in EOT. It was confirmed that an effective work function suitable for the MOSFET (4.4 eV or less for the N-type MOSFET and 4.6 eV or more for the P-type MOSFET) was obtained. It was also confirmed that the same effect can be obtained even when an HfSiO film is used as the high dielectric constant gate insulating film.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Composite Materials (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Physical Vapour Deposition (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

 本発明は、PVD法を用いて、所望の実効仕事関数が得られ、酸化膜厚換算膜厚を増加させることなく、リーク電流の低減をすることが可能な半導体装置の製造方法および装置を提供する。本発明の一実施形態に係る半導体装置の製造方法は、シリコン酸化膜よりも比誘電率が高い絶縁膜が形成された基板を用意する工程と、該絶縁膜上に金属窒化膜を堆積させる工程とを有する。上記金属窒化膜を堆積させる工程は、減圧可能な処理室(100)内にて、金属ターゲットと、格子点を形成するマグネットピース(406)が複数格子状に配置され、かつ隣接するマグネットピース(406)が異極性を有する配置である磁石機構(405)により上記金属ターゲット表面に形成されるカスプ磁場(411)とを用いたスパッタリング堆積工程である。

Description

半導体装置の製造方法および装置
 本発明は高誘電率絶縁膜と金属ゲート電極を有する半導体装置の製造方法および装置に関するものであり、特にMOSFET(Metal Oxide Semiconductor Field Transistor)の高性能化に関する技術である。
 トランジスタの微細化が進む先端CMOS(相補型MOS)デバイス開発ではポリシリコン(poly-Si)電極の空乏化による駆動電流の劣化とゲート絶縁膜の薄膜化によるゲート電流の増加が問題となっている。そこで、メタルゲートの適用により電極の空乏化を回避すると同時に、ゲート絶縁膜に高誘電体材料を用いて物理膜厚を厚くすることでゲートリーク電流を低減する複合技術が検討されている。メタルゲート電極に用いる材料として、純金属や金属窒化物あるいはシリサイド材料等が検討されているが、いずれの場合においても、N型MOSFET、P型MOSFETのしきい値電圧(Vth)を適切な値に設定可能でなければならない。従来の多結晶シリコン膜を介したゲート電極を用いる場合、トランジスタのしきい値電圧はチャネル領域の不純物濃度と多結晶シリコン膜中の不純物濃度で決定される。一方、メタルゲート電極を用いる場合には、トランジスタのしきい値電圧は、チャネル領域の不純物濃度とゲート電極の仕事関数で決定される。CMOSトランジスタで±0.5V以下のVthを実現するためには、N型MOSFETでは仕事関数がSiのミッドギャップ(4.6eV)以下、望ましくは4.4eV以下の材料を、P型MOSFETでは仕事関数がSiのミッドギャップ(4.6eV)以上、望ましくは4.8eV以上の材料をゲート電極に用いる必要がある。これらのメタルゲート電極を形成する手段として、CVD(Chemical Vapor Deposition)法やPVD(Physical Vapor Deposition)法がある。
 ここで、メタルゲート電極形成方法として、CVD法を用いた例を提示する。非特許文献1では、CVD法を用いてメタルゲート電極を形成しており、高い実効仕事関数(5.1eV)と良好な酸化膜厚換算膜厚(EOT)に対するリーク電流(Jg)特性が得られている。しかしながら、非特許文献2に示されるように、原料に含まれる不純物が原因で、トランジスタ特性の劣化が考えられる。一方で、PVD法を用いた場合、CVD法と比較して、不純物の混入量は少ないと考えられるが、非特許文献3に示されているように、CVD法と比較して、リーク電流の劣化ならびに所望の実効仕事関数が得られないといった課題がある。これに対して、特許文献1では、DCスパッタリング方式を用いて絶縁膜(酸化物) の表層部を窒化しながら金属窒化物/金属の積層構造の電極を形成することで、リーク電流が改善されることが報告されている。しかし、微細化が進んでいく(ゲート長32nm以降) につれて、この方式では、不十分となってきている。
特開2000-353674号公報
S. Sakashita et al., "Low temperature divided CVD technique for TiN metal gate electrodes of p-MISFETs" Ext. Abstracts. international Conference on Solid State Devices and Materials, 2005, pp.854-858. T. Kawahara et al., "Effect of Hf Sources, Oxidizing Agents, and NH3/Ar Plasma on the Properties of HfAlOx Films Prepared by Atomic Layer Deposition" Jpn. J. Appl. Phys. 43 No.7A (2004) 4129-4134. K. Nakajima et al., "Work Function Controlled Metal Gate Electrode on Ultrathin Gate Insulators" Symp. VLSI Tech, 1999
 本発明の目的は、PVD法を用いて、所望の実効仕事関数が得られ、酸化膜厚換算膜厚を増加させることなく、リーク電流の低減をすることが可能な半導体装置の製造方法および装置を提供することである。
 このような目的を達成するため、本発明の第1の態様は、半導体装置の製造方法であって、シリコン酸化膜よりも比誘電率が高い絶縁膜が形成された基板を用意する工程と、前記絶縁膜上に金属窒化膜を堆積させる工程とを有し、前記金属窒化膜を堆積させる工程は、減圧可能な処理室内にて、金属ターゲットと、格子点を形成するマグネットピースが複数格子状に配置され、かつ隣接するマグネットピースが異極性を有する配置である構造物により前記金属ターゲット表面に形成されるカスプ磁場とを用いたスパッタリング堆積工程であって、前記処理室内に窒素ガスを含むガスを導入し、前記カスプ磁場により前記窒素のプラズマを形成して前記金属窒化膜を形成する工程であることを特徴とする。
 本発明の第2の態様は、半導体装置の製造方法であって、半導体基板上に高誘電率絶縁膜を形成する工程と、前記高誘電率絶縁膜上に第1の金属窒化物層を形成する工程と、前記第1の金属窒化物層上にシリコン層を形成する工程と、少なくとも前記高誘電率膜と前記第1の金属窒化物層と前記シリコン層とをマスクとして前記半導体基板にソース領域およびドレイン領域を形成する工程と、前記ソース領域およびドレイン領域が形成された半導体基板上に層間絶縁膜を形成した後、その一部を除去することで前記シリコン層を露出させる工程と、前記高誘電率絶縁膜上の前記シリコン層を除去してトレンチ構造を形成する工程と、前記トレンチ構造の内部を被覆するように第2の金属窒化物層を形成する工程とを有し、前記第1の金属窒化物層を形成する工程および前記第2の金属窒化物層を形成する工程の少なくとも一方は、減圧可能な処理室内にて、金属ターゲットと、格子点を形成するマグネットピースが複数格子状に配置され、かつ隣接するマグネットピースが異極性となるように配置された構造物によりターゲット表面に形成されるカスプ磁場とを用いたスパッタリング堆積工程であって、前記処理室内に窒素ガスを含むガスを導入し、前記カスプ磁場により前記窒素のプラズマを形成して金属窒化物層を形成する工程であることを特徴とする。
 本発明の第3の態様は、半導体装置の製造方法であって、半導体基板上の第1のMOSトランジスタ形成領域と、第1のMOSトランジスタと逆導電型の第2のMOSトランジスタ形成領域上に、高誘電率絶縁膜を形成する工程と、前記高誘電率絶縁膜上に金属窒化物層およびシリコンを順に形成する工程と、少なくとも前記高誘電率絶縁膜と前記金属窒化物層と前記シリコン層とをマスクとして前記半導体基板上にソース領域およびドレインを形成する工程と、前記ソース領域およびドレイン領域が形成された半導体基板上に層間絶縁膜を形成した後、その一部を除去することで前記シリコン層を露出させる工程と、前記高誘電率絶縁膜上のシリコン層を除去してトレンチ構造を形成する工程と、前記トレンチ構造の内部を被覆するように窒化タンタル膜と窒化チタン膜とを順に形成する工程と、前記第1のMOSトランジスタ形成領域上のトレンチ構造の底部を被覆する窒化チタン膜と窒化タンタル膜とを除去する工程と、前記トレンチ構造の内部を被覆するようにチタンとアルミニウムとを含有する合金層を形成する工程と、前記トレンチ構造の内部を埋め込むように前記合金層上にアルミニウム膜を形成する工程とを有し、前記金属窒化物層、前記窒化チタン膜および窒化タンタル膜の少なくとも一つを、減圧可能な処理室内に窒素を含むガスを導入し、金属ターゲットと、格子点を形成するマグネットピースが複数格子状に配置され、かつ隣接するマグネットピースが異極性を有するように配置された構造物によりターゲット表面に形成されるカスプ磁場とを用い、該カスプ磁場により形成された前記窒素のプラズマを用いたスパッタリング法で形成することを特徴とする。
 本発明の第4の態様は、金属窒化物層を形成する装置であって、減圧可能な処理室と、前記処理室内に設けられ、金属ターゲットを保持可能に構成された第1の電極と、基板を保持可能な基板ホルダーを有する第2の電極と、前記処理室内に、窒素を含むガスを導入するためのガス導入口と、格子点を形成するマグネットピースが複数格子状に配置され、かつ隣接するマグネットピースが異極性を有するように配置された磁石機構であって、前記第1の電極にターゲットが設けられた場合に該ターゲット表面にカスプ磁場を形成するように構成された磁石機構と、前記第1の電極に接続されたDC電源と、前記第1の電極に対して、前記DC電源からの直流電圧と重畳して高周波電力を印加する第1の高周波電源と、前記第2の電極に高周波電力を印加する第2の高周波電源とを備えることを特徴とする。
 本発明によれば、所望の実効仕事関数が得られ、酸化膜厚換算膜厚を増加させることなくリーク電流特性の向上を実現することができる。
本発明の一実施形態に関わる素子構造の断面を示す図である。 本発明の一実施形態に関わる金属窒化膜の形成工程に用いられる処理装置の概略を示す図である。 本発明の一実施形態に関わる磁石機構をターゲット電極(第1の電極) 側から見た平面図である。 本発明の一実施形態に関わる素子のEOTとリーク電流の関係を示す図である。 本発明の一実施形態に関わる素子の実効仕事関数値を示す図である。 本発明の一実施形態に関わる処理装置のプラズマ密度と電子温度の関係を示した図である。 本発明の第1の実施例の半導体装置の製造方法の工程を示す図である。 本発明の第1の実施例の半導体装置の製造方法の工程を示す図である。 本発明の第1の実施例の半導体装置の製造方法の工程を示す図である。 本発明の第1の実施例の工程の一部を行うための装置の概略を示す図である。 本発明の第2の実施例の半導体装置の製造方法の工程を示す図である。 本発明の第2の実施例の半導体装置の製造方法の工程を示す図である。 本発明の第2の実施例の半導体装置の製造方法の工程を示す図である。 本発明の第2の実施例の半導体装置の製造方法の工程を示す図である。 本発明の第2の実施例の半導体装置の製造方法の工程を示す図である。 本発明の第2の実施例の半導体装置の製造方法の工程を示す図である。 本発明の第2の実施例の半導体装置の製造方法の工程を示す図である。 本発明の第2、第3の実施例の工程の一部を行うための装置の概略を示す図である。 本発明の第3の実施例の半導体装置の製造方法の工程を示す図である。 本発明の第3の実施例の半導体装置の製造方法の工程を示す図である。 本発明の第3の実施例の半導体装置の製造方法の工程を示す図である。 本発明の第3の実施例の半導体装置の製造方法の工程を示す図である。 本発明の第3の実施例の半導体装置の製造方法の工程を示す図である。 本発明の第3の実施例の半導体装置の製造方法の工程を示す図である。
 本発明における所望の実効仕事関数が得られ、酸化膜厚換算膜厚に対するリーク電流特性を向上することができる金属窒化膜の形態について、図1のMIS(etal nsulator emiconductor structure)キャパシタ素子を例に取り説明する。
 図1に示すように、表面にシリコン酸化膜とゲート絶縁膜としての高誘電率膜であるHfSiO膜2とを有するp型シリコン基板1上に、ゲート電極としての窒化チタン膜3が形成されている。
 ゲート絶縁膜に用いられる高誘電率材料は、SiOの比誘電率(3.9)より大きな比誘電率をもつ材料であり、金属酸化物、金属シリケート、窒素が導入された金属酸化物、窒素が導入された金属シリケート等が挙げられる。結晶化が抑えられ、素子の信頼性が向上する点から、ゲート絶縁膜としては窒素が導入された高誘電率膜が好ましい。高誘電率材料中の金属としては、膜の耐熱性および膜中の固定電荷抑制の観点から、HfもしくはZrが好ましい。また、高誘電率材料としては、Hf又はZrとSiとを含む金属酸化物、この金属酸化物にさらに窒素を含む金属酸窒化物が好ましく、HfSiO、HfSiONがより好ましい。また、ここではゲート絶縁膜としてシリコン酸化膜とその上に積層された高誘電率膜とを用いているが、これに限定されるものではなく、高誘電率絶縁膜単独あるいはシリコン酸窒化膜とその上に積層された高誘電率膜を用いることができる。
 また、ゲート電極としての金属窒化膜は、Ti、Ta、WおよびAlかrなる群から選択された1種または2種以上の金属元素を含有することが好ましい。
 図2に、本発明の一実施形態における窒化チタン膜の形成工程に用いられる装置の概略を示す。本発明の一実施形態に係る半導体製造装置100は、図2に示すように、上部電極401と下部電極301とを有するチャンバ201を備えている。チャンバ201は真空処理容器として機能するものであり、排気口205には、チャンバ201内を排気する真空用排気ポンプ410が接続されるとともに、自動圧力制御機構(APC)431が設けられている。また、チャンバ201内には、上部電極401と下部電極301が設けられている。上部電極401には、整合器101を介して上部電極用高周波電源102とDC電源103が接続されている。また、下部電極301には、整合器304を介して下部電極用高周波電源305が接続されている。
 チャンバ201は略円柱状となっており、略円盤状の上部壁(天井壁)202と、略円筒形の側壁203と、略円盤状の底壁204とから構成されている。チャンバ201内の側壁203付近には、圧力を測定するための圧力計430(例えば、ダイヤフラムゲージ)が設けられている。圧力計430は、自動圧力制御機構431と電気的に接続されており、チャンバ201内の圧力を自動制御できるように構成されている。
 上部電極401は、上部壁202と、磁石機構405と、ターゲット電極(第1の電極)402と、絶縁体404と、シールド403とを有している。磁石機構405は上部壁202の下方に設けられており、磁石機構405の下方にはターゲット電極402が設けられている。また、絶縁体404は、ターゲット電極402とチャンバ201の側壁とを絶縁するとともに、ターゲット電極402をチャンバ201内に保持するためのものである。さらに、絶縁体404の下方には、シールド403が設けられている。なお、ターゲット電極402は、整合器101を介して、上部電極用高周波電源102とDC電源103に接続されている。ターゲット電極402の主要部品は、Al、SUS、Cuなどの非磁性金属を含む。ターゲット電極402の減圧側には、基板306上に成膜するのに必要な材料ターゲット材(不図示)を設置することができる。また、上部電極401やターゲット電極402の中には配管が形成されており、この配管に冷却水を流すことによって、上部電極401やターゲット電極402を冷却することができる。
 上記ターゲットとしては、例えば、Ti、Ta、WおよびAlかrなる群から選択された1種または2種以上の金属元素を含有するターゲットを用いれば良い。
 磁石機構405は、マグネット支持板407と、マグネット支持板407に支持された複数のマグネットピース406と、複数のマグネットピース406の最外周側に設けられた磁場調整用磁性体408とを有している。なお、磁石機構405は、不図示の回転機構により、材料ターゲットの中心軸を回転軸として回転可能となっている。複数のマグネットピース406は、ターゲット電極402の上方であって、ターゲット電極402の表面と平行となるようにして、相互に隣接して配置されている。隣接するマグネットピース406には、プラズマを閉じ込めるために、閉じたポイントカスプ磁場411が形成されている。磁場調整用磁性体408は、外周側に位置するマグネットピース406が、ターゲット電極402側において部分的に重なるようにして延設されている。このような構成とすることにより、ターゲット電極402とシールド403の隙間において、磁場強度を抑制(制御)することできる。
 下部電極301は、ステージホルダ302と、冷却・加熱機構412と、底壁204と、第2の電極用絶縁体303とを有している。ステージホルダ302は、基板306を載置するための装置であり、その内部には冷却・加熱機構412が設けられている。第2の電極用絶縁体303は、ステージホルダ302とチャンバ201の底壁204とを電気的に絶縁して支持するための装置である。また、ステージホルダ302には、整合器304を介して下部電極用高周波電源305が接続されている。なお、図示していないが、ステージホルダ302には、単極型電極を有する静電吸着装置が設けられており、この単極型電極は、DC電源(不図示)と接続されている。さらに、図示していないが、ステージホルダ302には、基板306の裏面に対して、基板306を温度制御するためのガス(例えば、Arなどの不活性ガス)を供給するため、複数のガス噴出口と、基板の温度を測定するための基板温度計測器が設けられている。
 チャンバ201内には、アルゴン等のプロセスガスといった所定のガスをチャンバ201内に供給するための複数のガス導入口409が設けられている。
 図3を参照して、磁石機構405の形状について詳細に説明する。図2は、磁石機構405をターゲット電極402側から見た平面図である。図3に示すように、円盤状のマグネット支持体407には、環状の磁場調整用磁性体408と、磁場調整用磁性体408の内周領域に配置されたマグネットピース406とが、支持されて設けられている。ここで、図3において、記号403aはシールド403の内径を示しており、多数の小さな円は各々のマグネットピース406の外形を示している。また、各マグネットピース406は、同じ形状及び同じ磁束密度を有している。さらに、N及びSの文字はターゲット電極402側から見たマグネットピース406の磁極を示している。
 マグネットピース406は、互いに略同一の間隔(5乃至100mmの範囲)を空けて、各マグネットピース406が各格子点を形成するように複数正方格子状(X軸方向、Y軸方向)に配置され、隣接する各マグネットピース406は、反対の極性を有している。一方、X軸方向及びY軸方向に沿って配置された任意の4つのマグネットピース406からなる四角形において、対角線方向に沿って隣接するマグネットピース406の極性はそれぞれ同一である。すなわち、隣接する任意の4つのマグネットピース406により、ポイントカスプ磁場411が形成される。なお、マグネットピース406の配置形状は六角格子であってもよく、少なくとも単位格子を形成していればよい。
 マグネットピース406の高さは、通常は2mmよりも大きくなっており、その断面形状は四角または円形である。マグネットピース406の直径や高さ、材質は、プロセスアプリケーションによって、適宜設定することができる。半導体製造装置100の上部電極401に高周波電力を供給したとき、プラズマは容量結合型のメカニズムによって生成される。このプラズマは、閉じたポイントカスプ磁場411によって作用を受ける。すなわち、本発明の一実施形態では、ポイントカスプ磁場411を発生させるような構成を採用しているので、通常のマグネトロンスパッタに比べて、高密度プラズマを形成することが可能となる。
 磁場調整用磁性体408は、外周側に位置するマグネットピース406が、ターゲット電極402側において、部分的に重なるように延設されている。これにより、ターゲット電極402とシールド403との隙間において、磁場強度を抑制(制御)することできる。磁場調整用磁性体408は、ターゲット電極402とシールド403の隙間の磁場強度を制御できる材料であればよく、例えば、SUS430等の透磁率が高い材料が好ましい。磁石機構405において、マグネットピース406と磁場調整用磁性体408とが重なる面積を調整することにより、磁場調整することが可能である。すなわち、マグネットピース406と磁場調整用磁性体408とが重なる面積を調整すると、ターゲット電極402の最外周まで、ターゲット電極402をスパッタするのに必要な磁場を供給し、ターゲット電極402とシールド403との隙間において、磁場強度を調整することができる。
 本発明の一実施形態では、ターゲットとしてTiの金属ターゲットを用い、基板温度を30℃として、Tiのターゲットパワーを1500Wに設定し、該高周波電力に重畳するDC電圧を350Vに設定する。不活性ガスとしてArを用い、Arの供給量を70sccm(Standard Cubic Centimeterper Minutes)とし、窒素を含む反応性ガスの供給量を30sccmとして、ガス導入口409からArガスと窒素を含む反応性ガスとを供給して、20Paの圧力に調整した後に、TiN膜3を10nm堆積する。なお、sccm=一分間当たり供給されるガス流量0℃1気圧で表したcm数=1.69×10-3Pa・m/s(0℃において)である。ここで、高周波電源の周波数は、10-100MHzの間であることが好ましい。さらに望ましくは、上記圧力において、ポイントカスプ磁場を用いた高密度プラズマを形成するために、40-60MHzの間であることが、望ましい。
 次に、リソグラフィー技術とRIE(Reactive Ion Etching)技術を用いてTiN膜3を所望の大きさに加工し素子を形成する。
 次に、EOT、リーク電流(Jg)特性、実効仕事関数などの電気特性をC-V、I-V測定により評価した。また、実効仕事関数とは、一般にゲート絶縁膜とゲート電極とのCV測定によるフラットバンドより求められるものであり、ゲート電極本来の仕事関数の他に、絶縁膜中の固定電荷、界面に形成される双極子、フェルミレベルピンニング等の影響を受ける。ゲート電極を構成する材料本来の「仕事関数」とは区別される。図4、5に本発明の一実施形態により形成したTiN電極を有する素子の電気特性を測定した結果を示す。ここで、電気特性はas-deposited状態で評価した。なお、「as-deposited状態」とは、TiN電極を成膜した状態をいう。図4に本発明の一実施形態により形成したTiN電極とDCマグネトロンスパッタ(以下、従来の手法と記載する)で形成したTiN電極とのEOT-Jg特性を示す。図4より、従来の手法で形成したTiN電極と比較して、本発明の一実施形態により形成したTiN電極は、リーク電流(Jg)が約3桁低減するのが確認できる。次に従来の手法で形成したTiN電極と本発明の一実施形態により形成したTiN電極の実効仕事関数の値を図5に示す。図より従来の手法で形成したTiN電極を有する素子の実効仕事関数は、4.6eVを示しているのに対して、本発明の一実施形態により形成したTiN電極を有する素子の実効仕事関数は、5.0eV以上の高い値を示していることが分かる。この値は、P型MOSFETに適した実効仕事関数である。
 図6に、図3に示した磁石機構405を用いて、発生した磁場の基板近傍での、ターゲット電極402への印加電力に対するプラズマ密度と電子温度の関係を示す。図6より、ターゲット電極402への印加電力1500Wの場合、1×1011/cm以上のプラズマ密度を有しつつ、電子温度が2.5eV程度であることが分かる。この高密度プラズマによって、形成された窒素イオンもしくは、窒素ラジカルが効率よくHigh-k膜に入射することで、High-k膜が改質される。この現象によって、酸化換算膜厚を増加させることなく、リーク電流を改善していると考えられる。すなわち、本発明の一実施形態では、ポイントカスプ磁場411を発生する磁石機構405を用いてPVDを行っているので、窒素イオンもしくは窒素ラジカルを効率良くHigh-k膜に入射させることができ、酸化換算膜厚を増加させることなく、リーク電流を低減することができる。
 また、上記ポイントカスプ磁場411は、碁盤目状に複数連なる四角形の各角部に対応する位置に配置されており、各四角形の辺方向に隣接するマグネットピースの極性が反対の極性となっているように配置されたマグネットピースにより形成されるものであり、その磁界の大きさは配置されるマグネットピース406に応じて固定されたものである。しかしながら、本発明の一実施形態では、ターゲット電極402に高周波電力を印加しているので、固定されたポイントカスプ磁場411の磁界においても、高周波電界の作用により、図6に示すようにプラズマ密度を変えることができる。
 また、不活性ガスであるアルゴン流量ならびに反応性ガスである窒素流量とTiターゲットのスパッタ率の関係を評価した結果、窒素流量/(窒素流量+アルゴン流量)が0.05以上である領域では、ターゲットの表面が窒化することにより生じるスパッタ率の低下率が最大となる領域であることを確認した。
 以上より、本発明の一実施形態により形成したTiNを有する素子の場合、EOT-Jg特性を向上させつつ、所望の実効仕事関数が得られる。ここでは、窒素/(窒素+アルゴン)=0.3、ならびに20Paの条件で形成したTiN膜を用いているが、これに限定されるものでなく、圧力が2から100Paの間で、かつ窒素/(窒素+アルゴン)比が0.05以上であれば、十分に効果を発揮できる。圧力が2Pa以下の場合には、リーク電流の悪化が見られ、また、100Pa以上の場合には、リーク電流改善の効果はあるが、成膜速度の低下が顕著であり、量産性能が劣化する。
 (第1の実施例)
 本発明の第1の実施例を、図面を参照しながら詳細に説明する。図7A~7Cは、本発明の第1の実施例である半導体装置の製造方法の工程を示した図である。まず図7Aに示すようにシリコン基板501の表面に、STI(Shallow Trench Isolation)技術により形成された素子分離領域502が設けられている。続いて、素子分離されたシリコン基板501の表面に熱酸化法により膜厚1.0nmのシリコン熱酸化膜を形成する。その後、図8に示すクラスターツール600に上記シリコン基板501を投入した。まず、図8のロードロックチャンバ605から上記シリコン基板501をチャンバ601搬送し、スパッタリング法によりシリコン基板501上に膜厚0.5~0.7nmのHfを堆積した。次に、Hfが形成されたシリコン基板501を大気に晒す事無くトランスファーチャンバ604を介し、チャンバ602に搬送し、酸素分圧0.1Paの雰囲気で、900℃、1minのアニール処理を施し、シリコン酸化膜中にHfを拡散させることで、シリコン酸化膜とHfSiO膜の積層構造からなるゲート絶縁膜503を形成する。次に、ゲート絶縁膜503が形成されたシリコン基板501をチャンバ603へ大気に晒すことなく搬送し、詳細を図2に示した処理装置において、Tiターゲットを用いて窒素ガスとアルゴンガス流量の混合比を窒素ガス/(窒素ガス+アルゴンガス)≧0.05に調整し、PVD法によりTiN膜504を10nm堆積した。本発明のゲート電極の形成方法を用いて絶縁膜/ゲート電極を連続成膜することによって、絶縁膜/ゲート電極界面への不純物を抑制することが出来、さらに所望のリーク電流ならびに実効仕事関数が得られる。次に、膜厚20nmのシリコン層505を形成した後、図7Bに示すようにリソグラフィー技術およびRIE技術を用いてゲート電極に加工し、引き続いてイオン注入を行い、エクステンション拡散領域506をゲート電極をマスクとして自己整合的に形成した。さらに、図7Cに示すように、シリコン窒化膜とシリコン酸化膜を順次堆積し、その後、エッチバックすることによってゲート側壁507を形成した。この状態で再度イオン注入を行い、活性化アニールを経てソース・ドレイン拡散層508を形成した。作製した素子の電気特性を評価した結果、EOTやリーク電流の悪化を伴うことなく、P型MOSFETに適した実効仕事関数(4.9eV以上)が得られることを確認した。
 また、ゲート絶縁膜としてCVD法により堆積したHfSiO膜においても同様の効果を得ることを確認した。また、HfSiOを堆積した後、ラジカル窒化処理により形成したHfSiON膜をゲート絶縁膜として用いた場合においても同様の効果を得ることを確認した。また、ゲート絶縁膜としてZrを含む、ZrSiO、ZrSiON、HfZrSiO、HfZrSiONからなる群から選択される一つの材料を用いても、同様の効果が得られることを確認した。このように、本実施の形態におけるMIPS型ゲート電極からなるMOSFET素子においても本発明の効果を得られることを確認した。
 (第2の実施例)
 以下に本発明の第2の実施例を、図面を参照しながら説明する。図9A~9Gは本発明の第2の実施例である半導体装置の製造方法の工程を示した図である。まず図9Aに示すようにシリコン基板701の表面にSTI技術を用いて素子分離領域702を形成した。続いて、素子分離されたシリコン基板701の表面に熱酸化法により膜厚1.0nmのシリコン熱酸化膜703を形成し、その後、CVD法、ALD法またはスパッタリング法によりHfOからなる高誘電率膜704を2.0nm形成し、高誘電率膜を含有する高誘電率ゲート絶縁膜705を形成した。
 次に、高誘電率ゲート絶縁膜705上に、第1の実施例と同様の方法で膜厚2.0nmの窒化チタン膜706を形成し、続いて、CVD法またはスパッタリング法により膜厚100nmのシリコン膜707を形成した。
 次に、図9Bに示すように、リソグラフィー技術およびRIE技術を用いて高誘電率ゲート絶縁膜705、窒化チタン膜706およびシリコン膜707を加工し、引き続きイオン注入を行い、エクステンション拡散領域708を形成した。すなわち、少なくとも、高誘電率ゲート絶縁膜705、窒化チタン膜706およびシリコン膜707をマスクとしてソース領域およびドレイン領域を形成した。更に、図9Cに示すように、シリコン窒化膜またはシリコン酸化膜をCVD法により堆積し、その後エッチバックすることによりサイドウォール709を形成した。この状態で再度イオン注入を行い、活性化アニールを経てソース・ドレイン拡散層710を形成した。次に、図9Dに示すように、CVD法による基板上にシリコン酸化膜またはシリコン窒化膜等からなる層間絶縁膜711を形成し、その後、CMP(Chemical Mechanical Polishing)法により層間絶縁膜711の上面を研磨して平坦化させ、図に示されるようにシリコン膜707を露出させた。次に、図9Eに示すように、ウエットエッチングやドライエッチングによりシリコン膜707を除去して、トレンチ構造712を形成した。
 次に、図9Fに示すように、本発明の一実施形態におけるスパッタリング方法を有する図10に示したクラスターツールを用いて、トレンチ構造712を有した基板をチャンバ902に搬送し、窒化タンタルを形成した。次に窒化タンタルが形成された基板をチャンバ901もしくは、903へ大気に晒すことなく搬送し、窒化チタンを成膜し、金属窒化物からなるゲート電極713を形成した。さらに、ゲート電極713が形成された基板をチャンバ905へ大気に晒すことなく搬送し、W、Alなどの通常の配線材料に用いられる金属材料を用いてトレンチ構造712を埋めて、エッチバックやCMP技術により平坦化することによりゲート電極714を形成した(図9G)。
 作製した素子の、実効仕事関数、EOT、リーク電流特性を測定した結果、本発明における金属窒化物層の形成方法を用いることで、EOTの増加を招くことなくリーク電流値の低減と、P型MOSFETに適した実効仕事関数(4.6eV以上)が得られることを確認した。また、高誘電率ゲート絶縁膜としてHfSiO膜を用いても同様の効果を得られることを確認した。
 (第3の実施例)
 以下に本発明の第3の実施例を、図面を参照しながら説明する。図11A~11Fは本発明の第3の実施例である半導体装置の製造方法の工程を示した図である。本実施例では、第1の領域であるN型MOSFETを形成する領域と第2の領域であるP型MOSFETを形成する領域とのそれぞれに対して、第2の実施例における「トレンチ構造を形成する工程」を行い、それぞれに適した実効仕事関数を実現する金属ゲート電極を形成する。
 図11Aに示すように、第2の実施例と同様の方法を用いて、第1のN型MOSFETを形成する領域と第2のMOSFETを形成する領域にトレンチ構造801、802を形成した。
 次に、図11Bに示すように、トレンチ構造の内部を被覆するように、本発明におけるスパッタリング方法を用いて窒化タンタル膜803と窒化チタン膜804を形成した。次に、図11Cに示すように、リソグラフィー技術とエッチング技術を用いて第1のN型MOSFETを形成する領域におけるトレンチ構造801の底部を構成する窒化タンタル膜と窒化チタン膜を除去する。本実施例では、窒化チタン膜は硫酸と過酸化水素水と水の混合溶液を用いてウエットエッチングを行い、窒化タンタル膜は、Arプラズマによるエッチングにより除去した。次に、図11Dに示すように、トレンチ構造の内部を被覆するように、本発明の一実施形態におけるスパッタリング方法を有する図9に示したクラスターツールにて、窒化チタン膜804が形成された基板をチャンバ904に基板を搬送し、チタンとアルミニウムの合金を含む金属合金膜805を形成した。
 次に、図11Eに示すように、トレンチ構造の内部を埋め込むように、スパッタリング法を用いて成膜を行うチャンバ905に金属合金膜805が形成された基板を搬送し、アルミニウムからなる金属膜806を形成し、その後、CMP技術を用いて平坦化を行い図11Fに示される構造を形成した。尚、アルミニウムからなる金属膜を形成する工程において、基板温度を300℃~400℃に設定することでチタンとアルミニウムからなる金属合金膜805に含まれるアルミニウムは、少なくともN型MOSFETを形成する領域における窒化チタン膜800中を拡散し、N型MOSFETに適した実効仕事関数を達成することができる。一方、P型MOSFETを形成する領域においては、窒化タンタル膜と窒化チタン膜がアルミニウムの拡散を抑制するためP型MOSFETに適した実効仕事関数を維持することができる。
 作製した素子の、実効仕事関数、EOT、リーク電流特性を測定した結果、本発明における金属窒化物層の形成方法を用いることで、EOTの増加を招くことなくリーク電流値の低減と、それぞれのMOSFETに適した実効仕事関数(N型MOSFETでは4.4eV以下、P型MOSFETでは4.6eV以上)が得られることを確認した。また、高誘電率ゲート絶縁膜としてHfSiO膜を用いても同様の効果を得られることを確認した。

Claims (9)

  1.  半導体装置の製造方法であって、
     シリコン酸化膜よりも比誘電率が高い絶縁膜が形成された基板を用意する工程と、
     前記絶縁膜上に金属窒化膜を堆積させる工程とを有し、
     前記金属窒化膜を堆積させる工程は、減圧可能な処理室内にて、金属ターゲットと、格子点を形成するマグネットピースが複数格子状に配置され、かつ隣接するマグネットピースが異極性を有する配置である構造物により前記金属ターゲット表面に形成されるカスプ磁場とを用いたスパッタリング堆積工程であって、前記処理室内に窒素ガスを含むガスを導入し、前記カスプ磁場により前記窒素のプラズマを形成して前記金属窒化膜を形成する工程であることを特徴とする、半導体装置の製造方法。
  2.  前記金属窒化膜は、Ti、Ta、WおよびAlからなる群から選択された1種又は2種以上の金属元素を含有することを特徴とする請求項1に記載の半導体装置の製造方法。
  3.  前記金属窒化膜を堆積させる工程では、圧力が2から100Paの間に設定されることを特徴とする請求項1に記載の半導体装置の製造方法。
  4.  前記ガスはさらにアルゴンガスを含み、
     前記金属窒化膜を堆積させる工程では、形成する際の窒素/(アルゴン+窒素)流量比が0.05以上であることを特徴とする請求項1に記載の半導体装置の製造方法。
  5.  金属ターゲットを載置する電極には、整合回路を介して高周波電力を印加可能な高周波電源が接続されており、
     前記電極に供給する高周波電源の周波数は、10乃至100MHzであることを特徴とする請求項1に記載の半導体装置の製造方法。
  6.  前記電極には、直流電圧を供給するDC電源が接続されており、前記高周波電力と前記直流電圧を重畳することを特徴とする請求項5に記載の半導体装置の製造方法。
  7.  半導体装置の製造方法であって、
     半導体基板上に高誘電率絶縁膜を形成する工程と、
     前記高誘電率絶縁膜上に第1の金属窒化物層を形成する工程と、
     前記第1の金属窒化物層上にシリコン層を形成する工程と、
     少なくとも前記高誘電率膜と前記第1の金属窒化物層と前記シリコン層とをマスクとして前記半導体基板にソース領域およびドレイン領域を形成する工程と、
     前記ソース領域およびドレイン領域が形成された半導体基板上に層間絶縁膜を形成した後、その一部を除去することで前記シリコン層を露出させる工程と、
     前記高誘電率絶縁膜上の前記シリコン層を除去してトレンチ構造を形成する工程と、
     前記トレンチ構造の内部を被覆するように第2の金属窒化物層を形成する工程とを有し、
     前記第1の金属窒化物層を形成する工程および前記第2の金属窒化物層を形成する工程の少なくとも一方は、減圧可能な処理室内にて、金属ターゲットと、格子点を形成するマグネットピースが複数格子状に配置され、かつ隣接するマグネットピースが異極性となるように配置された構造物によりターゲット表面に形成されるカスプ磁場とを用いたスパッタリング堆積工程であって、前記処理室内に窒素ガスを含むガスを導入し、前記カスプ磁場により前記窒素のプラズマを形成して金属窒化物層を形成する工程であることを特徴とする半導体装置の製造方法。
  8.  半導体装置の製造方法であって、
     半導体基板上の第1のMOSトランジスタ形成領域と、第1のMOSトランジスタと逆導電型の第2のMOSトランジスタ形成領域上に、高誘電率絶縁膜を形成する工程と、
     前記高誘電率絶縁膜上に金属窒化物層およびシリコンを順に形成する工程と、
     少なくとも前記高誘電率絶縁膜と前記金属窒化物層と前記シリコン層とをマスクとして前記半導体基板上にソース領域およびドレインを形成する工程と、
     前記ソース領域およびドレイン領域が形成された半導体基板上に層間絶縁膜を形成した後、その一部を除去することで前記シリコン層を露出させる工程と、
     前記高誘電率絶縁膜上のシリコン層を除去してトレンチ構造を形成する工程と、
     前記トレンチ構造の内部を被覆するように窒化タンタル膜と窒化チタン膜とを順に形成する工程と、
     前記第1のMOSトランジスタ形成領域上のトレンチ構造の底部を被覆する窒化チタン膜と窒化タンタル膜とを除去する工程と、
     前記トレンチ構造の内部を被覆するようにチタンとアルミニウムとを含有する合金層を形成する工程と、
     前記トレンチ構造の内部を埋め込むように前記合金層上にアルミニウム膜を形成する工程とを有し、
     前記金属窒化物層、前記窒化チタン膜および窒化タンタル膜の少なくとも一つを、減圧可能な処理室内に窒素を含むガスを導入し、金属ターゲットと、格子点を形成するマグネットピースが複数格子状に配置され、かつ隣接するマグネットピースが異極性を有するように配置された構造物によりターゲット表面に形成されるカスプ磁場とを用い、該カスプ磁場により形成された前記窒素のプラズマを用いたスパッタリング法で形成することを特徴とする半導体装置の製造方法。
  9.  金属窒化物層を形成する装置であって、
     減圧可能な処理室と、
     前記処理室内に設けられ、金属ターゲットを保持可能に構成された第1の電極と、
     基板を保持可能な基板ホルダーを有する第2の電極と、
     前記処理室内に、窒素を含むガスを導入するためのガス導入口と、
     格子点を形成するマグネットピースが複数格子状に配置され、かつ隣接するマグネットピースが異極性を有するように配置された磁石機構であって、前記第1の電極にターゲットが設けられた場合に該ターゲット表面にカスプ磁場を形成するように構成された磁石機構と、
     前記第1の電極に接続されたDC電源と、
     前記第1の電極に対して、前記DC電源からの直流電圧と重畳して高周波電力を印加する第1の高周波電源と、
     前記第2の電極に高周波電力を印加する第2の高周波電源と
     を備えることを特徴とする装置。
PCT/JP2011/007292 2010-12-28 2011-12-27 半導体装置の製造方法および装置 WO2012090482A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2012526211A JP5458177B2 (ja) 2010-12-28 2011-12-27 半導体装置の製造方法および装置
KR1020127032006A KR101409433B1 (ko) 2010-12-28 2011-12-27 반도체 디바이스 제조방법 및 장치
DE112011104624.3T DE112011104624B4 (de) 2010-12-28 2011-12-27 Verfahren zum Herstellen einer Halbleitervorrichtung
US13/675,147 US8481382B2 (en) 2010-12-28 2012-11-13 Method and apparatus for manufacturing semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2010-294008 2010-12-28
JP2010294008 2010-12-28

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/675,147 Continuation US8481382B2 (en) 2010-12-28 2012-11-13 Method and apparatus for manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
WO2012090482A1 true WO2012090482A1 (ja) 2012-07-05

Family

ID=46382617

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2011/007292 WO2012090482A1 (ja) 2010-12-28 2011-12-27 半導体装置の製造方法および装置

Country Status (5)

Country Link
US (1) US8481382B2 (ja)
JP (1) JP5458177B2 (ja)
KR (1) KR101409433B1 (ja)
DE (1) DE112011104624B4 (ja)
WO (1) WO2012090482A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101743498B1 (ko) 2012-12-20 2017-06-05 캐논 아네르바 가부시키가이샤 자기저항 효과 소자의 제조 방법
US9928997B2 (en) * 2014-12-14 2018-03-27 Applied Materials, Inc. Apparatus for PVD dielectric deposition
CN106601606B (zh) 2015-10-19 2019-09-20 中芯国际集成电路制造(上海)有限公司 Nmos器件、半导体装置及其制造方法
US9748145B1 (en) 2016-02-29 2017-08-29 Globalfoundries Inc. Semiconductor devices with varying threshold voltage and fabrication methods thereof
US10431464B2 (en) 2016-10-17 2019-10-01 International Business Machines Corporation Liner planarization-free process flow for fabricating metallic interconnect structures
US10672653B2 (en) * 2017-12-18 2020-06-02 International Business Machines Corporation Metallic interconnect structures with wrap around capping layers

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10195640A (ja) * 1997-01-10 1998-07-28 Nissin Electric Co Ltd 成膜装置及びイオン源
JP2000003885A (ja) * 1998-04-15 2000-01-07 Lucent Technol Inc 改良型薄膜誘電体を使用して電界効果デバイスおよびコンデンサを製造する方法および得られるデバイス
JP2000353674A (ja) * 1999-06-11 2000-12-19 Matsushita Electronics Industry Corp 半導体装置及びその製造方法
JP2001152332A (ja) * 2000-09-11 2001-06-05 Hitachi Ltd スパッタデポジション装置
JP2009529789A (ja) * 2006-03-09 2009-08-20 アプライド マテリアルズ インコーポレイテッド 低エネルギープラズマシステムを用いた高誘電率トランジスタゲートを製造するための方法及び装置
WO2009157186A1 (ja) * 2008-06-24 2009-12-30 キヤノンアネルバ株式会社 磁場発生装置及びプラズマ処理装置
WO2010004890A1 (ja) * 2008-07-11 2010-01-14 キヤノンアネルバ株式会社 薄膜の成膜方法
JP2010090424A (ja) * 2008-10-07 2010-04-22 Canon Anelva Corp スパッタ成膜方法及びプラズマ処理装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5505647A (en) 1993-02-01 1996-04-09 Canon Kabushiki Kaisha Method of manufacturing image-forming apparatus
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
JP4614578B2 (ja) 2001-06-01 2011-01-19 キヤノンアネルバ株式会社 スパッタ成膜応用のためのプラズマ処理装置
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7857946B2 (en) 2007-04-26 2010-12-28 Canon Anelva Corporation Sputtering film forming method, electronic device manufacturing method, and sputtering system
WO2008149446A1 (ja) 2007-06-07 2008-12-11 Canon Anelva Corporation 半導体製造装置および方法
US8012822B2 (en) 2007-12-27 2011-09-06 Canon Kabushiki Kaisha Process for forming dielectric films
US8148275B2 (en) 2007-12-27 2012-04-03 Canon Kabushiki Kaisha Method for forming dielectric films
JP5221121B2 (ja) 2007-12-27 2013-06-26 キヤノン株式会社 絶縁膜の形成方法
WO2010013476A1 (ja) 2008-07-31 2010-02-04 キヤノンアネルバ株式会社 プラズマ処理装置および電子デバイスの製造方法
JP4494525B1 (ja) 2008-10-31 2010-06-30 キヤノンアネルバ株式会社 誘電体膜の製造方法、半導体装置の製造方法、誘電体膜、およびコンピュータ読み取り可能な記録媒体
KR101052587B1 (ko) 2008-10-31 2011-07-29 캐논 아네르바 가부시키가이샤 유전체막 및 유전체막을 사용하는 반도체 디바이스
KR20120004502A (ko) 2009-04-03 2012-01-12 어플라이드 머티어리얼스, 인코포레이티드 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법
JP5247619B2 (ja) 2009-07-28 2013-07-24 キヤノンアネルバ株式会社 誘電体膜、誘電体膜を用いた半導体装置の製造方法及び半導体製造装置
JP2011151366A (ja) 2009-12-26 2011-08-04 Canon Anelva Corp 誘電体膜の製造方法
WO2011081202A1 (ja) 2009-12-29 2011-07-07 キヤノンアネルバ株式会社 電子部品の製造方法、電子部品、プラズマ処理装置、制御プログラム及び記録媒体
JP5937297B2 (ja) 2010-03-01 2016-06-22 キヤノンアネルバ株式会社 金属窒化膜、該金属窒化膜を用いた半導体装置、および半導体装置の製造方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10195640A (ja) * 1997-01-10 1998-07-28 Nissin Electric Co Ltd 成膜装置及びイオン源
JP2000003885A (ja) * 1998-04-15 2000-01-07 Lucent Technol Inc 改良型薄膜誘電体を使用して電界効果デバイスおよびコンデンサを製造する方法および得られるデバイス
JP2000353674A (ja) * 1999-06-11 2000-12-19 Matsushita Electronics Industry Corp 半導体装置及びその製造方法
JP2001152332A (ja) * 2000-09-11 2001-06-05 Hitachi Ltd スパッタデポジション装置
JP2009529789A (ja) * 2006-03-09 2009-08-20 アプライド マテリアルズ インコーポレイテッド 低エネルギープラズマシステムを用いた高誘電率トランジスタゲートを製造するための方法及び装置
WO2009157186A1 (ja) * 2008-06-24 2009-12-30 キヤノンアネルバ株式会社 磁場発生装置及びプラズマ処理装置
WO2010004890A1 (ja) * 2008-07-11 2010-01-14 キヤノンアネルバ株式会社 薄膜の成膜方法
JP2010090424A (ja) * 2008-10-07 2010-04-22 Canon Anelva Corp スパッタ成膜方法及びプラズマ処理装置

Also Published As

Publication number Publication date
US20130071975A1 (en) 2013-03-21
JP5458177B2 (ja) 2014-04-02
JPWO2012090482A1 (ja) 2014-06-05
DE112011104624T5 (de) 2013-10-10
KR101409433B1 (ko) 2014-06-24
US8481382B2 (en) 2013-07-09
DE112011104624B4 (de) 2019-01-24
KR20130025407A (ko) 2013-03-11

Similar Documents

Publication Publication Date Title
JP4871433B2 (ja) 半導体装置およびその製造方法
US6787451B2 (en) Semiconductor device and manufacturing method thereof
JP4492783B2 (ja) 半導体装置及びその製造方法
JP5458177B2 (ja) 半導体装置の製造方法および装置
KR101938441B1 (ko) 반도체 디바이스의 형성 방법
JP5937297B2 (ja) 金属窒化膜、該金属窒化膜を用いた半導体装置、および半導体装置の製造方法
JP2009059882A (ja) 半導体装置
JP2011029478A (ja) 誘電体膜、誘電体膜を用いた半導体装置の製造方法及び半導体製造装置
CN106887461A (zh) 场效晶体管
JP5209791B2 (ja) 半導体装置およびその製造方法
WO2014066881A1 (en) Method to improve reliability of high-k metal gate stacks
JP5960491B2 (ja) 半導体装置およびその製造方法
US9437702B2 (en) Electronic component manufacturing method and electrode structure
KR101252126B1 (ko) 금속막을 내장하는 단계를 포함하는 전자부품 제조방법
CN107689393B (zh) 一种半导体器件及其制造方法
TW202236420A (zh) 依序電漿及熱處理
JP2023516856A (ja) ドープされたald窒化タンタルにおける不純物の除去
JP2009038229A (ja) 半導体装置
JP2024073427A (ja) 膜の有効酸化物厚さを変更するための水素化及び窒化処理

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 2012526211

Country of ref document: JP

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 11853330

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20127032006

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 112011104624

Country of ref document: DE

Ref document number: 1120111046243

Country of ref document: DE

122 Ep: pct application non-entry in european phase

Ref document number: 11853330

Country of ref document: EP

Kind code of ref document: A1