JP2023516856A - ドープされたald窒化タンタルにおける不純物の除去 - Google Patents

ドープされたald窒化タンタルにおける不純物の除去 Download PDF

Info

Publication number
JP2023516856A
JP2023516856A JP2022542959A JP2022542959A JP2023516856A JP 2023516856 A JP2023516856 A JP 2023516856A JP 2022542959 A JP2022542959 A JP 2022542959A JP 2022542959 A JP2022542959 A JP 2022542959A JP 2023516856 A JP2023516856 A JP 2023516856A
Authority
JP
Japan
Prior art keywords
tantalum nitride
substrate
plasma
doped
nitride layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022542959A
Other languages
English (en)
Other versions
JP7476319B2 (ja
Inventor
ルイ リ
シャンジン シエ
テホン ハ
シャンミン タン
ル チェン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023516856A publication Critical patent/JP2023516856A/ja
Application granted granted Critical
Publication of JP7476319B2 publication Critical patent/JP7476319B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition

Abstract

銅の相互接続を形成する方法が記載される。基板上の銅層に形成されたドープされた窒化タンタル層が、第1のドーパント量を有する。ドープされた窒化タンタル層が、ヘリウムまたはネオンのうちの1つまたは複数を含むプラズマに露出されて、減少された量のドーパントを有する処理済みのドープされた窒化タンタル層を形成する。方法を実行する装置も記載される。【選択図】図4

Description

本開示の実施形態は、一般に、バリア層を形成する方法に関する。詳細には、本開示の実施形態は、窒化タンタルバリア層を形成する方法に関する。
半導体回路素子の小型化は、20nm以下の特徴サイズが商業規模で製造されるところまできた。限界寸法のサイズが引き続き減少するにつれて、回路素子間の間隙の充填のようなプロセスステップに関して新しい難題が生じている。素子間の幅が引き続き縮小するにつれて、素子間の間隙はより高くかつより狭くなることが多く、間隙を充填するのがより困難になり、コンタクトを頑強にするのもより困難になる。
より小さいノードの適用分野向けの銅バリア材料として、ルテニウムでドープされた窒化タンタルが研究されている。しかし、ルテニウムでドープされた窒化タンタルを小さい特徴に一体化する結果、たとえばルテニウムのすぐ近くでの銅のエレクトロマイグレーションにより銅/ルテニウムの腐食が生じ、接続が切れる可能性がある。
ルテニウム(Ru)でドープされた窒化タンタル(TaN)は、高濃度の炭素(C)を含有することが多く、原子層堆積(ALD)窒化タンタル形成中にその場のH2/Arプラズマによって効率的または容易に除去されない。物理的気相堆積(PVD)チャンバにおけるALD TaNの高密度化の研究によれば、Arプラズマ処理において窒素(N)を選択的に除去することによって、ALD TaN膜を高密度化できることが示されている。
それに応じて、コンタクト形成のためのバリア層を形成する方法が必要とされている。
本開示の1つまたは複数の実施形態は、相互接続を形成する方法を対象とする。ドープされた窒化タンタル層が、基板上に形成される。ドープされた窒化タンタル層は、ルテニウム、タングステン、またはコバルトのうちの1つまたは複数を含む第1のドーパント量を有する。ドープされた窒化タンタル層は、ヘリウムまたはネオンのうちの1つまたは複数を含むプラズマに露出されて、第1のドーパント量より小さい第2のドーパント量を有する処理済みのドープされた窒化タンタル層を形成する。
本開示の追加の実施形態は、中央移送ステーションの周りに1つまたは複数のプロセスチャンバを備えるクラスタツールを対象とする。1つまたは複数のプロセスチャンバは、ドープされた窒化タンタル膜を堆積させ、ドープされた窒化タンタル膜をアニーリングし、アニーリング済みのドープされた窒化タンタル膜を、ヘリウムまたはネオンのうちの1つまたは複数を含むプラズマに露出させるように構成される。少なくとも1つのコントローラが、1つまたは複数のプロセスチャンバおよび中央移送ステーションに接続される。少なくとも1つのコントローラは、窒化タンタル膜を堆積させるための構成、ドーパント含有膜を堆積させるための構成、基板をアニーリングするための構成、1つまたは複数のプロセスチャンバと中央移送ステーションとの間で基板を動かすための構成、および基板をネオンプラズマに露出させるための構成から選択された1つまたは複数の構成を有する。
本開示のさらなる実施形態は、命令を含む非一時的コンピュータ可読媒体を対象とし、これらの命令は、処理チャンバのコントローラによって実行されたとき、窒化タンタル膜を基板上に堆積させる動作と、ドーパント含有膜を基板上に堆積させる動作と、基板をアニーリングする動作と、基板をネオンプラズマに露出させる動作とを、処理チャンバに実行させる。
本開示の上述した特徴を詳細に理解することができるように、実施形態を参照することによって、上記で簡単に要約した本開示のより具体的な説明を得ることができ、実施形態のいくつかを添付の図面に示す。しかし、本開示は他の等しく有効な実施形態を許容しうるため、添付の図面は、本開示の典型的な実施形態を示すだけであり、したがって本開示の範囲を限定すると見なされるべきではないことに留意されたい。
本開示の1つまたは複数の実施形態による基板構造の概略図である。 本開示の1つまたは複数の実施形態による方法の流れ図である。 図2の方法の実行中の電子デバイスの概略図である。 図2の方法の実行中の電子デバイスの概略図である。 図2の方法の実行中の電子デバイスの概略図である。 図2の方法の実行中の電子デバイスの概略図である。 図2の方法の実行中の電子デバイスの概略図である。 本開示の1つまたは複数の実施形態による電子デバイスの概略図である。 本開示の1つまたは複数の実施形態による図3Bの領域5の拡大図である。 本開示の1つまたは複数の実施形態による図3Bの領域5の拡大図である。 本開示の1つまたは複数の実施形態による処理ツールの概略図である。 本開示の1つまたは複数の実施形態による処理ツールの概略図である。 本開示の1つまたは複数の実施形態によるクラスタツールの概略図である。
本開示のいくつかの例示的な実施形態について説明する前に、本開示は、以下の説明に記載の構造またはプロセスステップの詳細に限定されるものではないことを理解されたい。本開示は、他の実施形態が可能であり、様々な方法で実行または実施することが可能である。
本明細書および添付の特許請求の範囲で使用されるとき、「基板」という用語は、プロセスが作用する表面または表面の一部分を指す。文脈上別途明白に指示しない限り、基板への参照は、基板の一部分のみを指す可能性もあることが、当業者には理解されよう。加えて、基板上に堆積させることへの参照は、裸の基板および1つまたは複数の膜または特徴が堆積または形成された基板の両方を意味することができる。
本明細書で使用される「基板」とは、製造プロセス中に膜処理が実行される任意の基板または基板上に形成された材料表面を指す。たとえば、処理を実行することができる基板面には、その適用分野に応じて、シリコン、酸化ケイ素、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素でドープされた酸化ケイ素、非晶質シリコン、ドープされたシリコン、ゲルマニウム、砒化ガリウム、ガラス、サファイア、ならびに任意の他の材料、たとえば金属、金属窒化物、金属合金、および他の導電性材料などの材料が含まれる。基板には、非限定的であるが、半導体ウエハが含まれる。基板は、基板面の研磨、エッチング、還元、酸化、ヒドロキシル化、アニーリング、UV硬化、電子ビーム硬化、および/または焼成のために、前処理プロセスに露出させることができる。基板自体の表面に対する直接の膜処理に加えて、本開示では、以下により詳細に開示するように、基板上に形成される下層に対して、開示する膜処理ステップのいずれかを実行することもでき、「基板面」という用語は、文脈が指示するそのような下層を含むことが意図される。したがってたとえば、膜/層または部分的な膜/層が基板面上へ堆積される場合、新しく堆積された膜/層の露出面が基板面になる。
本開示の1つまたは複数の実施形態は、ドープされた窒化タンタル(TaN)膜内のルテニウム(Ru)、タングステン(W)、コバルト(Co)、および/または炭素(C)を還元させる方法を提供することが有利である。いくつかの実施形態は、銅(Cu)の相互接続のための膜のバリア特性を強化することが有利である。
いくつかの実施形態では、ヘリウム(He)またはネオン(Ne)のプラズマを使用して、TaN膜のエッチング速度を減少させる。いくつかの実施形態では、タンタルに対する窒素のエッチング選択性が増大される。いくつかの実施形態は、エッチング速度を下げてエッチングプロセスの選択性を増大させることによって、さらなるプロセス制御を提供する。いくつかの実施形態では、ネオンプラズマ処理により、TaN膜からルテニウムを除去し、コンタクトにおける銅腐食の可能性を低減させる。いくつかの実施形態は、炭素不純物を除去して膜抵抗を改善する。いくつかの実施形態では、タンタル対窒素比を増大させて、バリア密度を改善する。
図1は、1つまたは複数の実施形態によって使用される構造を示す。図1に示す基板10は材料15(たとえば、誘電体)を含み、その表面18に構造20が形成されている。構造20は、頂部22、底部26、および側面24、25を有する。示されている実施形態では、構造20は、底部26および側面24、25で材料15と境界をなす。いくつかの実施形態では、構造20の底部26は、側面24、25とは異なる材料(図示せず)と境界をなす。たとえば、いくつかの実施形態では、構造の底部26は導電性材料を含み、側面24、25は誘電体を含む。いくつかの実施形態では、構造20の底部26は誘電体を含み、側面24、25は導電性材料を含む。いくつかの実施形態では、底部および側面は異なる材料であり、底部および側面はどちらも、誘電体または導電性材料である。
構造20の幅Wは、側面24、25間の距離として画定される。示されている構造は例示であり、典型的な構造は方形の隅部を有していないこともあることが、当業者には理解されよう。そのような構造の幅は、構造の表面に対して平行に測定される側壁間の平均距離として測定される。構造の深さDは、構造20の頂部22から底部26までの距離として画定される。構造20のアスペクト比は、構造の深さD:幅Wとして画定される。いくつかの実施形態では、アスペクト比は、5:1、10:1、15:1、20:1、または25:1以上である。
いくつかの実施形態では、材料15は誘電体を含む。好適な誘電体には、それだけに限定されるものではないが、酸化ケイ素(SiO)、二酸化ケイ素(SiO2)、窒化ケイ素(SiN)、酸窒化ケイ素(SiON)、窒化アルミニウム(AlN)、酸化アルミニウム(AlO)、または高誘電率誘電体材料が含まれる。いくつかの実施形態では、材料は、ハードマスク材料(たとえば、炭素(C))を含む。本明細書および添付の特許請求の範囲で使用されるとき、添え字によって別途指定されない限り、化学式は元素の識別情報を表し、何らかの特定の化学量論比を示唆することを意図したものではない。たとえば、窒化チタン(TiN)膜は、チタン原子および窒素原子の任意の好適な組合せを有することができ、唯一の関係に限定されるものではない。
方法のいくつかの実施形態は、原子層堆積または化学気相堆積(CVD)プロセスによって、窒化タンタル、ドープされた窒化タンタル、および窒化タンタル膜を堆積させることを含む。方法の1つまたは複数の実施形態は、原子層堆積または化学気相堆積プロセスによって、ルテニウムでドープされた窒化タンタル、窒化タンタル、ルテニウムでドープされた窒化タンタル膜を順次堆積させることを含む。いくつかの実施形態では、その場のプラズマを印加して、膜不純物を低減させ、ドーピング層をTaN層に組み込んで、バリアおよび/または接着特性を改善する。
いくつかの実施形態では、高密度プラズマおよびバイアス可能ペデスタルによる物理的気相堆積(PVD)処理により、低誘電率誘電体を損傷することなく、バリア性能、炭素、および/またはルテニウム含量のうちの1つまたは複数をさらに改善する。
いくつかの実施形態では、ネオンまたはヘリウムのプラズマ露出により、タンタルと窒素との間のエッチング選択性(原子選択性)が増大される。いくつかの実施形態は、斜面の損傷を制御する方法を提供する(形状寸法選択性)。1つまたは複数の実施形態は、堆積速度を約30%以上減少させて、さらなるプロセス制御性を可能にする。いくつかの実施形態では、DC/RFコイルプロセスチャンバとの一体化されたハードウェアソリューションが提供される。
図2は、本開示の1つまたは複数の実施形態による銅の相互接続を形成する方法100の一実施形態を示す。図3A~図3Eは、図2の方法100を使用して処理されている電子デバイス120を示す。示されている実施形態は単に例示的な実施形態であり、本開示は示されているプロセスに限定されるものではないことが、当業者には理解されよう。
図3Aは、構造135を形成する第1の材料130および第2の材料132を有する基板125を示す。示されている構造135は、側壁137で第1の材料130の表面131と境界をなし、底部136で第2の材料132の頂面133と境界をなす。
基板125、およびそこに形成されるあらゆる層は、プロセス102で、1つまたは複数の好適な堆積条件に露出されて、図3Bに示すように、(ルテニウムで)ドープされた窒化タンタル層140を形成する。本開示は、これらの図について説明し、ルテニウムでドープされた膜を参照する。しかし、ドープされた窒化タンタル層140を、ルテニウム、タングステン、および/またはコバルトでドープすることができる。本開示は、ルテニウムでドープされた膜に限定されるものではなく、ルテニウムをタングステンおよび/もしくはコバルトに置き換えることができ、またはタングステンおよび/もしくはコバルトをさらに含むこともできる。図5Aおよび図5Bは、本開示の1つまたは複数の実施形態による図3Bの領域5の拡大図を示す。本明細書全体にわたって、「ルテニウム」への参照を、「コバルト」および/または「タングステン」に置き換えることができる。
第1の材料130は、任意の好適な材料または材料の組合せとすることができる。いくつかの実施形態では、第1の材料130は誘電体を含む。好適な誘電体には、それだけに限定されるものではないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低誘電率誘電体(たとえば、約5未満の誘電率値を有する多孔性SiOxまたは炭素でドープされたSiOx)が含まれる。いくつかの実施形態では、低誘電率誘電体は、フッ素でドープされた酸化ケイ素、有機ケイ酸塩ガラス、または多孔性二酸化ケイ素のうちの1つまたは複数を含む。他の実施形態では、誘電体層は多層構造である。たとえば、1つまたは複数の実施形態では、誘電体層は、酸化物層および窒化物層の積層体を含む。
いくつかの実施形態のドープされた窒化タンタル層140は、複数の層の積層を含む。図2、図3B、および図5Aに示す実施形態では、ドープされた窒化タンタル層140を形成することは、プロセス104で形成される第1の窒化タンタル層142、プロセス106によって形成されるドーパント含有層144、およびプロセス108によって形成される第2の窒化タンタル層146の積層から構成される。ドーパント含有層144のドーパントは、ルテニウム、コバルト、またはタングステンのうちの1つまたは複数を含む。本説明全体にわたって、「ルテニウム」への参照を、「タングステン」および/または「コバルト」に置き換えることが可能である。本明細書では、ドーパントとは、ルテニウム、コバルト、および/またはタングステンを指す。いくつかの実施形態では、ドープされた窒化タンタル層を形成することは、原子層堆積によって窒化タンタルおよびドーパント含有層の積層を形成することを含む。
いくつかの実施形態では、第1の窒化タンタル層142は、任意の好適な堆積技法によって第1の層厚さT1(図5Aに示すように)に形成される。例示的な実施形態では、窒化タンタル層142は、5Å~10Åの範囲内の第1の層厚さへの原子層堆積によって形成される。いくつかの実施形態では、第1の層厚さは約7Åである。いくつかの実施形態では、第1の層厚さは、5Å、10Å、15Å、または20Å以上であり、かつ40Å、35Å、30Å、または25Å以下である。
いくつかの実施形態では、TaNの原子層堆積は、タンタル前駆体および窒素反応物質の順次露出を含む。タンタル前駆体は、それだけに限定されるものではないが、ペンタキス(ジメチルアミノ)タンタル(PDMAT)を含む、任意の好適なタンタル種とすることができ、窒素反応物質はアンモニアを含む。PDMATおよびNH3との反応は、1つの可能なALD反応を表すだけであり、本開示の範囲を限定すると見なされるべきではないことが、当業者には理解されよう。
窒化タンタル層142の形成中の温度は、たとえば使用されている反応種に応じて、任意の好適な温度とすることができる。いくつかの実施形態では、基板は、200℃~350℃の範囲内、または225℃~300℃の範囲内、または250℃~275℃の範囲内の温度で維持される。
プロセス106によって形成されるドーパント含有層144は、任意の好適な材料とすることができる。いくつかの実施形態では、ドーパント含有層144は、金属ルテニウム、金属タングステン、または金属コバルトのうちの1つまたは複数を含む。いくつかの実施形態では、ルテニウム含有層144は本質的に、金属ルテニウム、金属タングステン、または金属コバルトのうちの1つまたは複数からなる。このようにして使用されるとき、「本質的に~からなる」という用語は、指定の層が、原子ベースで記載の種の95%、98%、99%、または99.5%以上から構成されることを意味する。
いくつかの実施形態では、ドーパント含有層144は、ルテニウム、タングステン、またはコバルトのうちの1つまたは複数でドープされた窒化タンタルを含む。いくつかの実施形態では、ルテニウム、タングステン、またはコバルトのうちの1つまたは複数でドープされた窒化タンタルは、フラッシュCVDによって形成される。いくつかの実施形態では、ドーパント含有層144は、CVDによって堆積された薄い共形の膜である。
いくつかの実施形態では、ドーパント含有層144は、0.5Å~5Åの範囲内または約1Å~約2Åの範囲内の処理前の厚さTR(図5A参照)を有する。いくつかの実施形態では、ドーパント含有層144は非晶質である。いくつかの実施形態では、ドーパント含有層144は実質的に非晶質である。このようにして使用されるとき、「実質的に非晶質」という用語は、ドーパント含有層144の体積の90%、95%、または98%より大きい部分が非晶質であることを意味する。いくつかの実施形態では、ドーパント含有層144は、ナノ微結晶構造を有する。
いくつかの実施形態では、ドーパント含有層は、基板が第1の窒化タンタル層142の形成中と同じプロセス温度で維持されている間に形成される。
いくつかの実施形態のプロセス108によって堆積される第2の窒化タンタル層146は、第1の窒化タンタル層142と同じプロセスによって形成される。いくつかの実施形態では、第2の窒化タンタル層146は、第1の窒化タンタル層142のプロセスとは異なるプロセスによって形成される。いくつかの実施形態では、第2の窒化タンタル層146は、PDMATおよびアンモニアを使用して、ALDによって形成される。いくつかの実施形態では、第2の窒化タンタル層146は、基板が200℃~350℃の範囲内、または225℃~300℃の範囲内、または250℃~275℃の範囲内の温度で維持された状態で形成される。
第2の窒化タンタル層146の厚さT2(図5A参照)は、任意の好適な厚さとすることができる。いくつかの実施形態では、第2の窒化タンタル層146の第2の厚さは、第1の窒化タンタル層142の第1の厚さより小さく、第1の窒化タンタル層142の第1の厚さと同じであり、または第1の窒化タンタル層142の第1の厚さより大きい。いくつかの実施形態では、第2の窒化タンタル層146の第2の厚さは、1Å~5Åの範囲内、または2Å~4Åの範囲内、または約3Åである。いくつかの実施形態では、第2の厚さは、1Å、2Å、3Å、4Å、または5Å以上であり、かつ10Å、9Å、8Å、7Å、または6Å以下である。
いくつかの実施形態では、ドープされた窒化タンタル層140の総厚さTF(図5A参照)は、5Å、7.5Å、10Å、15Å、または20Åより大きい。例示的な実施形態では、(Ru、W、および/またはCoで)ドープされたTaN層140の厚さは、約10Å~15Åの範囲内または11Å~12Åの範囲内である。
いくつかの実施形態では、ドープされた窒化タンタル層140は、少なくとも1つの窒化タンタル層および少なくとも1つのドーパント含有層を含む。いくつかの実施形態では、ドープされた窒化タンタル層140は、単一の層として堆積される。たとえば、いくつかの実施形態では、窒化タンタル層140は、ALDまたはCVDによって形成され、ドーパント前駆体が投与されて反応する。
いくつかの実施形態では、ドープされた窒化タンタル層140の積層の形成後、基板はプロセス110でアニーリングされる。第1の窒化タンタル層142、ドーパント含有層144、および第2の窒化タンタル層146の積層をアニーリングすることで、図3Cに示すように、アニーリング済みのドープされた窒化タンタル層150を形成する。説明の目的で、これらの図では、ドーパント原子152が点で印を付けられている。
積層のアニーリングを、当業者には知られている任意の好適な技法で実行することができる。いくつかの実施形態では、アニーリングは、300℃、400℃、500℃、600℃、700℃、800℃、900℃、または1000℃以上の温度で行われる。いくつかの実施形態では、アニーリングは、不活性ガス(たとえば、分子窒素(N2)、アルゴン(Ar))、還元ガス(たとえば、分子水素(H2)またはアンモニア(NH3))、または酸化体(たとえば、分子酸素(O2)、オゾン(O3)、または過酸化物)のうちの1つまたは複数を含む雰囲気で行われる。アニーリングを、任意の好適な長さの時間にわたって実行することができる。いくつかの実施形態では、膜は、約15秒~約90分の範囲内または約1分~約60分の範囲内の所定の時間にわたってアニーリングされる。いくつかの実施形態では、堆積されたままの膜をアニーリングすることで、膜の密度が増大し、抵抗が減少し、かつ/または純度が増大する。
いくつかの実施形態では、図3Aに示すように、第1の材料130内の構造135は、底部136および少なくとも1つの側壁137を有する。いくつかの実施形態では、構造135は円形ビアであり、1つの円筒形の側壁137を有する。いくつかの実施形態では、構造135はトレンチであり、2つ以上の側壁137を有する。示されている例示的な実施形態では、ドープされた窒化タンタル膜150は、構造の側壁および底部に形成された共形の膜である。このようにして使用されるとき、「共形の膜」は、平均厚さに対して0.5%、1%、5%、10%、または15%より大きく変動しない厚さを有する。いくつかの実施形態では、アニーリング前の積層の層の各々は共形の膜である。いくつかの実施形態では、第1の窒化タンタル層142および第2の窒化タンタル層146は共形の膜である。
図2の方法100を再び参照すると、アニーリング済みのドープされた窒化タンタル層150は、プロセス112でプラズマに露出されて、処理済みのドープされた窒化タンタル層154を形成する。いくつかの実施形態では、アニーリング済みのドープされた窒化タンタル層150は、アニーリング済みのルテニウムでドープされた窒化タンタル層、アニーリング済みのタングステンでドープされた窒化タンタル層、および/またはアニーリング済みのコバルトでドープされた窒化タンタル層である。いくつかの実施形態では、プラズマは、ヘリウムまたはネオンのうちの1つまたは複数を含む。いくつかの実施形態では、プラズマはネオンを含む。いくつかの実施形態では、プラズマはヘリウムを含む。
プラズマ露出プロセス112の結果、処理済みのドープされた窒化タンタル層154は、ドープされた窒化タンタル層150より減少された量のドーパント原子152を有する。いくつかの実施形態では、プラズマ露出プロセス112の結果、処理済みのルテニウムでドープされた窒化タンタル層は、ルテニウムでドープされた窒化タンタル層より減少された量のルテニウム原子を有する。いくつかの実施形態では、プラズマ露出プロセス112の結果、処理済みのタングステンでドープされた窒化タンタル層は、タングステンでドープされた窒化タンタル層より減少された量のタングステン原子を有する。いくつかの実施形態では、プラズマ露出プロセス112の結果、処理済みのコバルトでドープされた窒化タンタル層は、コバルトでドープされた窒化タンタル層より減少された量のコバルト原子を有する。
プラズマ露出プロセス112は、プラズマ露出前の第1のドーパント量からプラズマ露出後の第1のドーパント量より小さい第2のドーパント量へのドーピングレベルの減少を引き起こす。いくつかの実施形態では、プラズマ露出プロセス112は、プラズマ露出前の第1のルテニウム量からプラズマ露出後の第1のルテニウム量より小さい第2のルテニウム量へのルテニウムドーピングレベルの減少を引き起こす。いくつかの実施形態では、プラズマ露出プロセス112は、プラズマ露出前の第1のタングステン量からプラズマ露出後の第1のタングステン量より小さい第2のタングステン量へのタングステンドーピングレベルの減少を引き起こす。いくつかの実施形態では、プラズマ露出プロセス112は、プラズマ露出前の第1のコバルト量からプラズマ露出後の第1のコバルト量より小さい第2のコバルト量へのコバルトドーピングレベルの減少を引き起こす。
いくつかの実施形態では、図5Aおよび図5Bに示すように、ドープされた窒化タンタル層140はアニーリングされず、または異なる層を有する積層の構造的外観を維持する。個々の層の隣接エッジは、積層の構造的外観を維持しながら、互いに輪郭を曖昧にすることができる。示されている実施形態では、ドープされた窒化タンタル層140を、ヘリウムまたはネオンのうちの1つまたは複数を含むプラズマに露出させることで、縞のある層構造を有する処理済みのドープされた窒化タンタル層154aを形成する。
いくつかの実施形態のドープされた窒化タンタル層140の厚さTFは、図5Bに示すように、処理済み厚さTFTに変化する。処理済み厚さTFTへの厚さTFの減少は、それだけに限定されるものではないが、プラズマ露出の長さ、プラズマ露出の電力、個々の層を堆積させる方法、および個々の層の組成を含む様々な要因に依存する。いくつかの実施形態では、処理済み厚さTFTは、プラズマ露出前の厚さTFの90%、80%、70%、または60%より小さい。
個々の層の厚さは、プラズマ露出によって異なる程度の影響を受けることがある。いくつかの実施形態では、プラズマ露出は、ドーパント含有層144の厚さを変化させるが、他の層の厚さは実質的に変化させない。このようにして使用されるとき、厚さがプラズマ前の厚さの±5%未満だけ変化する場合、膜厚さは実質的に変化しない。いくつかの実施形態では、第1の窒化タンタル層142の厚さT1は、処理済み厚さT1Tまで減少し、第2の窒化タンタル層146の厚さT2は、処理済み厚さT2Tまで減少し、ドーパント含有層144の厚さTRは、処理済み厚さTRTまで減少する。いくつかの実施形態では、第1の窒化タンタル層142の厚さT1が処理済み厚さT1Tまで減少すること、第2の窒化タンタル層146の厚さT2が処理済み厚さT2Tまで減少すること、またはドーパント含有層144の厚さTRが処理済み厚さTRTまで減少することのうちの1つまたは複数が生じる。いくつかの実施形態では、ドーパント含有層144の厚さTRは、2Å、3Å、または4Å以上であり、1Å、2Å、3Å、または4Åより小さい処理済み厚さTRTまで減少される。いくつかの実施形態では、ドーパント含有層144の厚さTRは、2Å、3Å、または4Åより大きく、1Å、2Å、3Å、または4Åより小さい処理済み厚さTRTまで減少される。
図5Aおよび図5Bに示す実施形態では、プラズマ露出プロセス112の結果、処理済みのドープされた窒化タンタル層154aは、ドープされた窒化タンタル層150より減少された量のドーパント原子を有する。プラズマ露出プロセス112は、プラズマ露出前の第1のドーパント量からプラズマ露出後の第1のドーパント量より小さい第2のドーパント量へのドーピングレベルの減少を引き起こす。
いくつかの実施形態では、ドープされた窒化タンタル層140におけるドーパントの濃度は、ドープされた窒化タンタル層140の総質量の0.5~50質量%の範囲内、または1~45質量%の範囲内、または2~40質量%の範囲内、または3~35質量%の範囲内、または4~30質量%の範囲内、または5~25質量%の範囲内である。いくつかの実施形態では、ドープされた窒化タンタル層140におけるドーパントの質量パーセントは、0.5%、1%、2%、3%、4%、5%、6%、7%、8%、9%、または10%以上、かつ50%、45%、40%、35%、30%、25%、20%、または15%以下の量まで減少される。
1つまたは複数の実施形態では、プラズマ露出後、処理済みのドープされた窒化タンタル層154、154aにおけるドーパントの濃度は、処理済みのドープされた窒化タンタル層154、154aの総質量の0.05~20質量%の範囲内、または0.1~15質量%の範囲内、または0.2~10質量%の範囲内、または0.3~8質量%の範囲内、または0.4~6質量%の範囲内、または0.5~5質量%の範囲内の量まで減少される。いくつかの実施形態では、処理済みのドープされた窒化タンタル層154、154aにおけるドーパントの質量パーセントは、0.05%、0.1%、0.2%、0.3%、0.4%、0.5%、0.6%、0.7%、0.8%、0.9%、1.0%、1.5%、2.0%、2.5%、3.0%、3.5%、4.0%、4.5%、または5.0%以上、かつ20%、17.5%、15%、12.5%、10%、9%、8%、7%、6%、または5%以下の量まで減少される。
ドープされた窒化タンタル層154の抵抗は、ヘリウムまたはネオンのプラズマ露出なしの熱ALD TaN(ドーパントなし)およびドープされた熱ALD TaNの両方の抵抗より小さい。いくつかの実施形態では、ドープされた窒化タンタル層150の抵抗は、1000μΩ・cm、1100μΩ・cm、1200μΩ・cm、1300μΩ・cm、1400μΩ・cm、1500μΩ・cm、または1600μΩ・cm以上である。いくつかの実施形態では、処理済みのドープされた窒化タンタル層154の抵抗は、1000μΩ・cm、900μΩ・cm、800μΩ・cm、700μΩ・cm、600μΩ・cm、または500μΩ・cm以下である。いくつかの実施形態では、ヘリウムおよび/またはネオンのプラズマ露出により、ドープされた窒化タンタル層150の抵抗が、プラズマ前(処理前)の量の60%、50%、40%、または30%未満まで減少される。たとえば、例示的なプロセスでは、ドープされた窒化タンタル膜150の抵抗は、ネオンプラズマへの露出によって、約1700μΩ・cmから約500μΩ・cmに減少される。
いくつかの実施形態のドープされた窒化タンタル膜は、プラズマ前の炭素含量およびプラズマ後の炭素含量を有する。いくつかの実施形態では、ヘリウムまたはネオンのプラズマへの露出により、プラズマ前の炭素含量がプラズマ後の炭素含量へ低減される。いくつかの実施形態では、ドープされた窒化タンタル膜150のプラズマ前の炭素含量は、原子ベースで0.8%より大きい。いくつかの実施形態では、ドープされた窒化タンタル膜154のプラズマ後の炭素含量は、原子ベースで0.6%、0.5%、または0.4%より小さい。いくつかの実施形態では、ヘリウムまたはネオンのプラズマへの露出により、ドープされた窒化タンタル膜における炭素含量が25%、30%、35%、40%、45%、または50%以上低減される。
プラズマは、任意の好適なプラズマとすることができる。いくつかの実施形態では、ヘリウムまたはネオンのプラズマは、誘導結合プラズマ(ICP)であり、ICPコイルによって生成される。ICPコイルは、より低い圧力においてより高いプラズマ密度で動作することができる。いくつかの実施形態では、誘導結合プラズマのプラズマ密度は、約1011cm-3、1012cm-3、もしくは1013cm-3以上であり、または記載の桁以上である。いくつかの実施形態では、ICPコイルによって生成されるプラズマは、2MHzの周波数を有する。いくつかの実施形態では、約400kHz~約60MHzの周波数で、約500ワット~約25kWのRFソース電力を供給することができる。13.56MHzまたは2MHzの周波数で、最大約3000ワットのRFバイアス電力を基板支持体に印加することができる。いくつかの実施形態では、約400kHz~約60MHzの周波数で、約100ワット~約3000ワットのRFソース電力を混合ガスに供給することができる。特定の場合、2重周波数または3重周波数を使用して、イオンエネルギーを変調させることもできる。約1kW~約10kWのDCバイアス電力をパルスモードでコリメータに印加することができる。別法として、DCバイアス電力を連続モードでコリメータに印加することができる。いくつかの実施形態では、プラズマ圧力は、0.1ミリトル~100ミリトルの範囲内である。いくつかの実施形態では、基板は、-20℃~400℃の範囲内の温度で維持される。
図2の方法100を再び参照すると、いくつかの実施形態では、プロセス114で、図3Eに示すように、処理済みの窒化タンタル層154上に金属膜156を堆積させて、構造を充填する。いくつかの実施形態では、金属膜156は金属コンタクトである。いくつかの実施形態では、金属膜156は、銅(Cu)、コバルト(Co)、またはマンガン(Mn)、タングステン(W)、もしくはアルミニウム(Al)のうちの1つもしくは複数でドープされた銅のうちの1つまたは複数を含み、あるいは本質的にこれらのうちの1つまたは複数からなる。
金属膜156を、当業者には知られている任意の好適な技法によって堆積させることができる。いくつかの実施形態では、金属膜156は、原子層堆積、化学気相堆積、または物理的気相堆積によって堆積される。
図4は、本開示の1つまたは複数の実施形態による別の電子デバイス120を示す。図4の構造135は、側面で第1の材料130と境界をなし、底部で第2の材料132と境界をなす。いくつかの実施形態では、第1の材料130は誘電体を含み、第2の材料132は導電性材料を含む。いくつかの実施形態では、導電性材料は銅層を含む。いくつかの実施形態では、第1の材料130は低誘電率誘電体材料を含む。
いくつかの実施形態では、ドープされた窒化タンタル膜150は、第1のドーパント量を有する共形の膜として堆積される。示されている実施形態では、基板125は、プラズマ露出プロセス112中に指向性プラズマを形成するようにバイアスがかけられる。基板125にバイアスをかけることで、ネオンプラズマ内のイオンが基板面の方へ動く結果、ドーパント原子152に対して、基板にバイアスが印加されない場合に観察されるはずのものとは異なる除去パターンが得られる。
いくつかの実施形態では、図4に示すように、指向性プラズマは、構造135の底部157の窒化タンタルからドーパント152を除去し、側壁158上の窒化タンタルでは実質的にすべてのドーパントを残す。このようにして使用されるとき、「実質的にすべて」という用語は、第1の材料130の頂面の下で、窒化タンタル膜の側壁内の元のドーパント原子の約85%、90%、95%、またはそれ以上が残ることを意味する。示されている実施形態では、ドーパントは、共形の膜の窒化タンタル頂部155からも除去される。
様々なハードウェア配置を使用して、方法100を実装することができる。いくつかの実施形態では、窒化タンタル膜の堆積、プラズマ露出、およびアニーリングは、同じプロセスチャンバ内で行われる。いくつかの実施形態では、堆積、アニーリング、およびプラズマ露出は、別個のプロセスチャンバ内で行われる。
図6は、本開示のいくつかの実施形態による例示的な処理チャンバ200(たとえば、PVDチャンバ)の概略断面図を示す。好適なPVDチャンバの例には、カリフォルニア州サンタクララのApplied Materials,Inc.から市販のENCORE(登録商標)IIおよびENCORE(登録商標)III、ならびに他のPVD処理チャンバが含まれる。しかし、開示する方法は、他の製造者から入手可能な処理チャンバでも使用することができる。一実施形態では、プロセスチャンバ200は、たとえば金属、金属窒化物、金属フッ化物、金属炭化物などを基板218上に堆積させることが可能である。
プロセスチャンバ200はチャンバ本体205を有し、チャンバ本体205は、側壁202、底部203、およびリッドアセンブリ204を含み、これらはすべて内部体積206を取り囲む。ターゲット214とは反対側のプロセスチャンバ200の内部体積206の下部に、基板支持体208が配置される。内部体積206の内外へ基板を移送するための基板移送ポート209が、側壁202内に形成される。
プロセスチャンバ200にガス源210が結合されて、内部体積206内へプロセスガスを供給する。一実施形態では、プロセスガスは、不活性ガス、非反応性ガス、および反応性ガスなどを含むことができる。ガス源210によって提供することができるプロセスガスの例には、それだけに限定されるものではないが、とりわけアルゴンガス(Ar)、ヘリウム(He)、ネオンガス(Ne)、窒素ガス(N2)、酸素ガス(O2)、水素ガス(H2)、およびH2Oが含まれる。
内部体積206と連通して内部体積206の圧力を制御するためのポンプ212が、プロセスチャンバ200に結合される。一実施形態では、プロセスチャンバ200の圧力は、0より大きい圧力から約10ミリトル以下で維持することができる。別の実施形態では、プロセスチャンバ200内の圧力は、約3ミリトルで維持することができる。
バッキング板213が、内部体積206の上部でターゲット214を支持することができる。バッキング板213は、絶縁体215によって側壁202から電気的に絶縁することができる。ターゲット214は、概して、基板218上に堆積される材料源を提供する。ターゲット214は、チタン(Ti)金属、タンタル金属(Ta)、ニオブ(Nb)金属、タングステン(W)金属、コバルト(Co)、ニッケル(Ni)、銅(Cu)、アルミニウム(Al)、マンガン(Mn)、これらの合金、これらの組合せなどを含有する材料から製造することができる。本明細書に示す例示的な実施形態では、コバルト金属(Co)によってターゲット214を製造することができる。
ターゲット214を、ターゲット214のための電力供給217を備えたソースアセンブリ216に結合することができる。いくつかの実施形態では、電力供給217は、RFジェネレータとすることができる。いくつかの実施形態では、電力供給217は、別法として、DCソース電力供給とすることができる。いくつかの実施形態では、電力供給217は、DC電源およびRF電源の両方を含むことができる。
プロセスチャンバ200には、ターゲット214と基板支持体208との間にバイアス電力を提供するために、基板支持体208を介して追加のRF電源280を結合することもできる。一実施形態では、RF電源280は、約1MHz~約100MHz、たとえば約13.56MHzの周波数で基板218にバイアスをかけるように、基板支持体208に電力を提供することができる。
基板支持体208は、矢印282によって示すように、上昇位置と下降位置との間で可動とすることができる。下降位置では、基板218のプロセスチャンバ200への投入およびプロセスチャンバ200からの取出しを容易にするために、基板支持体208の支持面211を基板移送ポート209またはそのすぐ下に位置合わせすることができる。支持面211は、基板支持体208をプラズマおよび堆積材料から保護しながら基板218を受け取るようにサイズ設定されたエッジ堆積リング236を有することができる。基板218をプロセスチャンバ200内で処理するために、基板支持体208をターゲット214により近い上昇位置へ動かすことができる。基板支持体208が上昇位置にくると、カバーリング226がエッジ堆積リング236に係合することができる。カバーリング226は、堆積材料が基板218と基板支持体208との間を架橋することを防止することができる。基板支持体208が下降位置にあるとき、カバーリング226は、基板の移送を可能にするために、基板支持体208およびその上に位置決めされた基板218より上に浮かんだ状態になっている。
プロセスチャンバ200との基板の移送中、基板218を有するロボットブレード(図示せず)が、基板移送ポート209を通って延ばされる。リフトピン(図示せず)が、基板支持体208の支持面211を通って延びており、基板218を基板支持体208の支持面211から持ち上げ、したがってロボットブレードが基板218と基板支持体208との間を通るための空間を与える。次いで、ロボットは、基板移送ポート209を通って、基板218をプロセスチャンバ200の中または外へ運搬することができる。基板支持体208および/またはリフトピンの上昇および下降を、コントローラ298によって制御することができる。
スパッタリング堆積中は、基板支持体208内に配置された熱コントローラ238を利用することによって、基板218の温度を制御することができる。基板218は、任意選択で、処理のために所望の温度まで加熱することができる。いくつかの実施形態では、任意選択の加熱を使用して、基板および/または膜の温度を摂氏約200~約400度の温度にすることができる。他の実施形態では、基板を室温(摂氏約15度~摂氏約30度)で処理することができる。他の実施形態では、温度は摂氏約15度~約400度の範囲内である。処理後、基板支持体208内に配置された熱コントローラ238を利用して、基板218を急速に冷却することができる。熱コントローラ238は基板218の温度を制御し、熱コントローラ238を利用して、基板218の温度を第1の温度から第2の温度へ数秒から約1分で変化させることができる。
内部体積206内のターゲット214と基板支持体208との間に内側シールド220を位置決めすることができる。内側シールド220は、とりわけアルミニウムまたはステンレス鋼から形成することができる。一実施形態では、内側シールド220は、ステンレス鋼から形成される。内側シールド220と側壁202との間には、外側シールド222を形成することができる。外側シールド222は、とりわけアルミニウムまたはステンレス鋼から形成することができる。外側シールド222は、内側シールド220を越えて延びることができ、基板支持体208が下降位置にあるときにカバーリング226を支持するように構成される。
一実施形態では、内側シールド220は、内側シールド220の外径より大きい内径を含む半径方向フランジ223を含む。半径方向フランジ223は、内側シールド220の内径面に対して約90度(90°)より大きい角度で内側シールド220から延びる。半径方向フランジ223は、内側シールド220の表面から延びる円形リッジとすることができ、概して基板支持体208上に配置されたカバーリング226内に形成された凹みに嵌合するように適合される。凹みは、カバーリング226内に形成された円形の溝とすることができ、基板支持体208の長手方向軸に対してカバーリング226を中心に位置合わせする。
いくつかの実施形態では、プロセスチャンバ200は、誘導コイル242を含むことができる。プロセスチャンバ200の誘導コイル242は、1巻きまたは2巻き以上を有することができる。誘導コイル242は、内側シールド220のすぐ内側に位置することができ、基板支持体208より上に位置決めすることができる。誘導コイル242は、ターゲット214より基板支持体208の近くに位置決めすることができる。誘導コイル242を、2次スパッタリングターゲットとして作用するように、ターゲット214に類似しているまたは等しい組成の材料、たとえばコバルトなどから形成することができる。誘導コイル242は、複数のコイルスペーサ240によって内側シールド220から支持される。コイルスペーサ240は、短絡または望ましくないプラズマ励起源の生成を回避するために、誘導コイル242を内側シールド220および他のチャンバ部品から電気的に絶縁して、スパッタリングから保護することができる。
誘導コイル242は、電源250に結合することができる。電源250は、プロセスチャンバ200の側壁202、外側シールド222、内側シールド220、およびコイルスペーサ240を貫通する電気リードを有することができる。電気リードは、誘導コイル242上の電気ハブ244に接続して、誘導コイル242へ電力を提供する。電気ハブ244は、誘導コイル242に電力を提供するために、複数の絶縁された電気的接続を有することができる。加えて、電気ハブ244を、コイルスペーサ240と連係して誘導コイル242を支持するように構成することができる。一実施形態では、電源250は、誘導コイル242に電流を印加して、プロセスチャンバ200内にRF場を誘起し、プラズマに電力を結合して、プラズマ密度、すなわち反応性イオンの濃度を増大させる。いくつかの実施形態では、誘導コイル242は、RF電源280のRF電力周波数より小さいRF電力周波数で動作する。一実施形態では、誘導コイル242に供給されるRF電力周波数は約2MHzである。他の実施形態では、RF電力周波数は、約1.8MHz~約2.2MHzの範囲内で動作することができる。他の実施形態では、RF電力周波数は、約0.1MHz~99MHzの範囲とすることができる。いくつかの実施形態では、誘導コイル242は、基板上へスパッタリングすることができる金属材料などの材料から作られる。次いで、電源250はまた、RF電力をプラズマに結合しながら、DC電力を誘導コイル242に印加して、誘導コイル242のスパッタリングを可能にすることができる。
プロセスチャンバ200にコントローラ(図示せず)が結合される。コントローラは、中央処理装置(CPU)、メモリ、および支持回路を含む。コントローラは、プロセスシーケンスを制御して、ガス源210からプロセスチャンバ200に入るガス流を調節し、ターゲット214および誘導コイル242のイオン衝撃を制御するために利用される。一実施形態では、コントローラは、ターゲットおよび/または誘導コイルをスパッタリングし、プロセスチャンバ200の内部体積206に入るエッチングガスの流れを調節しながら、第1の電力供給(たとえば、RF電源280)の第1のRF電力レベル、第2の電力供給(たとえば、電源250)の第2のRF電力レベル、第2の電力供給(たとえば、電源250)の第1のDC電力レベル、および第3の電力供給(たとえば、電力供給217)の第2のDC電力レベルを調整する。コントローラの追加の態様は後述する。
図7は、1つまたは複数の実施形態による処理ツール300を示す。1つまたは複数の実施形態では、処理ツール300は処理チャンバ301を備える。処理チャンバは、リッド302および少なくとも1つの側壁304を備える。1つまたは複数の実施形態では、リッド302および少なくとも1つの側壁304は、処理チャンバ301の内部体積305を画定する。1つまたは複数の実施形態では、処理ツール300は、処理チャンバ301の内部体積305内に遠隔プラズマユニット306を備える。1つまたは複数の実施形態では、直接プラズマユニット308が、処理チャンバ301の内部体積305内に位置する。1つまたは複数の実施形態では、遠隔プラズマユニット306が遠隔プラズマを生成すること、および直接プラズマユニット308が直接プラズマを生成することのうちの1つが生じる。1つまたは複数の実施形態では、遠隔プラズマの生成および直接プラズマの生成が順次行われる。いくつかの実施形態では、遠隔プラズマの生成がまず行われ、その後直接プラズマの生成が行われる。他の実施形態では、直接プラズマの生成がまず行われ、その後遠隔プラズマの生成が行われる。1つまたは複数の実施形態では、遠隔プラズマの生成および直接プラズマの生成は同時に行われる。
1つまたは複数の実施形態では、イオンフィルタ312が、遠隔プラズマユニット306および直接プラズマユニット308を分離する。1つまたは複数の実施形態では、イオンフィルタ312は、遠隔プラズマユニット306から基板処理領域315への通過中に、プラズマ放出物からイオンを濾過するために使用される。1つまたは複数の実施形態では、イオンフィルタ312は、遠隔プラズマユニット306から基板330へ進むイオン的に帯電した種を低減または除去するように機能する。1つまたは複数の実施形態では、帯電していない中性種およびラジカル種は、イオンフィルタ312内の少なくとも1つの開孔318を通過して、基板330で反応することができる。基板330を取り囲む反応領域315におけるイオン的に帯電した種の完全な除去は、常に所望される目標であるというわけではないことに留意されたい。1つまたは複数の実施形態では、エッチングおよび/または堆積プロセスを実行するために、イオン種が基板330に到達することが必要とされる。これらの例では、イオンフィルタ312は、反応領域315におけるイオン種の濃度を処理/洗浄および/または堆積プロセスに役立つレベルで制御するのに役立つ。1つまたは複数の実施形態では、イオンフィルタ312はシャワーヘッドを備える。
1つまたは複数の実施形態では、処理ツールは、処理チャンバ内に少なくとも1つの電極を備える。1つまたは複数の実施形態では、少なくとも1つの電極は、処理チャンバ301の内部体積305内に配置される。図6に示す実施形態では、少なくとも1つの電極316が、ペデスタル314と電気的に通信するように位置決めされる。
1つまたは複数の実施形態では、処理チャンバ301はペデスタル314を備える。1つまたは複数の実施形態では、ペデスタル314は、処理領域315内で半導体基板330を支持するように構成される。1つまたは複数の実施形態では、ペデスタル314は、熱交換チャネル(図示せず)を有することができ、熱交換流体が熱交換チャネルを流れて、基板330の温度を制御する。1つまたは複数の実施形態では、基板330の温度を、約-20℃~約400℃または約0℃~約400℃などの比較的低い温度を維持するように冷却または加熱することができる。1つまたは複数の実施形態では、熱交換流体は、エチレングリコールまたは水のうちの1つまたは複数を含む。他の実施形態では、ペデスタル314は、埋め込まれた抵抗加熱要素(図示せず)の使用によって、約100℃~約1100℃または約200℃~約750℃などの比較的高い温度を実現するように抵抗加熱される。1つまたは複数の実施形態では、ペデスタル314は回転するように構成される。1つまたは複数の実施形態では、ペデスタル314は、ペデスタル314の内部に電極316を備えており、ペデスタル314は、RFジェネレータ350によって電力供給され、RF整合器340によって整合される。1つまたは複数の実施形態では、ペデスタル314は金属材料から構成されており、ペデスタル314自体が電極である。電極316を、基板または基板支持体にバイアスをかけて指向性プラズマを形成するように分極することができる。
1つまたは複数の実施形態では、少なくとも1つの電源、たとえばRFジェネレータ350は、RF整合器340を介して処理チャンバ301に電気的に接続される。
1つまたは複数の実施形態では、2つのRFジェネレータが処理チャンバ301に電気的に接続される。そのような実施形態では、第1のRFジェネレータ350がペデスタル電極316に電気的に接続され、第2のRFジェネレータ355が誘導結合プラズマ(ICP)コイル370に電気的に接続される。
1つまたは複数の実施形態では、高周波(RF)電力の遠隔プラズマユニット306および直接プラズマユニット308を使用してプラズマが生成される。1つまたは複数の実施形態では、RF増幅器に電流を提供するように、交流(AC)電力が整流およびスイッチングされる。RF増幅器は、基準周波数(たとえば、13.56MHz)で動作し、出力整合ネットワークを通り、次いで電力測定回路を通って電力供給の出力へ進む電流を駆動する。出力整合は通常、当業界で一般に使用される同軸ケーブルと同じ特性インピーダンスを有するために、たとえば50Ωなどの特定のインピーダンスを駆動するように最適化されたジェネレータに接続されるように設計される。整合ケーブル区間を通る電力流は、整合コントローラによって測定され、負荷整合器によって変換される。通常、負荷整合器は電動の自動チューナであり、したがって負荷整合動作は、システムが適切に構成されるまでに所定の時間遅延を招く。負荷整合器を通過した後、次いで電力は、排気処理チャンバ内の2つの電極を駆動するプラズマ励起回路に入る。排気処理チャンバに処理ガスが導入され、この回路によって駆動されると、プラズマが生成される。整合ネットワークまたは負荷整合器は電動式であるため、整合ネットワークからの応答時間は、典型的に約1秒以上である。
いくつかの実施形態では、プラズマ出力は、約10W~約1000Wの範囲内であり、約200W~約600Wを含む。いくつかの実施形態では、プラズマ出力は、約1000W以下または約6500W以下である。
プラズマ周波数は、任意の好適な周波数とすることができる。いくつかの実施形態では、プラズマは、約200kHz~30MHzの範囲内の周波数を有する。いくつかの実施形態では、プラズマ周波数は、約20MHz以下、約10MHz以下、約5MHz以下、約1000kHz以下、または約500kHz以下である。いくつかの実施形態では、プラズマ周波数は、約210kHz以上、約250kHz以上、約600kHz以上、約750MHz以上、約1200kHz以上、約2MHz以上、約4MHz以上、約7MHz以上、約12MHz以上、約15MHz以上、または約25MHz以上である。1つまたは複数の実施形態では、プラズマは、約13.56MHz、または約350kHz、または約400kHz、または約27MHz、または約40MHz、または約60MHzの周波数を有する。
1つまたは複数の実施形態では、コントローラ320を設けることができ、その動作を制御するように、処理ツール300の様々な構成要素に結合することができる。コントローラ320は、処理ツール300全体を制御する単一のコントローラとすることができ、または処理ツール300の個々の部分を制御する複数のコントローラとすることができる。たとえば、処理ツール300は、処理チャンバ301、遠隔プラズマユニット306、直接プラズマユニット308、および電源(たとえば、RFジェネレータ350)の各々に対して別個のコントローラを含むことができる。
いくつかの実施形態では、処理チャンバ301は、コントローラ320をさらに備える。1つまたは複数の実施形態では、コントローラ320は、処理チャンバ301内の遠隔プラズマユニット306および/または直接プラズマユニット308によるプラズマの着火を制御する。
いくつかの実施形態では、コントローラ320は、中央処理装置(CPU)322、メモリ324、入出力(I/O)326、および支持回路328を含む。コントローラ320は、処理ツール300を直接制御することができ、または特定のプロセスチャンバおよび/もしくは支持システム構成要素に関連付けられたコンピュータ(またはコントローラ)を介して制御することができる。
コントローラ320は、様々なチャンバおよびサブプロセッサを制御するために工業環境で使用することができる任意の形態の汎用コンピュータプロセッサのうちの1つとすることができる。コントローラ320のメモリ324またはコンピュータ可読媒体は、非一時的メモリ(たとえば、ランダムアクセスメモリ(RAM))、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、光ストレージ媒体(たとえば、コンパクトディスクまたはデジタルビデオディスク)、フラッシュドライブ、または局所もしくは遠隔の任意の他の形態のデジタルストレージなど、容易に利用可能なメモリのうちの1つまたは複数とすることができる。メモリ324は、処理ツール300のパラメータおよび構成要素を制御するようにプロセッサ(CPU322)によって動作可能な命令セットを保持することができる。
支持回路328は、プロセッサを従来どおり支持するようにCPU322に結合される。これらの回路は、キャッシュ、電力供給、クロック回路、入出力回路、およびサブシステムなどを含む。1つまたは複数のプロセスをメモリ324内にソフトウェアルーチンとして記憶することができ、そのようなソフトウェアルーチンは、プロセッサによって実行されまたは呼び出されたとき、処理ツール300または個々の処理ユニット(たとえば、遠隔プラズマユニット306および直接プラズマユニット308)の動作を、本明細書に記載するようにプロセッサに制御させる。ソフトウェアルーチンはまた、CPU322によって制御されているハードウェアから遠隔に位置する第2のCPU(図示せず)によって記憶および/または実行することができる。
本開示のプロセスおよび方法のいくつかまたはすべてはまた、ハードウェアで実行することができる。したがって、プロセスをソフトウェアで実装し、ハードウェア内のコンピュータシステムを使用して、たとえば特定用途向け集積回路もしくは他のタイプのハードウェア実装として、またはソフトウェアおよびハードウェアの組合せとして実行することができる。ソフトウェアルーチンは、プロセッサによって実行されたとき、汎用コンピュータを、プロセスが実行されるようにチャンバ動作を制御する特定目的コンピュータ(コントローラ)に変換する。
いくつかの実施形態では、コントローラ320は、個々のプロセスまたはサブプロセスを実行して方法を実施するための1つまたは複数の構成を有する。コントローラ320は、中間構成要素に接続することができ、方法の機能を実施するように中間構成要素を動作させるように構成することができる。たとえば、コントローラ320は、遠隔プラズマユニット306、直接プラズマユニット308、ペデスタル314、少なくとも1つの電極316、ICPコイル370、または他の構成要素のうちの1つまたは複数に接続することができ、これらを制御するように構成することができる。
本開示の追加の実施形態は、1つまたは複数の処理チャンバを有する処理システムを対象とする。1つまたは複数の実施形態では、処理チャンバは、図5または図6の処理ツールを含む。
本開示の追加の実施形態は、図7に示すように、メモリデバイスの形成のための処理ツールおよび記載の方法を対象とする。クラスタツール900は、複数のサイドを有する少なくとも1つの中央移送ステーション921、931を含む。中央移送ステーション921、931内にはロボット925、935が位置決めされ、ロボットブレードおよびウエハを複数のサイドの各々へ動かすように構成される。
クラスタツール900は、中央移送ステーションに接続された、プロセスステーションとも呼ばれる複数の処理チャンバ902、904、906、908、910、912、914、916、および918を備える。様々な処理チャンバは、隣接するプロセスステーションから隔離された別個の処理領域を提供する。処理チャンバは、それだけに限定されるものではないが、前洗浄チャンバ、バッファチャンバ、移送空間、ウエハ配向/ガス抜きチャンバ、超低温冷却チャンバ、堆積チャンバ、アニーリングチャンバ、エッチングチャンバ、選択的エッチングチャンバなどを含む、任意の好適なチャンバとすることができる。プロセスチャンバおよび構成要素の特定の配置は、クラスタツールに応じて変更することができ、本開示の範囲を限定すると見なされるべきではない。
図8に示す実施形態では、クラスタツール900の前面に、工場インターフェース950が接続される。工場インターフェース950は、工場インターフェース950の前面951に、ローディングチャンバ954およびアンローディングチャンバ956を含む。ローディングチャンバ954が左に示されており、アンローディングチャンバ956が右に示されているが、これは1つの可能な構成を表すだけであることが、当業者には理解されよう。
ローディングチャンバ954およびアンローディングチャンバ956のサイズおよび形状は、たとえばクラスタツール900内で処理される基板に応じて変更することができる。示されている実施形態では、ローディングチャンバ954およびアンローディングチャンバ956は、複数のウエハがカセット内に位置決めされた状態でウエハカセットを保持するようにサイズ設定される。
工場インターフェース950内にロボット952が位置し、ローディングチャンバ954とアンローディングチャンバ956との間を動くことができる。ロボット952は、ウエハをローディングチャンバ954内のカセットから工場インターフェース950を通ってロードロックチャンバ960へ移送することが可能である。ロボット952はまた、ウエハをロードロックチャンバ962から工場インターフェース950を通ってアンローディングチャンバ956内のカセットへ移送することが可能である。当業者には理解されるように、工場インターフェース950は、2つ以上のロボット952を有することができる。たとえば、工場インターフェース950は、ローディングチャンバ954とロードロックチャンバ960との間でウエハを移送する第1のロボットと、ロードロックチャンバ962とアンローディングチャンバ956との間でウエハを移送する第2のロボットとを有することができる。
示されているクラスタツール900は、第1の区間920および第2の区間930を有する。第1の区間920は、ロードロックチャンバ960、962を介して工場インターフェース950に接続される。第1の区間920は第1の移送チャンバ921を含み、第1の移送チャンバ921に少なくとも1つのロボット925が位置決めされている。ロボット925は、ロボットウエハ輸送機構とも呼ばれる。第1の移送チャンバ921は、ロードロックチャンバ960、962、プロセスチャンバ902、904、916、918、およびバッファチャンバ922、924に対して中心に配置される。いくつかの実施形態のロボット925は、一度に2つ以上のウエハを独立して動かすことが可能なマルチアームロボットである。いくつかの実施形態では、第1の移送チャンバ921は、2つ以上のロボットウエハ移送機構を備える。第1の移送チャンバ921内のロボット925は、第1の移送チャンバ921の周りのチャンバ間でウエハを動かすように構成される。個々のウエハは、第1のロボット機構の遠位端に位置するウエハ輸送ブレード上で運搬される。
第1の区間920内でウエハを処理した後、通過チャンバを通って第2の区間930へウエハを移動させることができる。たとえば、チャンバ922、924は、一方向または双方向の通過チャンバとすることができる。通過チャンバ922、924を使用して、たとえば第2の区間930で処理する前にウエハを超低温冷却することができ、または第1の区間920に戻す前にウエハの冷却もしくは後処理を可能にすることができる。
システムコントローラ990が、第1のロボット925、第2のロボット935、第1の複数の処理チャンバ902、904、916、918、および第2の複数の処理チャンバ906、908、910、912、914と通信している。システムコントローラ990は、処理チャンバおよびロボットを制御することができる任意の好適な構成要素とすることができる。たとえば、システムコントローラ990は、中央処理装置(CPU)、メモリ、好適な回路、およびストレージを含むコンピュータとすることができる。
それに応じて、本開示の1つまたは複数の実施形態は、中央移送ステーションの周りに1つまたは複数のプロセスチャンバを備えるクラスタツールを対象とする。いくつかの実施形態の1つまたは複数のプロセスチャンバは、ルテニウムでドープされた窒化タンタル膜を堆積させ、ルテニウムでドープされた窒化タンタル膜をアニーリングし、アニーリング済みの窒化タンタル膜をネオンプラズマに露出させるように構成される。少なくとも1つのコントローラが、1つまたは複数のプロセスチャンバおよび中央移送ステーションに接続される。いくつかの実施形態の少なくとも1つのコントローラは、窒化タンタル膜を堆積させるための構成、ルテニウム含有膜を堆積させるための構成、基板をアニーリングするための構成、1つまたは複数のプロセスチャンバと中央移送ステーションとの間で基板を動かすための構成、および基板をネオンプラズマに露出させるための構成から選択された1つまたは複数の構成を有する。
概して、プロセスをシステムコントローラ990のメモリ内にソフトウェアルーチンとして記憶することができ、そのようなソフトウェアルーチンは、プロセッサによって実行されたとき、本開示のプロセスをプロセスチャンバに実行させる。ソフトウェアルーチンはまた、プロセッサによって制御されているハードウェアから遠隔に位置する第2のプロセッサ(図示せず)によって記憶および/または実行することができる。本開示の方法のいくつかまたはすべてはまた、ハードウェアで実行することができる。したがって、プロセスをソフトウェアで実装し、ハードウェア内のコンピュータシステムを使用して、たとえば特定用途向け集積回路もしくは他のタイプのハードウェア実装として、またはソフトウェアおよびハードウェアの組合せとして実行することができる。ソフトウェアルーチンは、プロセッサによって実行されたとき、汎用コンピュータを、プロセスが実行されるようにチャンバ動作を制御する特別目的コンピュータ(コントローラ)に変換する。
本開示の追加の実施形態は、命令を含む非一時的コンピュータ可読媒体を対象とし、これらの命令は、処理チャンバのコントローラによって実行されたとき、窒化タンタル膜を基板上に堆積させる動作と、ルテニウム含有膜を基板上に堆積させる動作と、基板をアニーリングする動作と、基板をネオンプラズマに露出させる動作とを、処理チャンバに実行させる。
1つまたは複数の実施形態は、命令を含む非一時的コンピュータ可読媒体を対象とし、これらの命令は、処理チャンバのコントローラによって実行されたとき、金属底部、誘電体側壁、および誘電体領域を有する表面構造を含む基板を、処理チャンバ内のデュアルプラズマ処理に露出させて、化学残留物および/もしくは不純物を金属底部、誘電体側壁、および/もしくは誘電体領域から除去し、かつ/または誘電体側壁および/もしくは誘電体領域の表面欠陥を修復する動作を、処理チャンバに実行させ、デュアルプラズマ処理は、直接プラズマと、それに続く遠隔プラズマとを含む。
「下(beneath)」、「下(below)」、「下(lower)」、「上(above)」、「上(upper)」などの空間的に相対的な用語は、本明細書では、説明を用意するために、図に示されている1つの要素または特徴の別の要素または特徴に対する関係について説明するために使用することができる。これらの空間的に相対的な用語は、図に示されている配向に加えて、使用または動作中のデバイスの異なる配向も包含することが意図されることが理解されよう。たとえば、図のデバイスがひっくり返された場合、他の要素または特徴の「下(below)」または「下(beneath)」に位置すると説明されている要素は、他の要素または特徴の「上(above)」に配向されるはずである。したがって、「下(below)」という例示的な用語は、上および下の両方の配向を包含することができる。デバイスを、他の形(90度の回転または他の配向)で配向することができ、本明細書に使用される空間的に相対的な記述語は、それに応じて解釈することができる。
本明細書全体にわたって、「一実施形態」、「特定の実施形態」、「1つまたは複数の実施形態」、または「実施形態」への参照は、その実施形態に関連して説明する特定の特徴、構造、材料、または特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体にわたって様々な箇所における「1つまたは複数の実施形態では」、「特定の実施形態では」、「一実施形態では」、または「実施形態では」などの語句の記載は、必ずしも本開示の同じ実施形態を参照するわけではない。さらに、1つまたは複数の実施形態では、特定の特徴、構造、材料、または特性を任意の好適な形で組み合わせることができる。
本明細書の開示について、特定の実施形態を参照して説明したが、記載する実施形態は本開示の原理および応用例の単なる例示であることが、当業者には理解されよう。本開示の精神および範囲から逸脱することなく、本開示の方法および装置に様々な変更および変形を加えることができることが、当業者には明らかであろう。したがって、本開示は、添付の特許請求の範囲およびその均等物の範囲内の変更形態および変形形態を含むことができる。

Claims (20)

  1. 相互接続を形成する方法であって、
    ルテニウム、タングステン、またはコバルトのうちの1つまたは複数を含む第1のドーパント量を有するドープされた窒化タンタル層を基板上に形成することと、
    前記ドープされた窒化タンタル層を、ヘリウムまたはネオンのうちの1つまたは複数を含むプラズマに露出させて、前記第1のドーパント量より小さい第2のドーパント量を有する処理済みのドープされた窒化タンタル層を形成することとを含む、方法。
  2. 前記第1のドーパント量が、前記ドープされた窒化タンタル層の0.5~50質量%の範囲内である、請求項1に記載の方法。
  3. 前記第2のドーパント量が、前記第1のドーパント量から減少されており、前記処理済みのドープされた窒化タンタル層の0.05~20質量%の範囲内である、請求項2に記載の方法。
  4. 前記ドープされた窒化タンタル層を形成することが、原子層堆積によって窒化タンタルおよびドーパント含有層の積層を形成し、次いで前記積層をアニーリングすることを含む、請求項1に記載の方法。
  5. 前記ドープされた窒化タンタル層が、1200μΩ・cmより大きい抵抗を有する、請求項1に記載の方法。
  6. 前記処理済みのドープされた窒化タンタル層が、600μΩ・cmより小さい抵抗を有する、請求項1に記載の方法。
  7. 前記ドープされた窒化タンタルが、原子ベースで0.8%より大きい炭素含量を有する、請求項1に記載の方法。
  8. 前記処理済みのドープされた窒化タンタル層が、原子ベースで0.6%より小さい炭素含量を有する、請求項7に記載の方法。
  9. 前記プラズマが誘導結合プラズマであり、ICPコイルによって生成される、請求項1に記載の方法。
  10. 前記ICPコイルによって生成される前記プラズマが、2MHzの周波数を有する、請求項9に記載の方法。
  11. 指向性プラズマを生じるように、前記基板にバイアスがかけられる、請求項1に記載の方法。
  12. 前記処理済みのドープされた窒化タンタル層上に金属コンタクトを堆積させることをさらに含む、請求項1に記載の方法。
  13. 前記金属コンタクトが、銅、コバルト、またはマンガン、タングステン、もしくはアルミニウムのうちの1つもしくは複数でドープされた銅のうちの1つまたは複数を含む、請求項12に記載の方法。
  14. 前記基板が、構造が形成された表面を有し、前記構造が、ある距離だけ前記基板内へ延び、側壁および底部を有し、前記底部が銅層を含む、請求項1に記載の方法。
  15. 前記構造の前記側壁が低誘電率誘電体材料を含む、請求項14に記載の方法。
  16. 前記低誘電率誘電体材料が、フッ素でドープされた酸化ケイ素、有機ケイ酸塩ガラス、または多孔性二酸化ケイ素のうちの1つまたは複数を含む、請求項15に記載の方法。
  17. 前記ドープされた窒化タンタル層が、前記構造の前記側壁および底部に形成された共形の膜である、請求項16に記載の方法。
  18. 指向性プラズマを形成するように、前記基板にバイアスがかけられ、前記指向性プラズマが、前記構造の前記底部の前記窒化タンタルから前記ドーパントを除去し、前記側壁の前記窒化タンタルの実質的にすべての前記ドーパントを残す、請求項17に記載の方法。
  19. 中央移送ステーションの周りの1つまたは複数のプロセスチャンバであって、ドープされた窒化タンタル膜を堆積させ、前記ドープされた窒化タンタル膜をアニーリングし、前記アニーリング済みのドープされた窒化タンタル膜を、ヘリウムまたはネオンのうちの1つまたは複数を含むプラズマに露出させるように構成された1つまたは複数のプロセスチャンバと、
    前記1つまたは複数のプロセスチャンバおよび中央移送ステーションに接続された少なくとも1つのコントローラであって、窒化タンタル膜を堆積させるための構成、ドーパント含有膜を堆積させるための構成、基板をアニーリングするための構成、前記1つまたは複数のプロセスチャンバと中央移送ステーションとの間で前記基板を動かすための構成、および前記基板をネオンプラズマに露出させるための構成から選択された1つまたは複数の構成を有する少なくとも1つのコントローラと
    を備えるクラスタツール。
  20. 命令を含む非一時的コンピュータ可読媒体であって、前記命令が、処理チャンバのコントローラによって実行されたとき、
    窒化タンタル膜を基板上に堆積させる動作と、
    ドーパント含有膜を前記基板上に堆積させる動作と、
    前記基板をアニーリングする動作と、
    前記基板をネオンプラズマに露出させる動作とを、前記処理チャンバに実行させる、非一時的コンピュータ可読媒体。
JP2022542959A 2020-06-28 2021-06-28 ドープされたald窒化タンタルにおける不純物の除去 Active JP7476319B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/914,416 2020-06-28
US16/914,416 US11410881B2 (en) 2020-06-28 2020-06-28 Impurity removal in doped ALD tantalum nitride
PCT/US2021/039332 WO2022005948A1 (en) 2020-06-28 2021-06-28 Impurity removal in doped ald tantalum nitride

Publications (2)

Publication Number Publication Date
JP2023516856A true JP2023516856A (ja) 2023-04-21
JP7476319B2 JP7476319B2 (ja) 2024-04-30

Family

ID=79031417

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022542959A Active JP7476319B2 (ja) 2020-06-28 2021-06-28 ドープされたald窒化タンタルにおける不純物の除去

Country Status (6)

Country Link
US (2) US11410881B2 (ja)
JP (1) JP7476319B2 (ja)
KR (1) KR20230029578A (ja)
CN (1) CN115335980A (ja)
TW (1) TW202205529A (ja)
WO (1) WO2022005948A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024044149A1 (en) * 2022-08-25 2024-02-29 Applied Materials, Inc. Tantalum doped ruthenium layers for interconnects

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
JP2005158761A (ja) 2003-11-20 2005-06-16 Ulvac Japan Ltd 薄膜製造方法、半導体装置の製造方法、及び半導体装置
US8916232B2 (en) 2006-08-30 2014-12-23 Lam Research Corporation Method for barrier interface preparation of copper interconnect
US8026605B2 (en) 2006-12-14 2011-09-27 Lam Research Corporation Interconnect structure and method of manufacturing a damascene structure
JP5103914B2 (ja) 2007-01-31 2012-12-19 富士通セミコンダクター株式会社 半導体装置の製造方法及び半導体装置
TWI645511B (zh) * 2011-12-01 2018-12-21 美商應用材料股份有限公司 用於銅阻障層應用之摻雜的氮化鉭
KR102403706B1 (ko) 2013-09-27 2022-05-30 어플라이드 머티어리얼스, 인코포레이티드 심리스 코발트 갭-충전을 가능하게 하는 방법
US10847463B2 (en) 2017-08-22 2020-11-24 Applied Materials, Inc. Seed layers for copper interconnects
TW202000967A (zh) * 2018-06-17 2020-01-01 美商應用材料股份有限公司 屏障層的處理及摻雜

Also Published As

Publication number Publication date
US11410881B2 (en) 2022-08-09
JP7476319B2 (ja) 2024-04-30
US20210407853A1 (en) 2021-12-30
WO2022005948A1 (en) 2022-01-06
TW202205529A (zh) 2022-02-01
CN115335980A (zh) 2022-11-11
KR20230029578A (ko) 2023-03-03
US20220328348A1 (en) 2022-10-13

Similar Documents

Publication Publication Date Title
TWI520216B (zh) 以氨與三氟化氮蝕刻氧化物
JP4919871B2 (ja) エッチング方法、半導体装置の製造方法および記憶媒体
KR101739613B1 (ko) Cu 배선의 형성 방법
US9362166B2 (en) Method of forming copper wiring
US10504779B2 (en) Hydrogenation and nitridization processes for reducing oxygen content in a film
TWI801374B (zh) 阻障膜沉積及處理
US20190385908A1 (en) Treatment And Doping Of Barrier Layers
JP7476319B2 (ja) ドープされたald窒化タンタルにおける不純物の除去
JP6584326B2 (ja) Cu配線の製造方法
US20220364230A1 (en) Pulsing plasma treatment for film densification
TWI505360B (zh) 用於氟碳化物膜之金屬碳化物阻障層的形成方法
TW202225442A (zh) 間隙填充的非晶碳
WO2021046212A1 (en) Interconnection structure of selective deposition process
WO2015151733A1 (ja) 成膜方法、半導体装置製造方法及び半導体装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220909

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230912

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231002

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231227

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240318

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240417

R150 Certificate of patent or registration of utility model

Ref document number: 7476319

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150