TWI520216B - 以氨與三氟化氮蝕刻氧化物 - Google Patents

以氨與三氟化氮蝕刻氧化物 Download PDF

Info

Publication number
TWI520216B
TWI520216B TW102123037A TW102123037A TWI520216B TW I520216 B TWI520216 B TW I520216B TW 102123037 A TW102123037 A TW 102123037A TW 102123037 A TW102123037 A TW 102123037A TW I520216 B TWI520216 B TW I520216B
Authority
TW
Taiwan
Prior art keywords
oxide
substrate
gas
chamber
gas mixture
Prior art date
Application number
TW102123037A
Other languages
English (en)
Other versions
TW201342475A (zh
Inventor
阿卡法尼瑞薩
高建德
呂新亮
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201342475A publication Critical patent/TW201342475A/zh
Application granted granted Critical
Publication of TWI520216B publication Critical patent/TWI520216B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

以氨與三氟化氮蝕刻氧化物
本發明實施例大致係關於處理半導體基材的方法和設備。更加明確地,本發明實施例係關於在半導體製程中選擇性氧化物蝕刻的方法和設備。
在半導體製程中,氧化物製備是決定性的,特別是對於作為MOS(金屬氧化物半導體)技術之閘極結構的基本部分的薄氧化物而言。透過適當的製備控制,氧化物層可具有高的品質、穩定性和所欲之介電性能。為了獲得具有用於不同功能之不同特性的氧化物,在整合元件製造(IDM)中使用多種氧化物製備處理。熱氧化物和沉積氧化物最常用於半導體元件中。另外,處理期間可能產生天然的氧化物。不同氧化物亦可不同地回應後續之處理並且為了相同目的可能需要不同的處理。
在氧氣環境中透過高溫退火來熱生長熱氧化物。熱氧化物可用作多矽表面的介電材料、元件隔離、佈植遮罩、應力消除(襯墊-氧化物)、再氧化氮化物、以及光阻劑附著和應力降低。
藉由在腔室中使矽源與氧反應來製備沉積氧化矽。 亦可以通過諸如臭氧/原矽酸四乙酯(tetraethylorthosilicate,TEOS)化學作用或碳系化學作用的組合來沉積氧化物。沉積氧化物的示例可能是藉由獨特處理產生的高縱深比製程(high aspect ratio process,HARP)氧化物。HARP,也稱為次大氣壓化學氣相沉積(SACVD),是一種非電漿系化學氣相沉積(CVD)方法,其利用臭氧/TEOS化學作用在諸如淺溝槽隔離(STI)和前金屬介電質(pre-metal dielectric,PMD)的高縱深比間隙中沉積氧化物。
當將基材表面暴露給氧時通常會形成天然的氧化物。當在大氣條件下在處理室之間移動基材時,或當少量的氧殘餘在真空室中時,發生氧的暴露。亦可能由蝕刻期間的污染而造成天然的氧化物。天然的氧化物通常是不需要的,且在後續處理之前需要去除之。
在半導體製程期間,可用過量的材料來形成結構,且隨後將其蝕刻和/或研磨回到預期尺寸。對於氧化物特徵而言,為了達到預期尺寸,一般在其形成之後使用研磨和蝕刻。某些氧化物特徵可能具有不同地回應相同處理的兩種或多種氧化物,因此在處理中引起困難,特別是當特徵尺寸較小時。
淺溝槽隔離(shallow trench isolation,STI)是具有數種氧化物形式之氧化物結構的一種。STI是用於次0.25微米製程的元件隔離技術的主要形式。氧化物填充溝槽係用於隔離半導體基材上形成的元件。首先在半導體基材上蝕刻溝槽,隨後熱生長氧化物層。該高溫氧化物層的目的是適度地使轉角變圓,以便初期避免閘極介電質斷裂並且在CVD氧化 物沉積之後消除應力。熱氧化物層還鈍化矽表面,並且作為矽和沉積氧化物層之間的阻障層。隨後以高密度電漿(HDP)或HARP氧化物填充溝槽,研磨並回蝕。為了製備用於諸如多阱佈植、閘極氧化以及最終的多矽沉積和定形之後續處理的基材上溝槽和其他結構,在沉積之後可對氧化物填充溝槽執行化學機械研磨(CMP)處理。
濺鍍蝕刻處理和濕式蝕刻處理係用於STI蝕刻的常見氧化物蝕刻處理。然而,濺鍍蝕刻處理通常無法完全去除氧化物,且可能藉由物理轟擊而損傷精密的矽層。濕式蝕刻使用化學溶液(例如,氫氟酸(HF)和去離子水)來移除氧化物。然而,稀釋過的HF具有氧化物蝕刻速率不定的缺點。與非氮化氧化物相比,氮化氧化物的蝕刻非常慢。熱氧化物的蝕刻速率不同於沉積氧化物。另外,退火過之氧化物的蝕刻速率不同於沉積氧化物。這在處理流程中導致明顯的變異性和集成度問題。
舉例來說,在淺溝槽隔離中使用三種不同氧化物填充溝槽。為了在研磨和多種清洗之後保持氧化物的平面性,需要有以相同速率蝕刻所有氧化物的蝕刻化學作用。事實上,多種處理變異性導致明顯多餘的滲漏,其促成從源極到汲極的主要電流。這種多餘滲漏的一個示例是圍繞在SRI轉角附近的多矽罩層。通常在STI蝕刻/清洗之後,在用於填充溝槽的氧化物沉積之前生長高溫STI氧化物襯墊。在不同HF回蝕過程中,以不同的速率蝕刻溝槽中的不同氧化物。隨後,沉積多矽擠入氧化物中的過度蝕刻空洞內。圍繞在溝槽內部 的多矽罩層導致多餘滲漏和良率損失。
因此,需要可用相同速率蝕刻全部氧化物的設備和方法。
本發明大致上提供選擇性移除半導體基材上之多種氧化物的設備和方法。
本發明的一個實施例提供以所欲之移除速率來選擇性去除基材上之氧化物的方法,包括:將基材放置在真空室中,其中基材表面具有包括氧化物的結構;將基材冷卻到第一溫度;在真空室內產生蝕刻氣體混合物的活性物種,其中蝕刻氣體混合物包括第一氣體和第二氣體,且第一氣體和第二氣體的比值係由所欲之移除速率所確定;將基材表面上的結構暴露於活性物種以在結構上形成薄膜;加熱基材以蒸發形成於結構上之薄膜;並從真空室去除蒸發的薄膜。
本發明的另一個實施例提供處理基材的方法,該基材具有包括第一氧化物和第二氧化物的氧化物結構,該方法包括:將基材放置在真空室中;將基材冷卻到第一溫度;將蝕刻氣體混合物導入真空室中,其中為了以第一速率減少第一氧化物以及以第二速率減少第二氧化物而調整蝕刻氣體混合物;在真空室中產生蝕刻氣體混合物的電漿;將氧化物結構暴露給電漿以在結構上形成薄膜;加熱基材以蒸發形成於氧化物結構上之薄膜;以及從真空室去除蒸發的薄膜。
本發明的另一個實施例提供處理基材的方法,包括:將基材放置在真空室中,其中該基材具有包括第一氧化 物和第二氧化物的表面特徵;將蝕刻氣體混合物導入真空室中;由蝕刻氣體混合物產生活性物質;藉由將表面特徵暴露給蝕刻氣體混合物的電漿而至少部分地減少第一氧化物;以及藉由濕式蝕刻處理來減少第二氧化物。
1‧‧‧矽基底
2、406‧‧‧淺槽
3、570A‧‧‧源極
4、570B‧‧‧汲極
5‧‧‧多晶矽
6‧‧‧閘極氧化物層
7‧‧‧熱氧化物層
8‧‧‧沉積氧化物層
9‧‧‧間隙
10‧‧‧基材塊
100‧‧‧處理室
110、400、401、525‧‧‧基材
112‧‧‧室體
113‧‧‧通道
125‧‧‧真空泵
127‧‧‧節流閥
129‧‧‧泵送通道
131‧‧‧真空埠
133‧‧‧襯墊
135‧‧‧孔隙
140‧‧‧遠端電漿產生器
160‧‧‧狹縫閥開口
200‧‧‧蓋組件
210‧‧‧蓋邊緣
220‧‧‧氣體傳送組件
225‧‧‧氣體分佈板
225A‧‧‧開口
230‧‧‧區隔元件
240‧‧‧電極
250‧‧‧頂板
270‧‧‧加熱元件
300‧‧‧支撐組件
310‧‧‧支撐構件
314‧‧‧軸
320‧‧‧舉升環
325‧‧‧舉升銷
330‧‧‧舉升機構
333‧‧‧風箱
340‧‧‧電源
402‧‧‧阻擋氧化物層
403‧‧‧氮化物層
404‧‧‧光阻層
405‧‧‧溝槽圖案
407‧‧‧襯墊氧化物層
408‧‧‧氮化物襯墊
409‧‧‧溝槽氧化物
500‧‧‧MOSFET結構
545A、545B‧‧‧淺溝槽隔離
550‧‧‧薄閘極氧化物層
555‧‧‧閘極電極
560‧‧‧絕緣介電層
575‧‧‧氮化矽層
580‧‧‧間隔墊
585‧‧‧天然氧化矽層
590‧‧‧金屬層
595‧‧‧金屬矽化物
為了更加詳細理解本發明的上述特徵,可參照實施例(某些描述於附圖中)來理解本發明更明確的描述(概述於上)。然而,應當理解附圖僅示出了本發明的典型實施例,由於本發明可能允許其他等效實施例,因此不能認為附圖限制了本發明範圍。
第1圖概略性地示出了具有淺溝槽隔離形成於其中之基材塊的部分透視圖;第2圖概略性地示出了淺溝槽隔離的部分視圖;第3圖概略性地示出了根據本發明之一實施例的處理室的截面圖;第4A-4I圖是根據本發明一個實施例的用於形成淺溝槽隔離之製程順序的剖面示意圖;第5A-5H圖是用於形成隔離在STI中的電子元件之製程順序的剖面示意圖。
本發明係關於在半導體製程中選擇性氧化蝕刻的方法和設備。更加明確地,本發明提供使用蝕刻氣體混合物自基材表面選擇性地移除和/或均勻地移除一或多種氧化物的方法和設備。
第1圖概略性地示出了具有淺溝槽隔離形成於其中之基材塊10的部分透視圖。所示基材塊10僅是部分製備的且具有形成於矽基底1中的淺槽2。以氧化物填充淺槽2且其配置成隔離構建於其中的電子元件,在此情況下為電晶體。藉由佈植步驟在淺槽2中形成源極3和汲極4。多晶矽結構(通常稱為多晶矽)5係形成於源極3和汲極4之間。閘極氧化物層6係形成於矽基底1和多晶矽5之間。參考第4和5圖來討論詳細的製程順序。
第2圖概略性地示出基材塊10沿截線2-2的部分截面圖。第2圖示出多晶矽5與淺槽2相遇的位置。淺槽2係由熱氧化物層7和沉積氧化物層8所形成。藉由使用HF的技術濕式蝕刻執行前多晶矽蝕刻/清洗。由於HF以比沉積氧化物層8快的速率來蝕刻熱氧化物層7,所以在淺槽2中形成間隙9。後續的多晶矽沉積導致多晶矽5填充間隙9並且包裹源極3或汲極4,引起寄生接點(parasitic junction)或滲漏。
第3圖概略性地示出根據本發明一個實施例之處理室100的截面圖。在此實施例中,處理室100包括設置在室體112上端的蓋組件200和至少部分地設置在室體112中的支撐組件300。處理室亦包括具有U型截面之遠端電極的遠端電漿產生器140。室100以及相關之硬體較佳係由一或多種與處理相容之材料所形成,諸如,鋁、電鍍鋁、鍍鎳鋁、鍍鎳鋁6061-T6、不銹鋼,以及上述之組合物和合金。
支撐組件300係部分地設置在室體112中。通過由風箱(bellow)333封裝的軸314提高和降低支撐組件300。 室體112包括形成於其側壁中的狹縫閥開口160,以提供存取室100的內部。選擇性開啟和關閉狹縫閥開口160,以便允許晶圓處理機器手臂(未示出)存取室體112內部。晶圓處理機器手臂對於本領域技術人員是衆所周知的,並且可以使用任何合適的機器手臂。一實施例中,可透過狹縫閥開口160將晶圓傳送進出處理室100到臨近的傳輸室和/或負載鎖定室(未示出),或叢集工具中的其他室。示範的叢集工具包括(但不限制於)可從加州的Santa Clara的應用材料有限公司購得的PRODUCERTM、CENTURATM、ENDURATM和ENDURASLTM平台。
室體112亦包括形成於其中的通道113,用於在其中流通熱能傳輸流體。熱能傳輸流體可以是加熱流體或冷卻液,且用於在處理和晶片傳輸期間控制室體112的溫度。室體112的溫度對於防止氣體或副產品在室壁上的有害濃縮是重要的。示範性熱能傳輸流體包括水、乙二醇或它們的混合物。示範性熱能傳輸流體亦可包括氮氣。
室體112進一步包括襯墊133,其圍繞支撐組件300並且為了維護和清洗是可移動的。襯墊133較佳係由例如鋁的金屬或陶瓷材料所製成。然而,可以使用任何與處理相容之材料。為了增加沉積於其上之任何材料的附著,可噴砂處理襯墊133,藉此避免導致室100之污染的材料剝落。襯墊133通常包括一或多個孔隙135和形成於其中之泵送通道129(流體交流於真空系統)。孔隙135提供氣體進入泵送通道129的流動路徑,而泵送通道提供通過襯墊133的流動路徑, 以便氣體可以離開室100。
真空系統可能包括真空泵125和節流閥127,以用於調節室100內的氣體流動。真空泵125連接到設置在室體112上的真空埠131,並且流體連通於襯墊133中形成的泵送通道129。為了調節室100內的氣體流動,可通過節流閥127選擇性隔離真空泵125和室體112。可交替地使用術語「氣體」和「多種氣體」,除非另外指出,且這是指一或多種前驅物、反應物、催化劑、載體、淨化、清洗、它們的組合,以及任何導入室體112中的其他流體。
蓋組件200包括堆疊在一起的多個部件。例如,蓋組件200包括蓋邊緣210、氣體傳送組件220和頂板250。蓋邊緣210係設計成支撐構成蓋組件200之部件重量,並耦接到室體112的上表面,以提供對內部室部件的存取。氣體傳送組件220係耦接到蓋邊緣210的上表面,並佈置成使其與蓋邊緣的熱接觸達到最小。蓋組件200的部件較佳係由具有高熱導率和低熱阻的材料所製成,諸如具有高光滑度表面的鋁合金。元件的熱阻較佳係小於約5x10-4 m2 K/W。
氣體傳送組件220可包括氣體分佈板225或噴頭。通常係用氣體供應面板(未示出)向室100提供一或多種氣體。取決於將要在室100內執行的處理而使用特定的氣體或數種氣體。例如,典型氣體包括一或多種前驅物、反應物、催化劑、載體、淨化物、清洗物、或它們的任意混合物或組合物。通常,使導入室100的一或多種氣體進入到蓋組件200並隨後通過氣體傳送組件220進入室體112。電控閥門和/或 流動控制機構(未示出)可用來控制從氣體源到室100中的氣體流動。
一態樣中,將氣體從氣體供應面板傳送到室100,其中氣體線路分成兩個隔開的氣體線路,其如上述般提供氣體給室體112。取決於處理,可以用該方式傳送多種氣體,並且可在室100中或在將它們傳送到室100之前混合它們。
仍然參考第3圖,蓋組件200可能進一步包括電極240,用以在蓋組件200內產生反應物種的電漿。此實施例中,將電極240支撐在頂板250上並與其電隔離。將隔離體填充環設置在電極240的底部附近,使電極240與頂板250分離。將環形隔離體(未示出)設置在隔離體填充環的上部附近並坐落在頂板250上表面上,如第3圖所示。接著將環形隔離體(未示出)設置在電極240的上部附近,以便讓電極240與蓋組件200的其他部件電性隔離。各個這些環、隔離體填充件和環形隔離體可以由氧化鋁或任何其他與處理相容之絕緣材料製成。
電極240耦接到電源340,同時氣體傳送組件220係接地。因此,在電極240和氣體傳送組件220之間形成的空間內引燃一或多種處理氣體的電漿。電漿亦可容納於區隔板形成的空間中。在缺少區隔板組件的情況下,將電漿引燃並容納於電極240和氣體傳送組件220之間。各個實施例中,將電漿充分地限制或容納於蓋組件200內。
可使用能夠將氣體活化成反應物種並保持反應物種之電漿的任何電源。例如,可使用射頻(RF)、直流電流(DC)、 交流電流(AC)或微波(MW)系功率放電技術。還可由熱系技術、氣體擊穿技術、高強度光源(例如,UV能量)、或暴露於x-射線源來產生活化。或者,可使用例如遠端電漿產生器的遠端活化源,來產生隨後將傳送到室100中之反應物種電漿。示範性遠端電漿產生器可由諸如MKS Instruments公司和Advanced Energy Industries公司的製造商提供。RF電源較佳係耦接至電極240。
取決於處理氣體和將要在室100內執行的操作,可加熱氣體傳送組件220。一實施例中,例如電阻加熱器的加熱元件270係耦接至氣體傳送組件220。一實施例中,加熱元件270是管狀構件並且將其壓入氣體傳送組件220的上表面。氣體傳送組件220上表面包括具有略小於加熱元件270之外部直徑的寬度之溝槽或凹陷通道,以便使用干涉配合(interference fit)將加熱元件270保持在溝槽中。
由於傳送組件220的每個部件(包括氣體傳送組件220和區隔元件230)是彼此導電耦接的,所以加熱元件270可調節氣體傳送組件220的溫度。可以在2005年2月22日提交的美國專利申請No.11/063,645中獲得處理室的額外細節,這裏將其作為參考文獻。
對於執行需要不破壞真空而加熱和冷卻基材表面的電漿輔助乾式蝕刻處理而言,處理室100是特別有用的。一實施例中,處理室100可用來選擇性去除基材上的一或多種氧化物。
為了描述的簡化和方便,現在將描述在處理室100 中執行的示範性乾式蝕刻處理,其使用氨氣(NH3)和三氟化氮(NF3)氣體混合物來去除一或多種矽氧化物。相信除了全部在單一處理環境內的基材加熱和冷卻之外,對於可從電漿處理受益的任何乾式蝕刻處理(包括退火處理)而言,處理室100是有利的。
參照第3圖,乾式蝕刻處理開始於將例如半導體基材的基材110放入處理室100中。通常係通過狹縫閥開口160將基材放入室體112中並設置在支撐構件310的上表面上。可將基材110夾持到支撐構件310的上表面。較佳係透過抽取真空將基材110夾持到支撐構件310的上表面。隨後,如果其還沒有處於處理位置,將支撐構件310升至室體112內的處理位置。較佳係將室體112保持在50℃和80℃之間的溫度,更佳係在大約65℃。藉由使熱能傳送介質流過通道113而保持室體112的溫度。
透過將熱能傳送介質或冷卻劑流過形成於支撐組件300中的通道,而將基材110冷卻至65℃下,例如15℃和50℃之間。一實施例中,將基材保持在室溫以下。另一實施例中,將基材保持在22℃和40℃之間的溫度下。通常,為了達到上述指定的預期基材溫度,將支撐構件310保持在大約22℃之下。為了冷卻支撐構件310,使冷卻劑流過形成於支撐組件300中的流體通道。為了更好地控制支撐構件310的溫度,較佳係使用連續流動的冷卻劑。冷卻劑較佳係50%體積百分比的乙二醇和50%體積百分比的水。當然,只要保持基材的預期溫度,可以使用任何比值的水和乙二醇。
為了選擇性去除基材110表面上的多種氧化物,將蝕刻氣體混合物導入室100。一實施例中,接著將氨氣和三氟化氮氣體導入室100中以形成蝕刻氣體混合物。導入室中之每種氣體的量是可變的並且可進行調整,以便適應,例如,將要去除之氧化物層厚度、將要清洗之基材幾何形狀、電漿的體積容量、室體112的體積容量、以及耦接至室體112的真空系統能力。
為了選擇性去除基材表面上的多種氧化物,可預先確定蝕刻氣體混合物的比值。一實施例中,可調節蝕刻氣體混合物中的成分比例以均勻地去除諸如熱氧化物、沉積氧化物和/或天然氧化物的多種氧化物。一實施例中,可設定蝕刻氣體混合物中之氨氣與三氟化氮的莫耳比值(molar ratio)以均勻地去除多種氧化物。一態樣中,添加氣體好提供氨氣與三氟化氮的莫耳比值至少1:1之氣體混合物。另一態樣中,氣體混合物的莫耳比值至少是約3:1(氨氣比三氟化氮)。較佳地將莫耳比值(氨氣比三氟化氮)位於5:1到30:1之間的氣體導入室100中。氣體混合物的莫耳比值(氨氣比三氟化氮)更佳為約5:1到約10:1之間。氣體混合物的莫耳比值(氨氣比三氟化氮)亦可落於約10:1和約20:1之間。
亦可將淨化氣體或載氣加入蝕刻氣體混合物。可以使用任何合適的淨化/載氣,諸如,氬氣、氦氣、氫氣、氮氣或它們的混合物。通常,整個蝕刻氣體混合物大約0.05%到20%體積百分比為氨氣和三氟化氮。其餘為載氣。一實施例中,為了穩定室體112內的壓力,在反應氣體前先將淨化或 載氣導入室體112中。
室體112中的操作壓力是可變的。通常,將壓力保持在約500 mTorr和約30 Torr之間。較佳地將壓力保持在約1Torr和約10 Torr之間。更佳係將室體112中的操作壓力保持在約3Torr和約6Torr之間。
為了在容納於氣體傳送組件220中之空間261、262和263內引燃氣體混合物的電漿,對電極240應用約5到約600瓦的RF功率。RF功率較佳係小於100瓦。更加優選地,施加功率的頻率是非常低的,例如小於100 kHz。頻率範圍較佳係為約50 kHz到約90 kHz之間。
電漿能量將氨氣和三氟化氮分解為反應物種,其化合成氣相的高反應性氟化銨(NH4F)化合物和/或氫氟化銨(NH4F.HF)化合物。這些分子隨後通過氣體分佈板225的開口225A流過氣體傳送組件220,以便與將要處理的基材表面反應。一實施例中,首先將載氣導入室100中,產生載氣的電漿,並且隨後將反應氣體,即氨氣和三氟化氮加入電漿。
不希望受理論限制,發明人相信,蝕刻氣體,NH4F和/或NH4F.HF與氧化矽表面反應,以形成六氟矽酸銨(NH4)2SiF6、NH3和H2O產物。在處理條件下NH3和H2O是蒸汽,可透過真空泵125將它們從室100中去除。特別地,在氣體通過真空埠131脫離室100進入真空泵125之前,揮發性氣體流過形成於襯墊133中之孔隙135而進入泵送通道129。在基材表面留下(NH4)2SiF6薄膜。可以如下概述該反應機制: NF3+3NH3 → NH4F+NH4F.HF+N2 6NH4F+SiO2 → (NH4)2SiF6+2H2O+4NH3 (NH4)2SiF6+熱量 → 2NH3+2HF+SiF4
在基材表面上形成薄膜之後,可將支撐構件310提升到緊靠加熱之氣體分佈板225的退火位置。從氣體分佈板225輻射的熱量可使(NH4)2SiF6薄膜分解或昇華為揮發性SiF4、NH3和HF產物。如上所述,接著可透過真空泵125將這些揮發性產物從室100中去除。通常,使用75℃或更高的溫度好從基材110有效地昇華和去除薄膜。較佳係使用100℃或更高的溫度,例如約115℃和約200℃之間。
氣體分佈板225對流傳送或輻射熱能好將(NH4)2SiF6薄膜分裂成其揮發性成分。如上所述,將加熱元件270直接耦接到分佈板225,並且使其工作,以便將分佈板225及與其熱接觸的元件加熱至約75℃和250℃之間的溫度。一態樣中,將分佈板225加熱至100℃和150℃之間的溫度,例如約120℃。
可以多種方式實現該提升變化。例如,舉升機構330可以提高支撐構件310朝向分佈板225的下表面。在此舉升步驟期間,將基材110固定至支撐構件310,例如通過如上所述的真空夾持或電夾持。或者,可以透過經由舉升環320來提高舉升銷325,將基材110自支撐構件310舉起並且置於緊靠加熱之分佈板225的位置。
其上具有薄膜之基材110上表面與分佈板225之間的距離不是決定性的,而是例行實驗的結果。本領域技術人 員可以輕易地確定可快速且有效地蒸發薄膜且不損傷底層基材所需的間隔。然而,發明人相信,約0.254 mm(10微英寸)和5.08 mm(200微英寸)之間的間隔是有效的。
一旦已經將薄膜從基材上去除,便清洗並排空處理室100。隨後透過將基材支架300降低到傳輸位置、鬆持基材、以及通過狹縫閥開口160傳輸基材,而將處理的基材移出室體112。
本發明的一個實施例可用來在淺溝槽隔離製程期間均勻地去除多種氧化物。STI是用於次0.25微米製程之元件隔離技術的主要形式。STI製程通常包括溝槽遮罩和蝕刻、側壁氧化、溝槽填充和平整化。第4A-4I圖是根據本發明一實施例用於形成淺溝槽隔離之製程順序的截面示意圖。
第4A圖示出形成阻擋氧化物層402和沉積氮化物層403之後的半導體基材401。基材401可能是具有<100>晶體方向且直徑為150 mm(6英寸)、200 mm(8英寸)或300 mm(12英寸)的矽基材。可在高溫氧化爐中在基材401上生長阻擋氧化物層402。阻擋氧化物層402的厚度約為150 Å。在後續的氮化物剝離步驟期間,阻擋氧化物層402可保護基材401免受污染。可在高溫低壓化學氣相沉積(LPCVD)爐中形成氮化物層403。氮化物層403一般是氨氣和二氯矽烷氣體反應所形成之氮化矽(Si3N4)的薄膜。氮化物層403是耐用的遮罩材料,其在氧化物沉積期間保護基材401並且在後續化學機械研磨(CMP)期間作為研磨終止材料。
第4B圖示出在氮化物層403上形成、曝光和顯影的 光阻層404。可在光阻層404上形成溝槽圖案。後續的氮化物蝕刻和氧化物蝕刻步驟可在氮化物層403和阻擋層402中形成暴露基材401中指定為隔離區域之位置的溝槽圖案405。
第4C圖示出使用例如亁式電漿蝕刻的蝕刻處理在基材401中形成淺槽406。淺槽406稍後將以介電材料填充之且其將作為在基材401上構建之的電子元件(例如,基材上金屬場效電晶體(MOSFET))間的隔離材料。
第4D圖示出在淺槽406內部形成的襯墊氧化物層407。通常係在高溫氧化爐中生長襯墊氧化物層407。襯墊氧化物層407的目的是改善基材401和將要填充之溝槽氧化物之間的介面。
第4E圖示出在淺槽406內部之襯墊氧化物層407上形成的氮化物襯墊408。可透過電漿輔助化學氣相沉積(PECVD)處理從載氣(諸如,氮氣或氬氣)中的矽烷和氨氣形成氮化物襯墊408。氮化物襯墊408的目的是在淺槽406中引導應力並避免受應力之氧化物引起的機械失效。
第4F圖示出填充在淺槽406和溝槽圖案405內部的溝槽氧化物409。通常係透過CVD處理以相對高的沉積速率來形成溝槽氧化物409。過度填充溝槽氧化物409,以致溝槽氧化物409高於基材401之頂表面。
為了獲得如第4G圖所示的平坦表面,可應用CMP處理。CMP處理可從溝槽氧化物409去除過量的氧化物。
為了去除氮化物層402及暴露多種氧化物、阻擋層402的熱氧化物、溝槽氧化物409的沉積氧化物、襯墊氧化物 層407的熱氧化物和氮化物襯墊408的氮化氧化物,可執行氮化物剝離步驟,如圖4H所示。
通常,將執行氧化物蝕刻步驟,以便使淺槽結構可用於後續處理步驟,例如多個阱佈植。第4I圖示出在亁式蝕刻處理之後的STI。本發明的乾式蝕刻處理可用於蝕刻第4H圖中暴露的多種氧化物,以便在淺槽409上獲得大致上平坦的頂表面並避免不欲期之接合或滲漏。一實施例中,可在與本發明的處理室100相似的處理室中執行亁式蝕刻處理。可將基材400放置在真空處理室內並將其保持在50℃和80℃之間的溫度下,更佳係約65℃下。隨後將基材冷卻到65℃以下,例如15℃和50℃之間。為了去除基材400表面上的多種氧化物,將蝕刻氣體混合物導入處理室100。一實施例中,將包含氨氣和三氟化氮氣體的蝕刻氣體混合物導入處理室中。為了適應,例如,將要去除之氧化物層的厚度、基材400的幾何結構、電漿的體積容量、室的體積容量、真空系統的能力、以及基材400上不同氧化物的特性,需調整氨氣和三氟化氮的量和比值。亦可將淨化氣體或載氣加入蝕刻氣體混合物。接著引燃蝕刻氣體混合物的電漿。電漿與氧化物反應而在基材400上留下一層薄膜。接著將基材400加熱到高於75℃的溫度,特別是約115℃和約200℃之間的溫度,以便昇華薄膜。接著可淨化和排空處理室。由此使基材400可用於後續步驟。
上述蝕刻處理可用於半導體製備期間的多種蝕刻步驟,特別是在將至少部分去除一或多種氧化物的步驟中。例 如,佈植和沉積之前的多種回蝕可使用上述之蝕刻處理。
第5A-5H圖是用於形成例如MOSFET結構500之電子元件的製程順序之截面示意圖,包括此處描述之亁式蝕刻處理和處理室100。
參考第5A-5H圖,可在例如矽或砷化鍺基材525的半導體材料上形成示範性MOSFET。基材525較佳係是具有<100>晶體方向且直徑為150 mm(6英寸)、200 mm(8英寸)、或300 mm(12英寸)的矽晶片。通常,MOSFET結構包括以下的組合:(i)介電層,諸如二氧化矽、有機矽、碳摻雜氧化矽、磷矽酸玻璃(PSG)、硼磷矽酸玻璃(BPSG)、氮化矽、或它們的組合;(ii)半導體層,諸如摻雜多晶矽、n-型或p-型摻雜單晶矽;以及(iii)從諸如鎢、矽化鎢、鈦、矽化鈦、矽化鈷、矽化鎳、或它們的組合的金屬層或金屬矽化物層形成的電觸點和互聯線路。
參考第5A圖,主動電子元件的製備開始於形成可使主動電子元件與其他元件電性隔離之電隔離結構。存在幾種類型的電隔離結構,諸如場氧化物阻擋、或淺溝槽隔離。此情況中,淺溝槽隔離545A和545B圍繞其中形成並準備元件的電子主動元件之暴露區域。STI可包括如第4A-I圖所述的兩種或多種氧化物。為了形成厚度約50到300埃的薄閘極氧化物層550,而熱氧化暴露區域。接著沉積、構圖並蝕刻多矽層,以便形成閘極電極555。為了形成絕緣介電層560,可以再氧化多晶矽閘極電極555的表面,以提供第5A圖所示結構。
參考第5B圖,接下來透過以適當之摻雜原子來摻雜合適區域好形成源極570A和汲極570B。例如,對於p-型基材525,使用包含砷或磷的n-型摻雜物種。通常,摻雜係通過離子佈植器加以執行,並且可包括,例如,濃度為約1013原子/cm2且能級約30到80 Kev的磷(31P)、或劑量約1015到1017原子/cm2且能級約10到100 Kev的砷(75As)。在佈植處理之後,通過加熱基材,例如,在快速熱處理(RTP)設備中,促使摻雜物進入基材525。此後,透過如上所述的亁式蝕刻處理剝離覆蓋源極570A和汲極570B區域的薄閘極氧化物層550,以便去除由佈植處理導致薄閘極氧化物層550中捕獲的任何雜質。亦可蝕刻淺溝槽隔離545A和545B中的兩種或多種氧化物。為了適應不同氧化物所需的多種蝕刻速率,可調節蝕刻氣體混合物。
參考第5C和第5D圖,通過低壓化學氣相沉積(LPCVD)使用SiH2、Cl2和NH3的氣體混合物在閘極電極555上和基材525表面上沉積氮化矽層575。如第5D圖所示,為了在閘極電極側壁上形成氮化物間隔墊580,隨後使用反應離子蝕刻(RIE)技術來蝕刻氮化矽層575。間隔墊580將閘極電極555頂表面上形成的矽化物層與源極570A和汲極570B上沉積的其他矽化物層電性隔離。應該注意的是,可以由例如氧化矽的其他材料製備電性隔離側壁間隔墊580。通常係透過CVD或PECVD從四乙氧矽烷(TEOS)的原料氣在約600℃到約1,000℃範圍內的溫度下沉積用於形成側壁間隔墊580之氧化矽層。雖然,示出的是在佈植和RTP活化之後形 成間隔墊580,但是可在源極/汲極佈植和RTP活化之前形成間隔墊580。
參考第5E圖,通常係透過在處理之前和之後暴露於大氣中,而在暴露的矽表面形成天然氧化矽層585。為了改進所形成之金屬矽化物的合金化反應和電導率,必須在形成閘極電極555、源極570A和汲極570B上之導電金屬矽化物觸點前去除天然氧化矽層585。天然氧化矽層585可增加半導體材料的電阻,且不良地影響接下來沉積之矽和金屬層的矽化反應。因此,必須在形成用於連接主動電子元件之金屬矽化物觸點或導體前使用所述之亁式蝕刻處理去除該天然氧化矽層585。上述之乾式蝕刻處理可用於去除天然氧化矽層585,以便暴露源極570A、汲極570B和閘極電極555的頂表面,如第5F圖所示。淺溝槽隔離545A和545B中的氧化物同樣暴露於乾式蝕刻處理。為了在不同表面獲得均勻去除速率,可對乾式蝕刻處理進行適當調整,例如反應氣體比值。
此後,如第5G圖所示,為了沉積金屬層590,使用物理氣相沉積(PVD)或濺鍍處理。隨後,為了在金屬層590與矽接觸的區域中形成金屬矽化物,使用傳統爐內退火來退火金屬和矽層。通常在分離的處理系統中執行退火。因此,可在金屬590上沉積保護蓋層(未示出)。蓋層通常是氮化物材料且可能包括由氮化鈦、氮化鎢、氮化鉭、氮化鎳和氮化矽構成的群組中選擇的一或多種材料。蓋層可藉由任何沉積處理加以沉積,較佳係PVD沉積。
退火通常包括在氮氣環境中將MOSFET結構500加 熱至600℃和800℃之間的溫度下約30分鐘。或者,可使用將MOSFET結構500快速加熱到約1000℃約30秒的快速熱退火處理來形成金屬矽化物595。合適的導電金屬包括鈷、鈦、鎳、鎢、鉑和具有低接觸電阻且可以在多矽和單晶矽上形成可靠金屬矽化物觸點的任何其他金屬。
可以透過使用不侵襲金屬矽化物595、隔板580或場氧化物545A、B而去除金屬的王水(HCl和HNO3)的濕式蝕刻去除金屬層590的未反應部分,由此在閘極電極555、源極570A和汲極570B上留下自准直金屬矽化物595,如第5H圖所示。此後,可以在電極結構上沉積包括例如氧化矽、BPSG或PSG的絕緣罩層。可以透過在CVD室中的化學氣相沉積沉積絕緣罩層,其中來自原料氣之材料在低壓或常壓下濃縮,例如,如1996年3月19日授權的共同受讓美國專利No.5,500,249所描述的,這裏將其作為參考文獻。此後,為了形成光滑平坦表面,在玻璃轉變溫度下退火MOSFET結構500。
雖然已經針對MOSFET元件的形成描述了上述處理順序,此處描述的乾式蝕刻處理亦可用於形成需要去除多種氧化物的其他半導體結構和元件。還可以在沉積包括例如鋁、銅、鈷、鎳、矽、鈦、鈀、鉿、硼、鎢、鉭或它們之組合的不同金屬層的沉積之前使用乾式蝕刻處理。
一實施例中,本發明的乾式蝕刻處理可能與濕式蝕刻處理相結合。例如,對於具有至少兩種氧化物的氧化物結構,乾式蝕刻處理可能用於選擇性去除第一氧化物、相對第二氧化物完全地或部分地減少第一氧化物特徵。濕式HF蝕刻 處理可接著用於去除第二氧化物。
為了提供前述描述的更好理解,給出下列非限制性實例。雖然實例可能導向特定實施例,但不能認為實例在任何特定方面限制了本發明。
實例:
在蝕刻期間,將2 sccm的NF3、10 sccm的NH3和2,500 sccm的氬氣之氣體混合物導入例如加工室100的真空室內。使用100瓦的功率來引燃氣體混合物的電漿。底部淨化劑是1,500 sccm的氬氣而邊部淨化劑是50 sccm的氬氣。室壓保持在大約6 Torr,基材溫度為大約22℃。將基材蝕刻120秒。
在退火期間,間隔是750微英寸,蓋溫度為120℃。將基材退火大約60秒。從基材表面去除大約50埃的材料。沒有觀察到退火影響。蝕刻速率是大約0.46埃/秒(28 Å/min)。對於50 Å蝕刻,觀察到的蝕刻均勻度是大約5%。
除非另外指出,否則在說明書和申請專利範圍中用來表示成分質量、性能、反應條件等等的所有數字應該理解為近似值。這些近似值是基於由本發明獲得的預期性能和測量誤差,並且至少應該考慮報道的有效數字位元數並應用常規舍入技術來分析。另外,可以進一步優化這裏表示的任何數量,包括溫度、壓力、物種、莫耳比值、流速等等,以便獲得預期的蝕刻選擇性和顆粒性能。
雖然前面描述指向於本發明的實施例,但在不偏離 其基本範圍條件下可能設計出本發明的其他和額外實施例,因此其範圍係由申請專利範圍所確定。
100‧‧‧處理室
110‧‧‧基材
112‧‧‧室體
113‧‧‧通道
125‧‧‧真空泵
127‧‧‧節流閥
129‧‧‧泵送通道
131‧‧‧真空埠
133‧‧‧襯墊
135‧‧‧孔隙
140‧‧‧遠端電漿產生器
160‧‧‧狹縫閥開口
200‧‧‧蓋組件
210‧‧‧蓋邊緣
220‧‧‧氣體傳送組件
225‧‧‧氣體分佈板
225A‧‧‧開口
240‧‧‧電極
250‧‧‧頂板
270‧‧‧加熱元件
300‧‧‧支撐組件
310‧‧‧支撐構件
314‧‧‧軸
333‧‧‧風箱
340‧‧‧電源

Claims (18)

  1. 一種選擇性去除一基材上之氧化物的方法,包括:將一基材置於一真空腔室中,該基材具有一結構形成於該基材上,且該結構包括氧化物;冷卻該基材至一第一溫度;在該真空腔室中引燃一蝕刻氣體混合物之一電漿以產生一活性物種,該活性物種流動至該基材上,以在該結構上形成一薄膜,其中該蝕刻氣體混合物包括一第一氣體與一第二氣體;加熱該基材至一第二溫度以昇華形成於該結構上的該薄膜,該第二溫度大於該第一溫度。
  2. 如請求項1所述之方法,其中該基材的冷卻與加熱步驟在該腔室中執行。
  3. 如請求項1所述之方法,其中該第一氣體與該第二氣體的一比例是由一期望去除速率所確定。
  4. 如請求項1所述之方法,其中該活性物種包括氮氣與氟氣,且氮氣與氟氣的一比例是由一期望去除速率所確定。
  5. 如請求項4所述之方法,其中該氧化物包括一第一氧化物與一第二氧化物。
  6. 如請求項5所述之方法,其中該活性物種包括氮氣與氟氣,且氮氣與氟氣的一比例是由該第一氧化物的一期望去除速率所確定。
  7. 如請求項6所述之方法,其中該蝕刻氣體混合物去除該第二氧化物的一去除速率不同於該第一氧化物的該期望去除速率。
  8. 如請求項6所述之方法,其中該蝕刻氣體混合物去除該第二氧化物的一去除速率等同於該期望去除速率。
  9. 如請求項1所述之方法,其中該第一氣體是氨(NH3)而該第二氣體是三氟化氮(NF3),且該基材的冷卻與加熱步驟在該腔室中執行。
  10. 如請求項9所述之方法,其中氨與三氟化氮的該比例是至少3:1。
  11. 如請求項9所述之方法,其中氨與三氟化氮的該比例是在10:1至20:1之間。
  12. 一種處理一具有氧化物結構之基材的方法,其中該氧化物結構包括一第一氧化物和一第二氧化物,而該方法包括:將該基材置於一腔室中; 冷卻該基材至一第一溫度;將一蝕刻氣體混合物導入該腔室中,其中該蝕刻氣體混合物經調節好以一第一速率減少該第一氧化物以及以一第二速率減少該第二氧化物;在該腔室內產生該蝕刻氣體混合物之一電漿;將該氧化物結構暴露於該電漿以在該結構上形成一薄膜;以及加熱該基材至一第二溫度以昇華形成於該氧化物結構上之該薄膜。
  13. 如請求項12所述之方法,其中該基材的冷卻、暴露與加熱步驟在該腔室中執行。
  14. 如請求項12所述之方法,其中該第一氧化物是一熱生長氧化物而該第二氧化物是一沉積之氧化物。
  15. 如請求項12所述之方法,其中該氧化物結構更包括一自然氧化物。
  16. 如請求項12所述之方法,其中該第一速率等同於該第二速率。
  17. 如請求項12所述之方法,其中該蝕刻氣體混合物包括氨氣(NH3)和三氟化氮(NF3)。
  18. 如請求項17所述之方法,其中氨和三氟化氮的一莫耳比值(molar ratio)至少為3:1。
TW102123037A 2007-01-11 2008-01-10 以氨與三氟化氮蝕刻氧化物 TWI520216B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/622,437 US20070123051A1 (en) 2004-02-26 2007-01-11 Oxide etch with nh4-nf3 chemistry

Publications (2)

Publication Number Publication Date
TW201342475A TW201342475A (zh) 2013-10-16
TWI520216B true TWI520216B (zh) 2016-02-01

Family

ID=39357957

Family Applications (2)

Application Number Title Priority Date Filing Date
TW097101059A TWI402914B (zh) 2007-01-11 2008-01-10 以氨與三氟化氮蝕刻氧化物
TW102123037A TWI520216B (zh) 2007-01-11 2008-01-10 以氨與三氟化氮蝕刻氧化物

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW097101059A TWI402914B (zh) 2007-01-11 2008-01-10 以氨與三氟化氮蝕刻氧化物

Country Status (6)

Country Link
US (2) US20070123051A1 (zh)
EP (1) EP1944796A3 (zh)
JP (1) JP4995102B2 (zh)
KR (1) KR100931765B1 (zh)
CN (1) CN101231951B (zh)
TW (2) TWI402914B (zh)

Families Citing this family (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20100151677A1 (en) * 2007-04-12 2010-06-17 Freescale Semiconductor, Inc. Etch method in the manufacture of a semiconductor device
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US7989329B2 (en) * 2007-12-21 2011-08-02 Applied Materials, Inc. Removal of surface dopants from a substrate
US20090191703A1 (en) * 2008-01-29 2009-07-30 Applied Materials, Inc. Process with saturation at low etch amount for high contact bottom cleaning efficiency for chemical dry clean process
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
CN101740338B (zh) * 2008-11-24 2012-07-18 中芯国际集成电路制造(北京)有限公司 薄膜去除方法
US7994002B2 (en) 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US9159808B2 (en) 2009-01-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etch-back process for semiconductor devices
US8975753B2 (en) 2009-04-03 2015-03-10 Research Triangle Institute Three dimensional interconnect structure and method thereof
US7972966B2 (en) * 2009-05-19 2011-07-05 International Business Machines Corporation Etching of tungsten selective to titanium nitride
KR101040941B1 (ko) * 2009-08-17 2011-06-16 주성엔지니어링(주) 기판처리장치 및 방법
CN101996901B (zh) * 2009-08-26 2013-01-30 中芯国际集成电路制造(上海)有限公司 铝垫的制作方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
IT1401385B1 (it) * 2010-08-06 2013-07-18 Toncelli Pressa per la vibrocompressione sottovuoto di lastre o blocchi o manufatti di materiale agglomerato o ceramico.
US8741778B2 (en) * 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8912096B2 (en) * 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
JP2013048127A (ja) * 2011-07-26 2013-03-07 Applied Materials Inc アッシュ後の側壁の回復
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
CN102931130A (zh) * 2011-08-11 2013-02-13 应用材料公司 灰化后侧壁修复
FR2979166A1 (fr) * 2011-08-16 2013-02-22 St Microelectronics Crolles 2 Procede de fabrication d'un transistor mos
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
TWI492298B (zh) * 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
CN103137467A (zh) * 2011-11-24 2013-06-05 联华电子股份有限公司 移除氧化层的半导体制作工艺
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9177780B2 (en) 2012-10-02 2015-11-03 Applied Materials, Inc. Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
TWI604528B (zh) * 2012-10-02 2017-11-01 應用材料股份有限公司 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
TWI591712B (zh) * 2012-10-03 2017-07-11 應用材料股份有限公司 使用低溫蝕刻劑沉積與電漿後處理的方向性二氧化矽蝕刻
US8980761B2 (en) 2012-10-03 2015-03-17 Applied Materials, Inc. Directional SIO2 etch using low-temperature etchant deposition and plasma post-treatment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9093389B2 (en) * 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9472416B2 (en) 2013-10-21 2016-10-18 Applied Materials, Inc. Methods of surface interface engineering
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
CN103646874A (zh) * 2013-11-29 2014-03-19 上海华力微电子有限公司 二氧化硅sab的去除方法
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
JP6692754B2 (ja) * 2014-01-13 2020-05-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積法による、自己整合ダブルパターニング
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9299577B2 (en) * 2014-01-24 2016-03-29 Applied Materials, Inc. Methods for etching a dielectric barrier layer in a dual damascene structure
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9472453B2 (en) 2014-03-13 2016-10-18 Qualcomm Incorporated Systems and methods of forming a reduced capacitance device
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
CN103928319A (zh) * 2014-04-08 2014-07-16 上海华力微电子有限公司 锗硅外延生长方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
JP6435667B2 (ja) * 2014-07-01 2018-12-12 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9240315B1 (en) 2014-10-10 2016-01-19 Applied Materials, Inc. CVD oxide surface pre-conditioning by inductively coupled O2 plasma
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9793104B2 (en) 2015-01-29 2017-10-17 Aixtron Se Preparing a semiconductor surface for epitaxial deposition
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9929305B2 (en) 2015-04-28 2018-03-27 International Business Machines Corporation Surface treatment for photovoltaic device
US9595452B2 (en) 2015-05-27 2017-03-14 Lam Research Corporation Residue free oxide etch
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10008366B2 (en) 2015-09-08 2018-06-26 Applied Materials, Inc. Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN107799591B (zh) * 2016-08-31 2020-06-09 中芯国际集成电路制造(上海)有限公司 Ldmos及其形成方法
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
CN107919298B (zh) 2016-10-08 2021-01-29 北京北方华创微电子装备有限公司 气相刻蚀装置及设备
US11107699B2 (en) 2016-10-08 2021-08-31 Beijing Naura Microelectronics Equipment Co., Ltd. Semiconductor manufacturing process
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10141189B2 (en) * 2016-12-29 2018-11-27 Asm Ip Holding B.V. Methods for forming semiconductors by diffusion
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107611007A (zh) * 2017-08-24 2018-01-19 长江存储科技有限责任公司 一种深沟槽的预清洗方法及3d nand制备工艺
US10269936B2 (en) * 2017-08-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR20220011212A (ko) * 2018-09-13 2022-01-27 샌트랄 글래스 컴퍼니 리미티드 실리콘 산화물의 에칭 방법 및 에칭 장치
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210035449A (ko) * 2019-09-24 2021-04-01 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11830725B2 (en) 2020-01-23 2023-11-28 Applied Materials, Inc. Method of cleaning a structure and method of depositing a capping layer in a structure
KR20220028445A (ko) 2020-08-28 2022-03-08 삼성전자주식회사 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
US11232947B1 (en) * 2020-09-01 2022-01-25 Taiwan Semiconductor Manufacturing Company Limited Ammonium fluoride pre-clean protection

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
JPS6396937A (ja) * 1986-10-13 1988-04-27 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
EP0286306B1 (en) * 1987-04-03 1993-10-06 Fujitsu Limited Method and apparatus for vapor deposition of diamond
EP0376252B1 (en) * 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
US5578130A (en) * 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
JP3018517B2 (ja) * 1991-01-25 2000-03-13 ソニー株式会社 ドライエッチング方法
JPH05160085A (ja) * 1991-12-11 1993-06-25 Fujitsu Ltd 半導体装置の製造方法
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5505816A (en) * 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US6979632B1 (en) * 1995-07-13 2005-12-27 Semiconductor Energy Laboratory Co., Ltd. Fabrication method for thin-film semiconductor
US5719085A (en) * 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5712185A (en) * 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US6197116B1 (en) 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
TW304293B (en) * 1996-11-18 1997-05-01 United Microelectronics Corp Manufacturing method for shallow trench isolation
US5766971A (en) * 1996-12-13 1998-06-16 International Business Machines Corporation Oxide strip that improves planarity
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6063712A (en) * 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
JP3178412B2 (ja) * 1998-04-27 2001-06-18 日本電気株式会社 トレンチ・アイソレーション構造の形成方法
US6004863A (en) * 1998-05-06 1999-12-21 Taiwan Semiconductor Manufacturing Company Non-polishing sacrificial layer etchback planarizing method for forming a planarized aperture fill layer
US6153523A (en) * 1998-12-09 2000-11-28 Advanced Micro Devices, Inc. Method of forming high density capping layers for copper interconnects with improved adhesion
US6399506B2 (en) * 1999-04-07 2002-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for planarizing an oxide layer
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6271147B1 (en) * 2000-08-18 2001-08-07 Vanguard International Semiconductor Corporation Methods of forming trench isolation regions using spin-on material
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6448537B1 (en) * 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6670278B2 (en) * 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US7138649B2 (en) * 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
US7256370B2 (en) * 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
JP2003282530A (ja) * 2002-03-26 2003-10-03 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6500728B1 (en) * 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US20040072446A1 (en) * 2002-07-02 2004-04-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
JP4585510B2 (ja) * 2003-03-07 2010-11-24 台湾積體電路製造股▲ふん▼有限公司 シャロートレンチアイソレーションプロセス
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7030034B2 (en) * 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7049200B2 (en) * 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
WO2006069085A2 (en) * 2004-12-21 2006-06-29 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
JP4475136B2 (ja) * 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US20060246217A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process

Also Published As

Publication number Publication date
EP1944796A2 (en) 2008-07-16
US7955510B2 (en) 2011-06-07
JP2008205440A (ja) 2008-09-04
TW201342475A (zh) 2013-10-16
CN101231951A (zh) 2008-07-30
EP1944796A3 (en) 2008-12-17
CN101231951B (zh) 2010-09-08
US20070123051A1 (en) 2007-05-31
JP4995102B2 (ja) 2012-08-08
KR20080066614A (ko) 2008-07-16
TWI402914B (zh) 2013-07-21
KR100931765B1 (ko) 2009-12-14
US20100093151A1 (en) 2010-04-15
TW200845211A (en) 2008-11-16

Similar Documents

Publication Publication Date Title
TWI520216B (zh) 以氨與三氟化氮蝕刻氧化物
TWI438839B (zh) 利用電漿清潔處理形成鈍化層以降低原生氧化物生長之方法
US10199215B2 (en) Apparatus and method for selective deposition
US8268684B2 (en) Method and apparatus for trench and via profile modification
US20060130971A1 (en) Apparatus for generating plasma by RF power
JP4960598B2 (ja) 基板表面から未変性酸化物を除去する方法及び装置
JP4658963B2 (ja) 高品質低温窒化シリコン層を形成する方法及び装置
US8951913B2 (en) Method for removing native oxide and associated residue from a substrate
JP5698719B2 (ja) 化学蒸着エッチングチャンバから副生成物の堆積物を除去するインサイチュチャンバ洗浄プロセス