KR100931765B1 - Nh3-nf3 화학물질을 이용하는 산화물 식각 - Google Patents

Nh3-nf3 화학물질을 이용하는 산화물 식각 Download PDF

Info

Publication number
KR100931765B1
KR100931765B1 KR1020080003509A KR20080003509A KR100931765B1 KR 100931765 B1 KR100931765 B1 KR 100931765B1 KR 1020080003509 A KR1020080003509 A KR 1020080003509A KR 20080003509 A KR20080003509 A KR 20080003509A KR 100931765 B1 KR100931765 B1 KR 100931765B1
Authority
KR
South Korea
Prior art keywords
substrate
gas
oxide
processing method
substrate processing
Prior art date
Application number
KR1020080003509A
Other languages
English (en)
Other versions
KR20080066614A (ko
Inventor
레자 알가바니
치엔-디 카오
진 리앙 루
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080066614A publication Critical patent/KR20080066614A/ko
Application granted granted Critical
Publication of KR100931765B1 publication Critical patent/KR100931765B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Abstract

본 발명은 반도체 기판상의 다양한 산화물을 선택적으로 제거하기 위한 장치 및 방법을 일반적으로 제공한다. 본 발명의 일 실시예는 식각 가스 혼합물을 이용하여 원하는 제거율로 기판상의 산화물을 선택적으로 제거하기 위한 방법을 제공한다. 식각 가스 혼합물은 제 1 가스 및 제 2 가스를 포함하며, 제 1 가스 및 제 2 가스의 비율이 원하는 제거율에 의해 결정된다.

Description

NH3-NF3 화학물질을 이용하는 산화물 식각 {OXIDE ETCH WITH NH3-NF3 CHEMISTRY}
본 발명의 실시예는 일반적으로 반도체 기판을 프로세싱하기 위한 방법 및 장치에 관한 것이다. 보다 특징적으로, 본 발명의 실시예는 반도체 제조에서 선택적 산화물 식각을 위한 방법 및 장치에 관한 것이다.
반도체 제조에서 산화물 제조는 중요하며, MOS(금속 산화물 반도체) 기술을 위한 게이트 구조의 필수 부분인 박막 산화물에 있어서 특히 중요하다. 적합한 제조 제어를 이용하면, 산화물은 고품질, 안정성 및 바람직한 유전체 특성을 가진다. 다양한 산화물 제조 프로세스가 다른 기능을 위한 다른 특성의 산화물을 달성하기 위해서 직접 소자 제조(IDM)에 이용된다. 열 산화물 및 증착되는 산화물이 반도체 소자에 대부분 이용된다. 부가적으로 자연 산화물이 프로세싱 중에 발생될 수 있다. 다른 산화물이 후속적인 프로세스에 상이하게 반응할 수도 있으며 동일한 목적을 위해서 다른 처리를 필요로 할 수 있다.
열 산화물이 산소 대기에서 높은 온도 어닐에 의해 열적으로 성장된다. 열 산화물은 유전체 재료, 소자 분리, 주입을 위한 스크린(screens for implants), 응력 제거(stress-relief)(패드-산화물), 재산화 질화물, 및 포토레지스트 부착 및 폴리실리콘 표면을 위한 응력 감소로서 이용될 수 있다.
증착되는 실리콘 산화물이 챔버 내의 실리콘 소오스와 산소를 반응시킴으로써 제조된다. 산화물은 오존/테트리에틸오쏘실리케이트(Tetreethylorthosilicate)(TEOS) 또는 탄소계 화학물질과 같은 화학물질의 조합에 의해 증착될 수도 있다. 예시적인 증착되는 산화물은 유일한 프로세스(unique process)에 의해 제조되는 HARP(고종횡비 프로세스)일 수 있다. 서브 대기 화학 기상 증착(sub-atomospheric chemical vapor deposition)(SACVD)으로서 공지된 HARP는 쉘로우 트렌치 분리(shallow trench isolation; STI) 및 프리-메탈 유전체(PMD)와 같은 고 종횡비 갭 내에 산화물을 증착시키기 위해서 오존/TEOS를 이용하는 비 플라즈마계 화학 기상 증착(CVD) 용액이다. 어닐링은 보통 HARP 산화물을 경화시키기 위해서 필요하다.
자연 산화물은 기판 표면이 산소에 노출되는 경우에 통상적으로 형성된다. 산소 노출은 기판이 대기 조건에서 프로세싱 챔버들 사이에서 이동되는 경우에, 또는 소량의 산소가 진공 챔버 내에서 유지되는 경우에 발생한다. 자연 산화물은 식각 중에 오염을 야기할 수도 있다. 자연 산화물은 통상적으로 바람직하지 않으며, 후속적인 프로세스 전에 제거되어야 한다.
반도체 제조 중에, 구조물이 과도한 재료로 형성될 수 있으며, 원하는 치수 로 역 연마 및/또는 식각된다. 산화물 피쳐, 연마 및 식각이 일반적으로 원하는 크기에 도달하기 위해서 형성된 후에 이용된다. 몇몇 산화물 피쳐는 동일한 프로세스에 다르게 반응하는 두 개 또는 그 이상의 산화물을 가질 수 있으며, 따라서 프로세싱에서의 난점을 지니며 특히 피쳐 크기가 보다 작은 경우에 그러하다.
STI(쉘로우 트렌치 분리; Shallow Trench Isolation)는 몇몇 형태의 산화물을 가지는 산화 구조물들 중 하나이다. STI는 서브(sub)-0.25 미크론 제조를 위해 이용되는 소자 분리 기술의 주요 형태이다. 산화물 충진된 트렌치는 반도체 기판 상에 형성되는 소자를 분리시키기 위해서 이용된다. 트렌치는 반도체 기판 상에 우선 식각되며, 이는 산화물 층의 열 성장에 의해 수반된다. 이러한 고온 산화물 층의 목적은 이른 게이트 유전체 브레이크 다운을 방지하고 포스트 CVD 산화물 증착의 응력 완화를 위한 적합한 코너 라운딩(corner roundung)이다. 열 산화물 층은 실리콘과 증착되는 산화물 층 사이에서 배리어 층 역할을 하며 실리콘 표면을 부동화시킨다. 트렌치는 고밀도 플라즈마(HDP) 또는 HARP 산화물로 충진되며, 연마되고 역 식각된다(etched back). 화학 기계식 연마(CMP) 프로세스가 증착 후에 산화물 충진된 트렌치에서 수행되며, 이는 다양한 웰 주입(well implant), 게이트 산화, 및 궁극적으로 폴리 증착 및 패턴화(patterning)와 같은 후속적인 프로세스를 위해서 기판상에 트렌치 및 다른 구조물을 제조하도록 식각 프로세스에 의해 수반된다.
스퍼터 식각 프로세스 및 습식 프로세스는 통상적으로 STI 식각에 이용되는 산화물 식각 프로세스이다. 그러나, 스퍼터 식각 프로세스는 일반적으로 산화물을 완전히 제거할 수 없으며, 물리적 충돌에 의해서 미세한 실리콘 층을 손상시킬 수 있다. 습식은 화학 용액, 예를 들어, 산화물을 제거하기 위해서 탈이온수 및 플루오르화수소 산(HF)을 이용한다. 그러나, 희석된 HF는 변화하는 산화물 식각률을 가지는 단점이 있다. 질화된 산화물은 비 질화 산화물보다 훨씬 느리게 식각된다. 열 산화물은 증착되는 산화물에 비해 상이한 비율로 식각된다. 또한, 어닐링된 산화물은 증착되는 산화물과 서로 다른 식각률을 가진다. 이는 공정 유동에 있어서 상당한 다양성 및 융합 문제(integration issues)를 야기한다.
예를 들어, 쉘로우 트렌치 분리에서, 세 개의 다른 산화물이 트렌치를 충진시키기 위해서 이용된다. 게다가, 평면의 산화물 포스트 연마 및 다양한 세정을 유지하기 위해서, 식각 화학물질이 동일한 비율로 모든 산화물을 식각시키는 것을 필요로 한다. 실제로, 다양한 공정 변수는 상당한 불필요한 누출을 야기하며, 이는 소오스에서 배출부로의 주요 전류 유동에 기여한다. 이러한 불필요한 누출의 일 실시예는 STI 코너 근처 둘레의 폴리 실리콘 외피(poly silicon wrap)이다. 통상적으로, 포스트 STI는 트렌치를 충진시키기 위해서 산화물 증착 이전에 성장되는 고온 STI 산화물 라이너를 식각/세정한다. 다양한 HF 역 식각(etch-back) 중에, 트렌치 내의 다양한 산화물을 상이한 비율로 식각한다. 후속적으로, 증착되는 폴리실리콘은 산화물 내의 과도하게 식각된 공동 내부에 침투한다. 트렌치 내부 둘레의 폴리실리콘 외피는 불필요한 누출 및 수율 손실을 야기한다.
따라서, 모든 산화물을 동일한 비율로 식각하기 위한 장치 및 방법이 필요하다.
본 발명은 일반적으로, 반도체 기판상에 다양한 산화물을 선택적으로 제거하기 위한 장치 및 방법을 제공한다.
본 발명의 일 실시예는 진공 챔버 내에 산화물을 포함하는 구조물을 가지는 기판을 위치시키는 단계, 기판을 제 1 온도로 냉각시키는 단계, 진공 챔버 내에 식각 가스 혼합물의 활성 종을 발생시키는 단계, 구조물 상에 필름을 형성하기 위해서 기판의 표면상의 구조물을 활성 종에 노출시키는 단계, 구조물상에 형성되는 필름을 증발시키기 위해서 기판을 가열하는 단계 및 진공 챔버로부터 증발된 필름을 제거하는 단계를 포함하는, 원하는 제거율로 기판 상의 산화물을 선택적으로 제거하기 위한 방법을 제공하며, 상기 식각 가스 혼합물은 제 1 가스 및 제 2 가스를 포함하며, 제 1 가스 및 제 2 가스의 비율이 원하는 제거율에 의해 결정된다.
본 발명의 다른 실시예는 기판을 진공 챔버 내에 위치시키는 단계, 기판을 제 1 온도로 냉각시키는 단계, 제 1 비율에서 제 1 산화물을 제 2 비율에서 제 2 산화물을 감소시키도록 조절되는 식각 가스 혼합물을 진공 챔버 내측으로 도입시키는 단계, 진공 챔버 내에 식각 가스 혼합물의 플라즈마를 발생시키는 단계, 구조물 상에 필름을 형성시키기 위해서 플라즈마에 산화 구조물을 노출시키는 단계, 산화 구조물 상에 형성되는 필름을 증발시키도록 기판을 가열시키는 단계, 및 진공 챔버로부터 증발된 필름을 제거하는 단계를 포함하는, 제 1 산화물 및 제 2 산화물을 포함하는 산화 구조물을 가지는 기판을 프로세싱하기 위한 방법을 제공한다.
본 발명의 또 다른 실시예는 기판을 진공 챔버 내에 위치시키는 단계, 식각 가스 혼합물을 진공 챔버에 도입시키는 단계, 식각 가스 혼합물로부터 활성 종을 발생시키는 단계, 식각 가스 혼합물의 플라즈마에 표면 피쳐를 노출시킴으로써 제 1 산화물을 적어도 부분적으로 감소시키는 단계, 및 수성 식각 프로세스에 의해 제 2 산화물을 감소시키는 단계를 포함하는, 기판을 프로세싱하기 위한 방법을 제공하며, 상기 표면 피쳐를 가지는 기판은 제 1 산화물 및 제 2 산화물을 포함한다.
본 발명의 전술된 특징들을 보다 잘 이해하기 위해서, 간단하게 전술한 본 발명을 몇몇의 예가 첨부 도면에 도시되어 있는 실시예를 참조하여 보다 구체적으로 설명한다. 그러나, 첨부 도면은 본 발명의 전형적인 실시예만을 설명하며 따라서 본 발명의 범위를 제한하는 것은 아니며, 본 발명이 다른 동일한 효과의 실시예를 허용할 수 있다는 것을 주목해야 한다.
본 발명은 반도체 제조에서 선택적인 산화물 식각을 위한 방법 및 장치에 관한 것이다. 보다 특히, 본 발명은 식각 가스 혼합물을 이용하는 기판 표면으로부터 하나 또는 그 이상의 산화물을 균일하게 제거하고 그리고/또는 선택적으로 제거하기 위한 방법 및 장치를 제공한다.
도 1은 내부에 형성된 쉘로우 트렌치 분리를 가지는 기판 블록(10)의 부분 사시도이다. 도시된 기판 블록(10)은 부분적으로만 제조되며, 실리콘 베이스(1) 내에 형성되는 쉘로우 트렌치(2)를 가진다. 쉘로우 트렌치(2)는 산화물로 충진되 며, 트랜지스터가 내부에 구성된 경우에 전자 소자를 분리시키기 위해서 구성된다. 소오스(3) 및 배출부(4)가 주입 단계로부터 쉘로우 트렌치(2) 내에 형성된다. 다결정 실리콘 구조물(보통 폴리로 지칭됨)(5)이 소오스(3)와 배출부(4) 사이에 형성된다. 게이트 산화물 층(6)이 실리콘 베이스(1)와 폴리(5) 사이에 형성된다. 상세한 제조 순서가 도 4 및 도 5에 설명된다.
도 2는 섹션 라인 2-2를 따른 기판 블록(10)의 개략적인 부분 단면도이다. 도 2는 쉘로우 트렌치(2)와 폴리(5)가 어디서 접하는지를 도시하고 있다. 쉘로우 트렌치(2)가 열 산화물 층(7) 및 증착되는 산화물 층(8)에 의해 형성된다. 프리-폴리 식각/세정 단계가 HF를 이용하여 최신 기술의 습식(art wet etching)에 의해 수행된다. HF가 증착되는 산화물 층(8)보다 열 산화물 층(7)을 보다 빠른 비율로 식각하기 때에 갭(9)이 쉘로우 트렌치(2) 내에 형성된다. 후속적인 폴리 증착은 폴리(5)가 갭(9)을 충진하고 소오스(3) 또는 배출부(4) 둘레를 둘러싸게 하여 와류 접합(parasitic junction) 또는 누출(leakages)을 야기한다.
도 3은 본 발명의 일 실시예에 따른 프로세싱 챔버(100)의 개략적인 단면도이다. 이러한 실시예에서, 프로세싱 챔버(100)는 챔버 바디(112)의 상단부에 배치되는 리드 조립체(200), 및 챔버 바디(112) 내에 적어도 부분적으로 배치되는 지지 조립체(300)를 포함한다. 프로세싱 챔버는 U형 단면을 갖는 원거리 전극을 가지는 원거리 플라즈마 발생기(140)를 또한 포함한다. 챔버(100) 및 관련 하드웨어는 하나 또는 그 이상의 프로세스 호환 재료(process-compatible materials), 예를 들어, 알루미늄, 양극화 알루미늄, 니켈 도금된 알루미늄, 니켈 도금된 알루미늄 6061-T6, 스테인레스 스틸뿐만 아니라 이의 조합 및 이의 합금으로부터 형성되는 것이 바람직하다.
지지 조립체(300)가 챔버 바디(112) 내에 부분적으로 배치된다. 지지 조립체(300)는 벨로우즈(bellows; 333)에 의해 에워싸이는 샤프트(314)에 의해 상승 및 하강한다. 챔버 바디(112)가 이의 측벽 내에 형성되는 슬릿 밸브 개구(160)를 포함하여 챔버(100)의 내부에 접근(access)을 제공한다. 슬릿 밸브 개구(160)가 웨이퍼 핸들링 로봇(도시되지 않음)에 의해 챔버 바디(112)의 내부에 접근을 허용하도록 선택적으로 개방 및 폐쇄된다. 웨이퍼 핸들링 로봇은 당업계에 잘 공지되어 있으며, 임의의 적합한 로봇이 이용될 수 있다. 일 실시예에서, 웨이퍼가 인접한 전달 챔버 및/또는 로드 록 챔버(도시되지 않음), 또는 클러스터 툴(cluster tool) 내의 다른 챔버에서 슬릿 밸브 개구(160)를 통해서 프로세스 챔버(100)의 내 외측으로 이송될 수 있다. 실예가 되는 클러스터 툴은 이에 제한되는 것은 아니지만, 캘리포니아주 산타 클라라에 소재하는 어플라이드 머티어리얼즈 아이엔씨(Applied Materials, Inc.)로부터 입수가능한 PRODUCER™, CENTURA™, ENDURA™, 및 ENDURASL™ 플랫폼을 포함한다.
챔버 바디(112)는 관통하여 열 전달 유체를 유동시키기 위해서 내부에 형성되는 채널(113)을 또한 포함한다. 열 전달 유체는 프로세싱 및 기판 전달 중에 챔버 바디(112)의 온도를 제어하는데 이용되며 가열 유체 또는 냉각제(coolant)일 수 있다. 챔버 바디(112)의 온도는 챔버 벽 상에 가스 또는 부산물의 불필요한 응축을 방지하기 위해서 중요하다. 예시적인 열 전달 유체는 물, 에틸렌 글리콜, 또는 이의 혼합물을 포함한다. 또한 예시적인 열 전달 유체는 질소 가스를 포함할 수 있다.
챔버 바디(112)는 지지 조립체(300)를 에워싸는 라이너(133)를 더 포함하며, 이는 수리(servicing) 및 세정을 위해 이동가능 하다. 라이너(133)는 알루미늄 세라믹 재료와 같은 금속으로 제조되는 것이 바람직하다. 그러나, 임의의 프로세스 호환 재료가 이용될 수 있다. 라이너(133)는 상부에 증착되는 임의의 재료의 부착성을 증가시키도록 비드 블라스트처리(bead blasted)될 수 있으며, 이에 따라 챔버(100)의 오염을 야기하는 재료의 박리(flaking)를 방지한다. 라이너(133)는 통상적으로 하나 또는 그 이상의 구경(135) 및 그 내부에 형성된 펌핑 채널(129)을 포함하며, 이는 진공 시스템과 유체 연통되어 있다. 구경(135)은 펌핑 채널(129) 내측으로의 가스를 위한 유동 경로를 제공하며, 펌핑 채널은 라이너(133)를 통하는 유동 경로를 제공하여 가스가 챔버(100)에서 배출될 수 있다.
진공 시스템은 챔버(100) 내의 가스의 유동을 조절하기 위해서 스로틀 밸브(127) 및 진공 펌프(125)를 포함할 수 있다. 진공 펌프(125)는 챔버 바디(112) 상에 배치되는 진공 포트(131)에 연결되며, 이는 라이너(133) 내에 형성되는 펌핑 채널(129)과 유체 연통된다. 진공 펌프(125) 및 챔버 바디(112)가 챔버(100) 내의 가스의 유동을 조절하기 위해서 스로틀 밸브(127)에 의해 선택적으로 분리된다. "가스(gas)" 및 "가스들(gases)"이라는 용어는 호환되어 사용되며, 별도로 표시되지 않는 한 하나 또는 그 이상의 전구체, 반응물, 촉매, 캐리어, 하제(purge), 클리닝(cleaning), 이의 조합물 뿐만 아니라 챔버 바디(112) 내측으로 도입되는 임의의 다른 유체를 지칭한다.
리드 조립체(200)는 서로 적층되는 다수의 부품을 포함한다. 예를 들어, 리드 조립체(200)는 리드 림(lid rim; 210), 가스 전달 조립체(220), 및 최상부 플레이트(250)를 포함한다. 리드 림(210)은 리드 조립체(200)를 형성하는 부품의 중량을 유지하도록 설계되며, 내부 챔버 부품에 접근을 제공하기 위해서 챔버 바디(112)의 상부 표면에 연결된다. 가스 전달 조립체(220)가 리드 림(210)의 상부 표면에 연결되며 그밖에 최소 열 접촉(minimum thermal contact)을 형성하도록 배열된다. 리드 조립체(200)의 부품이 예를 들어, 고도로 마감된 표면(highly finished surface)을 갖춘 알루미늄 합금과 같은 낮은 열 저항 및 높은 열 전도성을 가지는 재료로 구성되는 것이 바람직하다. 바람직하게, 부품의 열 저항이 약 5×10-4 ㎡ K/W 미만이다.
가스 전달 조립체(220)가 가스 분배 플레이트(225) 또는 샤워헤드를 포함할 수 있다. 가스 공급 패널(도시되지 않음)은 통상적으로 하나 또는 그 이상의 가스들을 챔버(100)에 제공하도록 이용된다. 이용되는 특정 가스 또는 가스들은 챔버(100) 내에서 수행될 프로세스에 의해 좌우된다. 예를 들어, 통상의 가스들은 하나 또는 그 이상의 전구체, 반응물, 촉매, 캐리어, 하제, 클리닝, 또는 임의의 혼합물 또는 이의 조합물을 포함한다. 통상적으로, 하나 또는 그 이상의 가스는 리드 조립체(200) 내측으로 그리고 그 다음 가스 전달 조립체(200)를 통해 챔버 바디(112) 내측으로 챔버(100)에 도입된다. 전자식 작동되는 밸브 및/또는 유동 제 어 메커니즘(도시되지 않음)은 가스 공급원으로부터 챔버(100) 내측으로 가스의 유동을 제어하는데 이용될 수 있다.
일 양상에서, 가스는 가스 공급 패널에서 챔버(100)로 전달되며, 여기서 가스 라인이 전술된 바와 같이 챔버 바디(112)에 가스를 공급하는 두 개의 별도의 가스 라인으로 T자(tees into)를 형성한다. 프로세스에 따라서, 임의의 수의 가스가 이러한 방식으로 전달될 수 있으며, 이들이 챔버(100)에 전달되기 전에 혼합되거나 챔버(100) 내에서 혼합될 수 있다.
도 3과 관련하여, 리드 조립체(200)는 리드 조립체(200) 내의 반응 종의 플라즈마를 발생시키기 위해서 전극(240)을 더 포함할 수 있다. 이러한 실시예에서, 전극(240)은 최상부 플레이트(250) 상에서 지지되며 이로부터 전기적으로 분리된다. 아이솔레이터 필러 링(isolator filler ring)(도시되지 않음)은 최상부 플레이트(250)로부터 전극(240)을 분리시키는 전극(240)의 하부 부분 둘레에 배치된다. 환상 아이솔레이터(annular isolator; 도시되지 않음)가 도 3에 도시된 바와 같이, 최상부 플레이트(250)의 상부 표면상에 놓이며 아이솔레이터 필러 링의 상부 부분 둘레에 배치된다. 환상 아이솔레이터(도시되지 않음)가 전극(240)의 상부 부분 둘레에 배치되어 전극(240)이 리드 조립체(200)의 다른 부품으로부터 전기적으로 분리된다. 각각의 이러한 링, 아이솔레이터 필러 및 환상 아이솔레이터는 알루미늄 산화물 또는 임의의 다른 절연체, 프로세스 호환 재료로 형성될 수 있다.
전극(240)은 전력 소오스(340)에 연결되지만 가스 전달 조립체는 접지된다. 따라서, 하나 또는 그 이상의 프로세스 가스가 전극(240)과 가스 전달 조립체(220) 사이에 형성되는 용적 내에서 충돌한다. 플라즈마는 블로커 플레이트(blocker plates)에 의해 형성되는 용적 내에 포함될 수도 있다. 블로커 플레이트 조립체가 없을 때, 플라즈마는 전극(240)과 가스 전달 조립체(220) 사이에 포함되고 충돌한다. 어느 하나의 실시예에서, 플라즈마는 리드 조립체(200) 내에 완전히 한정되거나 포함된다.
가스를 반응 종으로 활성화할 수 있으며 반응 종의 플라즈마를 유지할 수 있는 임의의 전력 소오스가 이용될 수 있다. 예를 들어, 무선 주파수(RF), 직류(DC), 교류(AC), 또는 마이크로파(MW)계 전력 배출 기술이 이용될 수 있다. 활성이 열적 기반 기술, 가스 브레이크다운(gas breakdown) 기술, 고 강도 광 소오스(예를 들어, UV 에너지), 또는 x-레이 소오스에 대한 노출에 의해 발생될 수도 있다. 이와 달리, 원거리 활성 소오스가 원거리 플라즈마 발생기와 같이 챔버(100) 내측으로 전달되는 반응 종의 플라즈마를 발생시키기 위해서 이용될 수 있다. 예시적인 원거리 플라즈마 발생기가 MKS 인스트루먼트 아이엔씨(Instruments, Inc.) 및 어드밴스드 에너지 인더스트리즈 아이엔씨(Advanced Energy Industries)로부터 입수가능하다. 바람직하게, RF 전력 공급원이 전극(240)에 연결된다.
가스 전달 조립체(220)가 챔버(100) 내에서 수행될 작동 및 프로세스 가스에 따라서 가열될 수 있다. 일 실시예에서, 예를 들어, 저항체 히터(resistive heater)와 같은 가열 부재(270)가 가스 전달 조립체(220)에 연결된다. 일 실시예에서, 가열 부재(heating element; 270)가 관형 부재(tubular member)이며, 가스 전달 조립체(220)의 상부 표면 내측으로 가압된다. 가스 전달 조립체(220)의 상부 표면은 그루브 또는 홈형 채널을 포함하며 이는 가열 부재(270)의 외경보다 약간 작은 폭을 가져서 가열 부재(270)가 끼워맞춤(interference fit)을 이용하여 그루브 내에 유지된다.
가열 부재(270)는 가스 전달 조립체(220) 및 블로커 조립체(230)를 포함하는 전달 조립체(220)의 부품이 서로 각각 전도성있게 연결되기 때문에 가스 전달 조립체(220)의 온도를 조절한다. 프로세싱 챔버에 대한 부가적인 설명은 2005년 2월22일 출원된 미국 특허 출원 제 11/063,645 호에서 찾을 수 있으며, 이는 본원에 참조된다.
프로세싱 챔버(100)는 진공을 유지한 채(without breaking vacuum) 기판 표면의 냉각 및 가열을 필요로 하는 플라즈마 강화 건식 프로세스를 수행하는데 특히 유용할 수 있다. 일 실시예에서, 프로세싱 챔버(100)는 기판 상에 하나 또는 그 이상의 산화물을 선택적으로 제거하기 위해서 이용될 수 있다.
설명의 명료함과 용이함을 위해서, 프로세싱 챔버(100) 내에서 수행되는 암모니아(NH3) 및 질소 트리플루오라이드(NF3) 가스 혼합물을 이용하는 하나 또는 그 이상의 실리콘 산화물을 제거하기 위한 예시적인 건식 프로세스가 기재될 것이다. 프로세싱 챔버(100)가 단일 프로세싱 대기 내에서 모두 가열 및 냉각시키는 기판 이외에 플라즈마 처리로부터 이로운 임의의 건식 프로세스에 있어서 유리한 것으로 믿어진다.
도 3과 관련하여, 건식 프로세스가 예를 들어, 반도체 기판과 같은 기 판(110)을 프로세싱 챔버(100) 내측으로 위치시킴으로써 시작된다. 기판은 통상적으로 슬릿 밸브 개구(160)를 통해 챔버 바디(112) 내측으로 위치되며 지지 부재(310)의 상부 표면상에 배치된다. 기판(110)은 지지 부재(310)의 상부 표면에 척킹(chucked)될 수 있다. 바람직하게, 기판(110)이 진공을 당김(pulling)으로써 지지 부재(310)의 상부 표면에 척킹된다. 지지 부재(310)가 그 다음 이미 프로세싱 위치에 있지 않은 경우에 챔버 바디(112) 내의 프로세싱 위치로 상승된다. 챔버 바디(112)가 바람직하게는 50℃ 내지 80℃, 보다 바람직하게는 약 65℃에서 유지된다. 챔버 바디(112)의 이러한 온도는 채널(113)을 통하여 열 전달 매체를 통과시킴으로써 유지된다.
기판(110)이 지지 조립체(300) 내에 형성되는 유체 채널을 통해 열 전달 매체 또는 냉각제를 통과시킴으로써, 15℃ 내지 50℃와 같이 65℃ 미만으로 냉각된다. 일 실시예에서, 기판은 실온보다 낮게 유지된다. 다른 실시예에서, 기판은 22℃ 내지 40℃의 온도로 유지된다. 통상적으로, 지지 부재(310)는 전술된 원하는 기판 온도에 도달하기 위해서 약 22℃ 미만으로 유지된다. 지지 부재(310)를 냉각시키기 위해서, 냉각제가 지지 조립체(300) 내에 형성되는 유체 채널을 통해 통과된다. 냉각제의 연속 유동이 지지 부재(310)의 온도를 보다 양호하게 제어하는데 바람직하다. 냉각제는 50 부피% 에틸렌 글리콜 및 50 부피% 물이 바람직하다. 물론 기판의 원하는 온도가 유지되는 한 임의의 비율의 물 및 에틸렌 글리콜이 이용될 수 있다.
식각 가스 혼합물이 기판(110)의 표면 상의 다양한 산화물을 선택적으로 제 거하기 위해서 챔버(100)에 도입된다. 일 실시예에서, 암모니아 및 질소 트리플루오라이드 가스가 챔버(100) 내측으로 도입되어 식각 가스 혼합물을 형성한다. 챔버 내측으로 도입되는 각각의 가스의 양이 변할 수 있으며 예를 들어, 제거될 산화물 층의 두께, 세정되는 기하학적 형상의 기판, 플라즈마의 용적 용량(volume capacity), 챔버 바디(112)의 용적 용량뿐만 아니라 챔버 바디(112)에 연결되는 진공 시스템의 용량을 수용하기 위해서 조절될 수 있다.
식각 가스 혼합물의 비율이 기판 표면상의 다양한 산화물을 선택적으로 제거하기 위해서 미리결정될 수 있다. 일 실시예에서, 식각 가스 혼합물 내의 성분의 비율이 열 산화물, 증착되는 산화물, 및/또는 자연 산화물과 같은 다양한 산화물을 균일하게 제거하기 위해서 조절될 수 있다. 일 실시예에서, 식각 가스 혼합물 내의 암모니아 대 질소 트리플루오라이드의 몰 비율이 다양한 산화물을 균일하게 제거하기 위해서 설정될 수 있다. 일 양상에서, 가스들이 암모니아 대 질소 트리플루오라이드의 적어도 1:1 몰 비율을 가지는 가스 혼합물을 제공하도록 첨가된다. 다른 양상에서, 가스 혼합물의 몰 비율이 적어도 약 3:1(암모니아 대 질소 트리플루오라이드)이다. 바람직하게, 가스들이 5:1(암모니아 대 질소 트리플루오라이드)내지 30:1의 몰 비율로 챔버 내에 도입된다. 보다 바람직하게, 가스 혼합물의 몰 비율이 약 5:1(암모니아 대 질소 트리플루오라이드) 내지 약 10:1이다. 가스 혼합물의 몰 비율이 약 10:1(암모니아 대 질소 트리플루오라이드) 내지 약 20:1이 될 수도 있다.
퍼지 가스 또는 캐리어 가스가 식각 가스 혼합물에 첨가될 수도 있다. 임의 의 적합한 퍼지/캐리어 가스가 예를 들어, 아르곤, 헬륨, 수소, 질소, 또는 이의 혼합물과 같이 이용될 수 있다. 통상적으로, 전체 식각 가스 혼합물이 약 0.05 부피% 내지 약 20 부피%의 암모니아 및 질소 트리플루오라이드이다. 나머지는 캐리어 가스이다. 일 실시예에서, 퍼지 또는 캐리어 가스가, 챔버 바디(112) 내의 압력을 안정화시키기 위해서 반응 가스 전에 챔버 바디(112) 내측으로 우선 도입된다.
챔버 바디(112) 내의 작동 압력이 변할 수 있다. 통상적으로, 압력이 약 500 mTorr 내지 약 30 Torr 사이에서 유지된다. 바람직하게, 압력이 약 1 토르 내지 약 10 토르 사이에서 유지된다. 보다 바람직하게, 챔버 바디(112) 내의 작동 압력이 약 3 토르 내지 약 6 토르 사이에서 유지된다.
약 5 내지 약 600 와트의 RF 전력이 가스 전달 조립체(200) 내에 포함되는 용적(261, 262) 내에서 가스 혼합물 플라즈마를 연소시키기 위해서 전극(240)에 인가된다. 바람직하게, RF 전력이 100 와트 미만이다. 전력이 100 ㎑ 미만과 같이 매우 낮은 주파수가 보다 바람직하다. 바람직하게, 주파수는 약 50 ㎑ 내지 약 90㎑의 범위를 가진다.
플라즈마 에너지는 암모니아 및 질소 플루오라이드를 반응 종으로 해리시키며, 이는 가스 상에서 고도의 반응성 암모니아 플루오라이드(NH4F) 화합물 및/또는 암모늄 수소 플루오라이드(NH4F·HF)를 형성하기 위해서 결합한다 . 이러한 분자는 프로세싱될 기판 표면과 반응하기 위해서 가스 분배 플레이트(225)의 홀(225A)을 통하여 가스 전달 조립체(220)를 통해 유동한다. 일 실시예에서, 캐리어 가스가 챔버(100) 내측으로 우선 도입되며, 캐리어 가스의 플라즈마가 발생하고, 그 다음 반응성 가스, 암모니아 및 질소 트리플루오라이드가 플라즈마에 첨가된다.
이러한 이론에 제한되는 것은 아니며, 식각 가스(etchant gas), NH4F 및/또는 NH4F·HF가 암모늄 헥사 플루오로실리케이트 (NH4)2SiF6, NH3, 및 H2O 생성물을 형성하기 위해서 실리콘 산화물 표면과 반응하는 것으로 믿고 있다. NH3, 및 H2O가 진공 펌프(125)에 의해 챔버(100)로부터 제거되며 프로세싱 조건에서 증발한다. 특히, 휘발성 가스는 가스가 진공 펌프(125) 내측으로 진공 포트(131)를 통해 챔버(100)에서 배출되기 전에, 라이너(133) 내에 형성된 구경(135)을 통해 펌핑 채널(129) 내측으로 유동한다. (NH4)2SiF6의 박막은 기판 표면상에 남아있게 된다. 이러한 반응 메커니즘이 다음과 같이 요약될 수 있다.
NF3 + 3NH3 → NH4F + NH4F·HF + N2
6NH4F + SiO2 → (NH4)2SiF6 + 2H2O + 4NH3
(NH4)2SiF6 + 열 → 2NH3 + 2HF + SiF4
박막이 기판 표면 상에 형성된 후에, 지지 부재(310)가 가열된 가스 분배 플레이트(225)에 아주 근접한 어닐링 위치로 상승할 수 있다. 가스 분배 플레이트(225)로부터 방사된 열은 (NH4)2SiF6의 박막으로부터 휘발성 SiF4, NH3, 및 HF 생성물로 해리시키거나 승화시킬 수 있다. 휘발성 생성물이 전술된 바와 같이, 진공 펌프(125)에 의해 챔버(100)로부터 제거된다. 통상적으로, 75℃ 또는 그 이상의 온도가 기판으로부터 박막을 효과적으로 승화시키고 제거하는데 이용된다. 바람직하게, 100℃ 또는 그 이상의 온도가 약 115℃ 내지 약 200℃ 와 같이 이용될 수 잇다.
(NH4)2SiF6의 박막을 이의 휘발성 성분으로 해리시키는 에너지가 가스 분배 플레이트(225)에 의해 대류 순환(convected)되거나 방출된다. 전술된 바와 같이, 가열 부재(270)는 가스 분배 플레이트(225)에 직접 연결되며, 가스 분배 플레이트(225) 및 그밖에 열 접촉으로 부품을 약 75℃ 내지 250℃ 사이의 온도로 가열시키기 위해서 활성화된다. 일 양상에서, 가스 분배 플레이트(225)가 약 120℃와 같은 100℃ 내지 150℃ 사이의 온도로 가열된다.
이러한 상승 변화(elevation change)는 다양한 방식으로 실시될 수 있다. 예를 들어, 리프트 메커니즘(330)은 가스 분배 플레이트(225)의 하부 표면을 향해 지지 부재(310)를 상승시킬 수 있다. 이러한 리프팅(lifting) 단계 중에, 기판(110)이 전술된 진공 척 또는 정전 척에 의해서와 같이 지지 부재(310)에 고정된다. 이와 달리, 기판(110)이 지지 부재(310)에서 들어올려질 수 있으며 리프트 링(320)을 통해 리프트 핀(325)을 상승시킴으로써 가열되는 분배 플레이트(225)에 아주 근접하여 위치된다.
상부에 박막을 가지는 기판(100)의 상부 표면과 분배 플레이트(225) 사이의 거리는 중요하지 않으며 일상 실험에 관한 문제이다. 당업자는 기초를 이루는 기 판을 손상시키지 않은 채 박막을 능률적이며 효과적으로 증발시키기 위해서 필요한 공간을 용이하게 결정할 수 있다. 그러나, 약 0.254 mm(10 mils) 내지 5.08 mm(200 mils)의 공간이 효과적인 것으로 믿고 있다.
필름이 기판으로부터 제거되면, 프로세싱 챔버(100)는 퍼지처리되며 진공처리된다. 프로세싱된 기판은 기판 지지부(300)를 전달 위치로 하강시키고, 기판을 디 척킹(de-chucking) 시키며, 슬릿 밸브 개구(160)를 통해 기판을 전달함으로써 챔버 바디(112)로부터 제거된다.
본 발명의 일 실시예가 쉘로우 트렌치 분리의 제조 중에 다양한 산화물을 균일하게 제거하기 위해서 적용될 수 있다. STI는 서브-0.25(sub-0.25) 미크론 제조를 위해 이용되는 소자 분리 기술의 주 형태이다. STI 제조는 일반적으로 트렌치 마스크 및 식각, 측벽 산화, 트렌치 충진 및 평탄화를 포함한다. 도 4a 내지 도 4i는 본 발명의 일 실시예에 따른 쉘로우 트렌치 분리를 형성하기 위한 제조 순서의 개략적인 도면이다.
도 4a는 반도체 기판(401) 다음 배리어 산화물 층(402) 및 증착되는 질화물 층(403)을 도시하고 있다. 기판(401)은 <100> 결정 방위 및 150 mm (6인치), 200 mm (8인치), 또는 300 mm (12인치)의 직경을 가지는 실리콘 기판일 수 있다. 배리어 산화물 층(402)은 고온 산화 노 내의 기판(401) 상에서 성장할 수 있다. 배리어 층(402)은 약 150Å의 두께를 가질 수 있다. 배리어 산화물 층(402)은 이후의 질화물 스트립 단계(nitride strip step) 중에 오염으로부터 기판(401)을 보호한다. 질화물 층(403)은 고온 저압 화학 기상 증착(LPCVD) 노 내에서 형성된다. 질 화물 층(403)은 일반적으로 암모니아 및 디클로로실란 가스의 반응으로부터 형성되는 실리콘 질화물(Si3N4)의 박막이다. 질화물 층(403)은 이후의 화학 기계식 평탄화(CMP) 중에 연마 정지 재료 역할을 하며 산화물 증착 중에 기판(401)을 보호하는 내구성 마스킹 재료(durable masking materials)이다.
도 4b는 질화물 층(403) 위에서 형성되고, 노출되며, 그리고 전개되는 포토 레지스트 층(404)을 도시하고 있다. 트렌치 패턴은 포토 레지스트 층(404) 상에 형성될 수 있다. 후속적인 질화물 식각 및 산화물 식각 단계는 기판(401) 내의 분리 영역으로서 표시된 위치를 노출시키는 배리어 층(402) 및 질화물 층(403) 내에 트렌치 패턴(405)을 형성한다.
도 4c는 쉘로우 트렌치(406)가 건조 플라즈마 식각과 같은 식각 프로세스를 이용하여 기판(401) 내에 형성되는 것을 도시하고 있다. 쉘로우 트렌치(406)는 이후 유전체 재료로 충진될 것이며, 기판(401) 상에 구성되는, 모스팻(MOSFET: metal on substrate field effect transistors)와 같은 전자 소자들 사이에 분리 재료 역할을 한다.
도 4d는 쉘로우 트렌치(406) 내부에 형성되는 라이너 산화물 층(407)을 도시하고 있다. 라이너 산화물 층(407)은 통상적으로 고온 산화 노 내에서 열적으로 성장한다. 라이너 산화물(407)의 목적은 기판(401)과 내부 충진될 트렌치 산화물 사이의 경계면을 개선하는 것이다.
도 4e는 쉘로우 트렌치(406) 내부의 라이너 산화물 층(407) 위에 형성되는 질화물 라이너(408)를 도시하고 있다. 질화물 라이너(408)는 질소 또는 아르곤과 같은 캐리어 가스 내의 암모니아 및 실란으로부터 플라즈마 강화된 화학 기상 증착(PECVD) 프로세스에 의해 형성될 수 있다. 질화물 라이너(408)의 목적은 응력이 가해진 산화물에 의해 야기되는 기계적 고장을 방지하고 쉘로우 트렌치(406) 내에 응력을 유도하는 것이다.
도 4f는 쉘로우 트렌치(406) 내부에 충진되는 트렌치 산화물(409) 및 트렌치 패턴(405)을 도시하고 있다. 트렌치 산화물(409)은 상대적으로 높은 증착률을 갖는 CVD 프로세스에 의해 통상적으로 형성된다. 트렌치 산화물(409)은 과충진되어 트렌치 산화물(409)이 기판(401)의 최상부 표면을 넘는다.
CMP 프로세스가 도 4에 도시된 바와 같은 평면을 달성하기 위해서 적용될 수 있다. CMP 프로세스가 트렌치 산화물(409)로부터 초과 산화물을 제거한다.
질화물 스트립 단계는 도 4h에 도시된 바와 같이, 다양한 산화물들, 배리어 층(402)으로부터 열 산화물, 트렌치 산화물(409)로부터 증착되는 산화물, 라이너 산화물 층(407)으로부터 열 산화물, 그리고 질화물 라이너(408)로부터 질화된 산화물을 노출시키며 질화물 층(402)을 제거하도록 수행될 수 있다.
통상적으로, 산화물 식각 단계가 다음 프로세싱 단계를 위해 준비된 쉘로우 트렌치 구조, 예를 들어, 다양한 웰 주입을 달성하기 위해서 수행될 것이다. 도 4i는 건식 프로세스 후의 STI를 도시하고 있다. 본 발명의 건식 프로세스는 도 4h에 노출된 다양한 산화물을 식각하는데 이용될 수 있어서 쉘로우 트렌치(409) 위의 실질적으로 평면인 최상부 표면을 달성하며 원하지않는 접합 및 누출을 방지한다. 일 실시예에서, 건식 프로세스가 본 발명의 프로세싱 챔버(100)와 유사한 프로세싱 챔버 내에서 수행될 수 있다. 기판(400)은 진공 프로세싱 챔버 내에 위치될 수 있으며 50℃ 내지 80℃, 보다 바람직하게는 약 65℃의 온도로 유지된다. 그 다음 기판은 15℃ 내지 50℃와 같은 65℃ 미만으로 냉각된다. 식각 가스 혼합물이 기판(400)의 표면 상의 다양한 산화물을 제거하기 위해서 프로세싱 챔버(100)에 도입된다. 일 실시예에서, 암모니아 및 질소 트리플루오라이드를 포함하는 식각 가스 혼합물이 프로세싱 챔버 내측으로 도입된다. 암모니아 대 질소 트리플루오라이드의 양 및 비율이 예를 들어, 제거될 산화물 층의 두께, 기판(400)의 기하학적 형상, 플라즈마의 용적 용량, 챔버의 용적 용량, 진공 시스템의 용적들 뿐만 아니라 기판(400) 상의 여러 산화물의 특성을 수용하기 위해서 조절된다. 퍼지 가스 또는 캐리어 가스가 식각 가스 혼합물에 첨가될 수도 있다. 식각 가스 혼합물의 플라즈마가 그 다음 연소된다. 플라즈마는 기판(400)상의 박막 층에 남아있는 산화물과 반응한다. 기판(400)은 75℃ 보다 높은 온도, 특히 약 115℃ 내지 약 200℃의 온도로 가열되어 박막을 승화시킨다. 그 다음 프로세싱 챔버가 퍼지처리되며 진공처리될 수 있다. 기판(400)은 다음 단계를 위해 준비된다.
전술된 식각 프로세스가 반도체 제조 중에 다양한 식각 단계, 특히 하나 또는 그 이상의 산화물이 적어도 부분적으로 제거되는 단계에서 이용될 수 있다. 예를 들어, 주입 및 증착 이전에 다양한 식각 백(etch backs)이 식각 프로세스를 이용할 수 있다.
도 5a 내지 도 5h는 전술된 프로세싱 챔버(100) 및 건식 프로세스를 포함하는 MOSFET 구조물(500)과 같은 전자 소자를 형성하기 위한 제조 순서의 개략적인 단면도이다.
도 5a 내지 도 5h와 관련하여, MOSFET 구조물이 반도체 재료, 예를 들어 실리콘 또는 갈륨 비소 기판(525)상에 형성될 수 있다. 바람직하게, 기판(525)은 <100> 결정 방위 및 150mm(6인치), 200mm(8인치), 또는 300mm(12인치)의 직경을 가지는 실리콘 웨이퍼이다. 통상적으로, MOSFET 구조물은 (ⅰ)실리콘 이산화물, 오가노실리케이트, 탄소 도핑된 실리콘 산화물, 포스포실리케이트 유리(PSG), 보로포스포실리케이트 유리(BPSG), 실리콘 질화물, 또는 이의 조합물과 같은 유전체 층; (ⅱ) 도핑된 폴리실리콘 및 n-형 또는 p-형 도핑된 단결정 실리콘; 및 (ⅲ) 텅스텐, 텅스텐 실리사이드, 티타늄, 티타늄 실리사이드, 코발트 실리사이드, 니켈 실리사이드, 또는 이의 조합물과 같은 금속 또는 금속 실리사이드의 층으로부터 형성되는 인터커넥트 라인 및 전기 접촉부의 조합을 포함한다.
도 5a와 관련하여, 활성 전자 소자의 제조는 다른 소자로부터 활성 전자 소자를 전기적으로 분리시키는 전기 분리 구조물을 형성함으로써 시작된다. 필드 산화물 배리어 또는 쉘로우 트렌치 분리와 같은 전기 분리 구조물의 몇몇 형태가 있다. 이러한 경우에, 쉘로우 트렌치 분리(545A, 545B)는 소자의 전기적 활성 부재가 형성되고 제조되는 노출된 영역을 에워싼다. STI는 도 4a 내지 도 4i에 도시된 바와 같은 두 개 또는 그 이상의 산화물을 포함할 수 있다. 노출된 영역은 약 50 내지 300 Å의 두께를 가지는 박막 게이트 산화물 층(550)을 형성하기 위해서 열적으로 산화된다. 폴리실리콘 층이 게이트 전극(555)을 생성시키기 위해서 증착, 패턴화, 및 식각된다. 폴리실리콘 게이트 전극(555)의 표면은 절연 유전체 층(560) 을 형성하기 위해서 재산화될 수 있으며, 도 5a에 도시된 구조물을 제공한다.
도 5b와 관련하여, 소오스(570A) 및 배출부(570B)가 적합한 도펀트 원자로 적합한 영역을 도핑시킴으로써 그 다음 형성된다. 예를 들어, p형 기판(525)상에서 비소 또는 인을 포함하는 n형 도펀트 종이 이용된다. 통상적으로, 도핑이 이온 주입기에 의해 수행되며 예를 들어, 약 30 내지 80 Kev로부터의 에너지 레벨에서 약 1013 atoms/㎠의 농도로 (31P), 또는 10 내지 100 Kev로부터의 에너지 및 약 1015 내지 1017 atoms/㎠의 선량에서 비소(75As)를 포함할 수 있다. 주입 프로세스 후에, 도펀트가 예를 들어, 신속한 열 프로세싱(RTP) 장치로 기판을 가열시킴으로써 기판(525) 내측으로 추진된다. 그 후, 소오스(570A) 및 배출부(570B)의 영역을 덮는 박막 게이트 산화물 층(550)이 박막 게이트 산화물 층(550) 내에 포착되는 주입 프로세스에 의해 야기되는 임의의 불순물을 제거하기 위해서 전술된 건식 프로세스에 의해 제거된다. 쉘로우 트렌치 분리 내의 두 개 또는 그 이상의 산화물이 식각될 수도 있다. 식각 가스 혼합물이 다른 산화물을 위해 필요한 다른 식각률을 수용하기 위해서 조절될 수 있다.
도 5c 및 도 5d와 관련하여, 실리콘 질화물 층(575)이 SiH2, Cl2, 및 NH3를 이용하는 저압 화학 기상 증착(LPCVD)에 의해 기판(525) 상의 표면 및 게이트 전극(555) 상에 증착된다. 실리콘 질화물 층(575)이 도 5d에 도시된 바와 같이 게이트 전극(555)의 측벽 상에 질화물 스페이서(580)를 형성하기 위해서 반응 이온 식각(RIE) 기술을 이용하여 식각된다. 스페이서(580)는 소오스(570A) 및 배출 부(570B) 위에 증착되는 다른 실리사이드 층으로부터 게이트 전극(555)의 최상부 표면 상에 형성된 실리사이드 층을 전기적으로 분리시킨다. 전기적 분리 측벽 스페이서(580)가 실리콘 산화물과 같은 다른 재료로부터 제조될 수 있음을 알아야 한다. 측벽 스페이서(580)를 형성하기 위해서 이용되는 실리콘 산화물 층은 통상적으로 약 600℃ 내지 약 1000℃의 범위 내의 온도에서 테트라에톡시실란(TEOS)의 공급 가스로부터 CVD 또는 PECVD에 의해 증착된다. 스페이서(580)가 주입 및 RTP 활성 후에 형성되는 것으로 도시되어 있지만 스페이서(580)가 소오스/배출부 주입 및 RTP 활성 이전에 형성될 수 있다.
도 5e와 관련하여, 자연 실리콘 산화물 층(585)이 통상적으로 프로세스 전 후 대기의 노출에 의해 노출된 실리콘 표면상에 형성된다. 자연 실리콘 산화물 층(585)은 형성된 금속 실리사이드의 전기 전도성 및 합금화 반응을 개선하기 위해서 게이트 전극(555), 소오스(570A), 및 배출부(570B)상에 전도성 금속 실리사이드 접촉부를 형성하기 이전에 제거되어야만 한다. 자연 실리콘 산화물 층(585)이 반도체성 재료의 전기 저항을 증가시킬 수 있으며, 후속적으로 증착되는 실리콘 및 금속 층의 실리사이데이션(silicidation)에 부정적 영향을 미칠 수 있다. 따라서, 활성 전기 소자를 상호연결하기 위해서 금속 실리사이드 접촉부 또는 전도체를 형성하기 전에 전술된 건식 프로세스를 이용하여 자연 실리콘 이산화물 층(585)을 제거할 필요가 있다. 전술된 건식 프로세스가 도 5f에 도시된 바와 같이 게이트 전극(555)의 최상부 표면, 배출부(570B) 및 소오스(570A)를 노출시키기 위해서 자연 실리콘 산화물 층(585)을 제거하는데 이용될 수 있다. 쉐로우 트렌치 분리(545A, 545B) 내의 산화물이 건식 프로세스에 또한 노출된다. 활성 가스의 비율과 같은 적합한 조절이 다른 표면에서 균일한 제거율을 달성하기 위해서 건식 프로세스에 적용될 수 있다.
그 후, 도 5g에 도시된 바와 같이, 물리 기상 증착(PVD) 또는 스퍼터링 프로세스가 금속(590)의 층을 증착시키기 위해서 이용된다. 통상의 노 어닐링은 금속 층(590)이 실리콘과 접촉하는 영역 내에 금속 실리사이드를 형성하기 위해서 금속 및 실리콘 층을 어닐링시키기 위해서 이용된다. 어닐링은 통상적으로 별도의 프로세싱 시스템 내에서 수행된다. 따라서, 보호 캡 층(도시되지 않음)이 금속(590) 위에서 증착될 수 있다. 캡 층이 통상적으로 질화물 재료이며 티타늄 질화물, 텅스텐 질화물, 탄탈 질화물, 나프늄 질화물(nafnium nitride), 및 실리콘 질화물로 구성되는 그룹으로부터 선택되는 하나 또는 그 이상의 재료를 포함할 수 있다. 캡 층이 임의의 증착 프로세스, 바람직하게는 PVD에 의해 증착될 수 있다.
어닐링은 통상적으로 약 30분 동안 질소 대기에서 600℃ 내지 800℃의 온도로 MOSFET 구조물(500)을 가열시키는 단계를 수반한다. 이와 달리, MOSFET 구조물(500)이 약 30초 동안 약 1000℃에서 신속하게 가열되는 신속한 열 어닐링 프로세스를 이용하여 형성될 수 있다. 적합한 전도성 금속은 코발트, 티타늄, 니켈, 텅스텐, 플라티늄, 및 낮은 접촉 저항을 가지는 임의의 다른 금속을 포함하며, 이는 폴리실리콘 및 단결정 실리콘상에 확실한 금속 실리사이드 접촉부를 형성할 수 있다.
금속 층(590)의 비반응된 부분은 금속 실리사이드(595); 스페이서(580), 또 는 필드 산화물(545A,B)를 침식시키지 않은 채 금속을 제거하는 왕수(aqua regia)(HCl 및 HNO3)를 이용하여 습식(wet etch)에 의해 제거될 수 있으며, 도 5h에 도시된 바와 같이 게이트 전극(555), 소오스(570A), 및 배출부(570B)상에 자체 배열된 금속 실리사이드(595)를 남긴다. 그 후, 예를 들어, 실리콘 산화물, BPSG, 또는 PSG를 포함하는 절연 커버 층이 전극 구조물상에 증착될 수 있다. 절연 커버 층이 CVD 챔버내의 화학 기상 증착에 의해 증착되며, 상기 챔버 내에서 본원에 전체가 참조되며 1996년 3월 19일 허여되고 본 출원인에게 공동 양도된 미국 특허 제 5,500,249 호에 기재되어 있는 바와 같은 낮은 또는 대기 압력에서 공급가스로부터 재료가 응축된다. 따라서 MOSFET 구조물(500)이 평탄한 표면을 형성하기 위해서 유리 전이 온도에서 어닐링된다.
전술된 공정 순서가 MOSFET 소자의 형성과 관련되어 기재되었지만, 전술된 건식 프로세스가 다양한 산화물의 제거를 필요로하는 다른 반도체 구조물 및 소자를 형성하는데 이용될 수도 있다. 건식 프로세스가 예를 들어, 알루미늄, 구리, 코발트, 니켈, 실리콘, 티타늄, 팔라듐, 하프늄, 붕소, 텅스텐, 탄탈, 또는 이의 혼합물을 포함하는 상이한 재료의 층의 증착 이전에 이용될 수도 있다.
일 실시예에서, 본 발명의 건식 프로세스가 수성 식각 프로세스(aqueous etching process)와 결합될 수 있다. 예를 들어, 두 개 이상의 산화물을 가지는 산화 구조물에 있어서, 건식 프로세스가 제 1 산화물을 선택적으로 제거하는데 이용되 수 있으며, 제 2 산화물에 비해 제 1 산화물 피쳐를 완전히 또는 부분적으로 감소시키기 위해서 이용될 수 있다. 수성 HF 식각 프로세스가 제 2 산화물을 제거하는데 수행될 수 있다.
전술된 설명의 보다 양호한 이해를 위해서, 하기의 비제한 예가 제공된다. 실시예가 특정 실시예에 지향될 수 있지만, 실시예는 임의의 특정 내용으로 본 발명을 제한하는 것으로 해석되어서는 안된다.
실시예:
식각 중에, 2 sccm의 NF3, 10 sccm의 NH3 및 2500 sccm의 아르곤의 가스 혼합물이 프로세싱 챔버(100)와 같은 진공 챔버 내측으로 도입된다. 가스 혼합물의 플라즈마가 100와트의 전력을 이용하여 연소된다. 바닥 퍼지처리는 1500 sccm의 아르곤으로, 에지 퍼지처리는 50 sccm의 아르곤으로 형성된다. 챔버 압력은 약 6 토르에서 유지되며, 기판 온도는 약 22℃이다. 기판은 120 초 동안 식각된다.
어닐링 중에, 간격이 750 mil이며, 리드 온도가 120℃이다. 기판이 약 60초동안 어닐링된다. 약 50Å의 재료가 기판 표면으로부터 제거된다. 어떠한 어닐링 효과도 관찰되지 않는다. 식각률은 약 0.46Å/초(28Å/분)이다. 관찰된 식각 균일성이 50Å 식각에 대해 약 5%이다.
별도로 표시되지 않는 한, 상세한 설명 및 청구범위에 이용된 성분, 특성, 반응 조건 등의 모든 수의 표현 정도가 접근(approximation)으로서 이해된다. 이러한 접근은 본 발명에 의해 달성될 원하는 특성, 및 측정 에러에 기초를 두고 있으며, 또한, 온도, 압력, 간격, 몰 비율, 유동률, 등을 포함하는 본 명세서에 표 현된 임의의 정도가 원하는 식각 선택성 및 입자 성능을 달성하기 위해서 더 최적화되 수 있다.
전술된 내용이 본 발명의 실시예에 지향되지만 본 발명의 여타 실시예가 본 발명의 기본 범위를 벗어나지 않고 고안될 수 있으며, 본 발명의 범위는 하기의 청구범위에 의해 결정된다.
도 1은 내부에 형성되는 쉘로우 트렌치 분리를 가지는 기판 블록의 부분 사시도를 개략적으로 도시하는 도면.
도 2는 쉘로우 트렌치 분리를 개략적으로 도시하는 부분 도면.
도 3은 본 발명의 일 실시예에 따른 프로세싱 챔버의 개략적인 단면도.
도 4a 내지 도 4i는 본 발명의 일 실시예에 따른 쉘로우 트렌치 분리를 형성하기 위한 제조 순서를 개략적으로 도시하는 도면.
도 5a 내지 도 5h는 STI에서 분리되는 전자 소자를 형성하기 위한 제조 순서의 개략적인 단면도.

Claims (20)

  1. 기판 처리 방법으로서,
    표면의 상부에 형성된 제 1 산화물 층을 가지는 기판을 진공 챔버 내에 위치시키는 단계와,
    상기 기판 표면과 상기 제 1 산화물 층 내의 피쳐 구조물을 에칭하는 단계와,
    상기 기판 표면 위의 양으로 상기 피쳐 구조물 내에 제 2 산화물을 증착하는 단계와,
    제 1 가스 대 제 2 가스의 몰비가 3 : 1 내지 20 : 1인 제 1 가스와 제 2 가스를 포함하는 처리 가스 혼합물의 활성 종을 생성하는 단계와,
    상기 제 1 산화물의 적어도 일부와 상기 제 2 산화물의 적어도 일부를 상기 기판 표면 상의 필름으로 전환시키는 단계, 및
    상기 기판 표면을 실질적으로 평탄하게 하도록 상기 구조물 상에 형성된 필름을 승화시키는 단계를 포함하는,
    기판 처리 방법.
  2. 제 1 항에 있어서,
    상기 제 2 산화물을 증착하는 단계는 상기 피쳐 구조물 내에 라이너 산화물을 증착하고 상기 제 2 산화물의 증착 이전에 상기 라이너 산화물 상에 질화물 라이너를 증착하는 단계를 더 포함하는,
    기판 처리 방법.
  3. 제 1 항에 있어서,
    활성 종의 생성 이전에 15 ℃ 내지 65 ℃ 범위의 제 1 온도로 상기 기판을 냉각하는 단계를 더 포함하는,
    기판 처리 방법.
  4. 제 1 항에 있어서,
    상기 활성 종은 질소 및 플루오르 원자를 포함하는,
    기판 처리 방법.
  5. 제 4 항에 있어서,
    상기 제 1 가스가 암모니아(NH4)이며, 상기 제 2 가스가 질소 트리플루오라이드(NF3)인,
    기판 처리 방법.
  6. 제 5 항에 있어서,
    상기 암모니아(NH4) 대 질소 트리플루오라이드(NF3)의 몰비는 5 : 1인,
    기판 처리 방법.
  7. 제 1 항에 있어서,
    상기 제 1 처리 가스 대 제 2 처리 가스의 몰비는 3 : 1 내지 10 : 1인,
    기판 처리 방법.
  8. 제 1 항에 있어서,
    상기 처리 가스 혼합물은 캐리어 가스를 더 포함하는,
    기판 처리 방법.
  9. 제 1 항에 있어서,
    상기 활성 종의 생성 이전에 캐리어 가스를 상기 진공 챔버로 유입하는 단계를 더 포함하는,
    기판 처리 방법.
  10. 제 1 항에 있어서,
    상기 필름은 질소 및 플루오르 원자를 포함하는 염(salt)인,
    기판 처리 방법.
  11. 제 10 항에 있어서,
    상기 필름은 암모늄 헥사플루오르실리케이트를 포함하는,
    기판 처리 방법.
  12. 제 1 항에 있어서,
    상기 필름을 승화시키는 단계는 상기 기판을 75 ℃ 내지 200 ℃인 제 2 온도로 가열하는 단계를 포함하는,
    기판 처리 방법.
  13. 제 12 항에 있어서,
    상기 진공 챔버로부터 상기 승화된 필름 재료를 제거하는 단계를 더 포함하는,
    기판 처리 방법.
  14. 기판 처리 방법으로서,
    기판의 표면 상에 형성되는 제 1 산화물 층, 상기 기판 표면과 제 1 산화물 층 내에 형성되는 피쳐 구조물, 및 상기 기판 표면 위의 양으로 상기 피쳐 구조물 내에 형성되는 제 2 산화물을 포함하는 기판을 진공 챔버 내에 위치시키는 단계; 및
    1 가스 대 제 2 가스의 몰비가 3 : 1 내지 20 : 1인 제 1 가스와 제 2 가스를 포함하는 처리 가스를 상기 진공 챔버로 유입하는 단계와,
    상기 처리 가스의 플라즈마를 생성하는 단계와,
    상기 제 1 산화물 층과 제 2 산화물 층을 상기 처리 가스의 플라즈마에 노출시키는 단계와,
    적어도 상기 제 1 산화물 층의 일부분과 제 2 산화물 층의 일부분에 질소 및 플루오르를 함유하는 필름을 형성하는 단계, 및
    상기 질소 및 플루오르 함유 필름을 상기 기판 표면으로부터 승화시키는 단계를 포함하는,
    프로세스에 의해 상기 제 1 산화물과 제 2 산화물을 상기 기판 표면으로부터 선택적으로 제거하는 단계;를 포함하는,
    기판 처리 방법.
  15. 제 14 항에 있어서,
    라이너 산화물이 상기 피쳐 구조물 내에 형성되며, 질소 라이너가 상기 피쳐 구조물 내에 상기 제 2 산화물이 형성되기 이전에 상기 라이너 산화물 상에 형성되는,
    기판 처리 방법.
  16. 제 15 항에 있어서,
    상기 라이너 산화물 층의 노출 부분들에 상기 질소 및 플루오르 함유 필름을 형성하는 단계 및 상기 라이너 산화물을 상기 기판 표면과 일치하도록 실질적으로 평탄화시키는 단계를 더 포함하는,
    기판 처리 방법.
  17. 제 14 항에 있어서,
    상기 처리 가스는 암모니아(NH4) 대 질소 트리플루오라이드(NF3)의 몰비가 3 : 1 내지 10 : 1이며, 상기 질소 및 플루오르 함유 필름은 암모늄 헥사플루오로실리케이트를 포함하는,
    기판 처리 방법.
  18. 제 17 항에 있어서,
    상기 질소 및 플루오르 함유 필름은 암모늄 헥사플루오로실리케이트를 포함하는,
    기판 처리 방법.
  19. 제 14 항에 있어서,
    상기 처리 가스 혼합물은 캐리어 가스를 더 포함하는,
    기판 처리 방법.
  20. 제 14 항에 있어서,
    상기 질소 및 플루오르 함유 필름을 상기 기판 표면으로부터 승화시키는 단계는 상기 기판을 75 ℃ 내지 200 ℃인 제 2 온도로 가열하는 단계를 포함하는,
    기판 처리 방법.
KR1020080003509A 2007-01-11 2008-01-11 Nh3-nf3 화학물질을 이용하는 산화물 식각 KR100931765B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/622,437 2007-01-11
US11/622,437 US20070123051A1 (en) 2004-02-26 2007-01-11 Oxide etch with nh4-nf3 chemistry

Publications (2)

Publication Number Publication Date
KR20080066614A KR20080066614A (ko) 2008-07-16
KR100931765B1 true KR100931765B1 (ko) 2009-12-14

Family

ID=39357957

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080003509A KR100931765B1 (ko) 2007-01-11 2008-01-11 Nh3-nf3 화학물질을 이용하는 산화물 식각

Country Status (6)

Country Link
US (2) US20070123051A1 (ko)
EP (1) EP1944796A3 (ko)
JP (1) JP4995102B2 (ko)
KR (1) KR100931765B1 (ko)
CN (1) CN101231951B (ko)
TW (2) TWI402914B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180078143A (ko) * 2016-12-29 2018-07-09 에이에스엠 아이피 홀딩 비.브이. 확산에 의한 반도체 형성 방법
CN108943336A (zh) * 2010-08-06 2018-12-07 卢卡·通切利 用于真空振动压缩结块材料或陶瓷材料的板材或块材或物品的压力机
KR20210055078A (ko) * 2018-09-13 2021-05-14 샌트랄 글래스 컴퍼니 리미티드 실리콘 산화물의 에칭 방법 및 에칭 장치
WO2021150625A1 (en) * 2020-01-23 2021-07-29 Applied Materials, Inc. Method of cleaning a structure and method of depositiing a capping layer in a structure

Families Citing this family (201)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20100151677A1 (en) * 2007-04-12 2010-06-17 Freescale Semiconductor, Inc. Etch method in the manufacture of a semiconductor device
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US7989329B2 (en) * 2007-12-21 2011-08-02 Applied Materials, Inc. Removal of surface dopants from a substrate
US20090191703A1 (en) * 2008-01-29 2009-07-30 Applied Materials, Inc. Process with saturation at low etch amount for high contact bottom cleaning efficiency for chemical dry clean process
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
CN101740338B (zh) * 2008-11-24 2012-07-18 中芯国际集成电路制造(北京)有限公司 薄膜去除方法
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US9159808B2 (en) 2009-01-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etch-back process for semiconductor devices
US8975753B2 (en) 2009-04-03 2015-03-10 Research Triangle Institute Three dimensional interconnect structure and method thereof
US7972966B2 (en) * 2009-05-19 2011-07-05 International Business Machines Corporation Etching of tungsten selective to titanium nitride
KR101040941B1 (ko) * 2009-08-17 2011-06-16 주성엔지니어링(주) 기판처리장치 및 방법
CN101996901B (zh) * 2009-08-26 2013-01-30 中芯国际集成电路制造(上海)有限公司 铝垫的制作方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) * 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8912096B2 (en) * 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
JP2013048127A (ja) * 2011-07-26 2013-03-07 Applied Materials Inc アッシュ後の側壁の回復
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
CN102931130A (zh) * 2011-08-11 2013-02-13 应用材料公司 灰化后侧壁修复
FR2979166A1 (fr) * 2011-08-16 2013-02-22 St Microelectronics Crolles 2 Procede de fabrication d'un transistor mos
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
TWI492298B (zh) * 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
CN103137467A (zh) * 2011-11-24 2013-06-05 联华电子股份有限公司 移除氧化层的半导体制作工艺
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9177780B2 (en) 2012-10-02 2015-11-03 Applied Materials, Inc. Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
TWI604528B (zh) * 2012-10-02 2017-11-01 應用材料股份有限公司 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
US8980761B2 (en) 2012-10-03 2015-03-17 Applied Materials, Inc. Directional SIO2 etch using low-temperature etchant deposition and plasma post-treatment
TWI591712B (zh) * 2012-10-03 2017-07-11 應用材料股份有限公司 使用低溫蝕刻劑沉積與電漿後處理的方向性二氧化矽蝕刻
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9093389B2 (en) * 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9472416B2 (en) 2013-10-21 2016-10-18 Applied Materials, Inc. Methods of surface interface engineering
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
CN103646874A (zh) * 2013-11-29 2014-03-19 上海华力微电子有限公司 二氧化硅sab的去除方法
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
CN105917445B (zh) 2014-01-13 2020-05-22 应用材料公司 具有空间原子层沉积的自对准式双图案化
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9299577B2 (en) * 2014-01-24 2016-03-29 Applied Materials, Inc. Methods for etching a dielectric barrier layer in a dual damascene structure
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9472453B2 (en) 2014-03-13 2016-10-18 Qualcomm Incorporated Systems and methods of forming a reduced capacitance device
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
CN103928319A (zh) * 2014-04-08 2014-07-16 上海华力微电子有限公司 锗硅外延生长方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
JP6435667B2 (ja) * 2014-07-01 2018-12-12 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9240315B1 (en) 2014-10-10 2016-01-19 Applied Materials, Inc. CVD oxide surface pre-conditioning by inductively coupled O2 plasma
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9793104B2 (en) 2015-01-29 2017-10-17 Aixtron Se Preparing a semiconductor surface for epitaxial deposition
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9929305B2 (en) 2015-04-28 2018-03-27 International Business Machines Corporation Surface treatment for photovoltaic device
US9595452B2 (en) 2015-05-27 2017-03-14 Lam Research Corporation Residue free oxide etch
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10008366B2 (en) 2015-09-08 2018-06-26 Applied Materials, Inc. Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN107799591B (zh) 2016-08-31 2020-06-09 中芯国际集成电路制造(上海)有限公司 Ldmos及其形成方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
CN107919298B (zh) 2016-10-08 2021-01-29 北京北方华创微电子装备有限公司 气相刻蚀装置及设备
US11107699B2 (en) 2016-10-08 2021-08-31 Beijing Naura Microelectronics Equipment Co., Ltd. Semiconductor manufacturing process
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) * 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107611007A (zh) * 2017-08-24 2018-01-19 长江存储科技有限责任公司 一种深沟槽的预清洗方法及3d nand制备工艺
US10269936B2 (en) * 2017-08-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210035449A (ko) * 2019-09-24 2021-04-01 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR20220028445A (ko) * 2020-08-28 2022-03-08 삼성전자주식회사 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
US11232947B1 (en) * 2020-09-01 2022-01-25 Taiwan Semiconductor Manufacturing Company Limited Ammonium fluoride pre-clean protection

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060042255A (ko) * 2004-02-26 2006-05-12 어플라이드 머티어리얼스, 인코포레이티드 Feol 제조를 위한 인슈트 건식 세정 챔버

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
JPS6396937A (ja) * 1986-10-13 1988-04-27 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
EP0286306B1 (en) * 1987-04-03 1993-10-06 Fujitsu Limited Method and apparatus for vapor deposition of diamond
US5030319A (en) * 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
US5578130A (en) * 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
JP3018517B2 (ja) * 1991-01-25 2000-03-13 ソニー株式会社 ドライエッチング方法
JPH05160085A (ja) * 1991-12-11 1993-06-25 Fujitsu Ltd 半導体装置の製造方法
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5505816A (en) * 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US6979632B1 (en) * 1995-07-13 2005-12-27 Semiconductor Energy Laboratory Co., Ltd. Fabrication method for thin-film semiconductor
US5719085A (en) * 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5712185A (en) * 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US6048798A (en) * 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
TW304293B (en) * 1996-11-18 1997-05-01 United Microelectronics Corp Manufacturing method for shallow trench isolation
US5766971A (en) * 1996-12-13 1998-06-16 International Business Machines Corporation Oxide strip that improves planarity
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6063712A (en) * 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
JP3178412B2 (ja) * 1998-04-27 2001-06-18 日本電気株式会社 トレンチ・アイソレーション構造の形成方法
US6004863A (en) * 1998-05-06 1999-12-21 Taiwan Semiconductor Manufacturing Company Non-polishing sacrificial layer etchback planarizing method for forming a planarized aperture fill layer
US6153523A (en) * 1998-12-09 2000-11-28 Advanced Micro Devices, Inc. Method of forming high density capping layers for copper interconnects with improved adhesion
US6399506B2 (en) * 1999-04-07 2002-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for planarizing an oxide layer
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6271147B1 (en) * 2000-08-18 2001-08-07 Vanguard International Semiconductor Corporation Methods of forming trench isolation regions using spin-on material
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6448537B1 (en) * 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6670278B2 (en) * 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US7138649B2 (en) * 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
US7256370B2 (en) * 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
JP2003282530A (ja) * 2002-03-26 2003-10-03 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6500728B1 (en) * 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
WO2004006303A2 (en) 2002-07-02 2004-01-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) * 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
CN101457338B (zh) 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
JP4585510B2 (ja) * 2003-03-07 2010-11-24 台湾積體電路製造股▲ふん▼有限公司 シャロートレンチアイソレーションプロセス
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7030034B2 (en) * 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7049200B2 (en) * 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
EP1831430A2 (en) * 2004-12-21 2007-09-12 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
JP4475136B2 (ja) * 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US20060246217A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060042255A (ko) * 2004-02-26 2006-05-12 어플라이드 머티어리얼스, 인코포레이티드 Feol 제조를 위한 인슈트 건식 세정 챔버

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108943336A (zh) * 2010-08-06 2018-12-07 卢卡·通切利 用于真空振动压缩结块材料或陶瓷材料的板材或块材或物品的压力机
KR20180078143A (ko) * 2016-12-29 2018-07-09 에이에스엠 아이피 홀딩 비.브이. 확산에 의한 반도체 형성 방법
KR102445474B1 (ko) 2016-12-29 2022-09-21 에이에스엠 아이피 홀딩 비.브이. 확산에 의한 반도체 형성 방법
KR20210055078A (ko) * 2018-09-13 2021-05-14 샌트랄 글래스 컴퍼니 리미티드 실리콘 산화물의 에칭 방법 및 에칭 장치
KR102352038B1 (ko) 2018-09-13 2022-01-17 샌트랄 글래스 컴퍼니 리미티드 실리콘 산화물의 에칭 방법 및 에칭 장치
WO2021150625A1 (en) * 2020-01-23 2021-07-29 Applied Materials, Inc. Method of cleaning a structure and method of depositiing a capping layer in a structure
US11830725B2 (en) 2020-01-23 2023-11-28 Applied Materials, Inc. Method of cleaning a structure and method of depositing a capping layer in a structure

Also Published As

Publication number Publication date
US20070123051A1 (en) 2007-05-31
CN101231951A (zh) 2008-07-30
US20100093151A1 (en) 2010-04-15
CN101231951B (zh) 2010-09-08
JP4995102B2 (ja) 2012-08-08
KR20080066614A (ko) 2008-07-16
TWI402914B (zh) 2013-07-21
EP1944796A3 (en) 2008-12-17
TWI520216B (zh) 2016-02-01
JP2008205440A (ja) 2008-09-04
US7955510B2 (en) 2011-06-07
EP1944796A2 (en) 2008-07-16
TW200845211A (en) 2008-11-16
TW201342475A (zh) 2013-10-16

Similar Documents

Publication Publication Date Title
KR100931765B1 (ko) Nh3-nf3 화학물질을 이용하는 산화물 식각
US7780793B2 (en) Passivation layer formation by plasma clean process to reduce native oxide growth
US8268684B2 (en) Method and apparatus for trench and via profile modification
US10199215B2 (en) Apparatus and method for selective deposition
US20060130971A1 (en) Apparatus for generating plasma by RF power
JP5250668B2 (ja) 基板から未変性酸化物を除去する方法
JP5698719B2 (ja) 化学蒸着エッチングチャンバから副生成物の堆積物を除去するインサイチュチャンバ洗浄プロセス
US8951913B2 (en) Method for removing native oxide and associated residue from a substrate
US20150079799A1 (en) Method for stabilizing an interface post etch to minimize queue time issues before next processing step
US20210175075A1 (en) Oxygen radical assisted dielectric film densification
US20090191703A1 (en) Process with saturation at low etch amount for high contact bottom cleaning efficiency for chemical dry clean process

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121129

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141128

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20161125

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 9