EP1831430A2 - An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber - Google Patents

An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber

Info

Publication number
EP1831430A2
EP1831430A2 EP05854872A EP05854872A EP1831430A2 EP 1831430 A2 EP1831430 A2 EP 1831430A2 EP 05854872 A EP05854872 A EP 05854872A EP 05854872 A EP05854872 A EP 05854872A EP 1831430 A2 EP1831430 A2 EP 1831430A2
Authority
EP
European Patent Office
Prior art keywords
chamber
substrate
support member
gas
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP05854872A
Other languages
German (de)
French (fr)
Inventor
Chien-Teh Kao
Jing-Pei Chou (Connie)
Salvador P. Umotoy
Mei Chang
Xiaoxiong Yuan (John)
Yu Chang
Xinliang Lu
See-Eng Phan
William Kuang
Gwo-Chuan Tzu
David T. Or
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/137,200 external-priority patent/US20060130971A1/en
Priority claimed from US11/266,167 external-priority patent/US20060051966A1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of EP1831430A2 publication Critical patent/EP1831430A2/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • Embodiments of the present invention generally relate to semiconductor processing equipment. More particularly, embodiments of the present invention relate a chemical vapor deposition (CVD) system for semiconductor fabrication and in situ dry cleaning of the CVD system.
  • CVD chemical vapor deposition
  • a native oxide typically forms when a substrate surface is exposed to oxygen. Native oxides may also result if the substrate surface is contaminated during etching.
  • Native silicon oxide films are formed on exposed silicon containing layers, especially during processing of Metal Oxide Silicon Field Effect Transistor ("MOSFET") structures. Silicon oxide films are electrically insulating and are undesirable at interfaces with contact electrodes or interconnecting electrical pathways because the films cause high electrical contact resistance.
  • MOSFET structures the electrodes and interconnecting pathways include suicide layers formed by depositing a refractory metal on bare silicon and annealing the layer to produce the metal suicide layer. Native silicon oxide films at the interface between the substrate and the metal reduce the compositional uniformity of the suicide layer by impeding the diffusional chemical reaction that forms the metal suicide. This results in lower substrate yields and increased failure rates due to overheating at the electrical contacts.
  • the native silicon oxide film can also prevent adhesion of other CVD or sputtered layers which are subsequently deposited on the substrate.
  • a thin layer of the salt is formed by reacting a fluorine-containing gas with the silicon oxide surface.
  • the salt is then heated to an elevated temperature sufficient to dissociate the salt into volatile by-products which are then removed from the processing chamber.
  • the formation of a reactive fluorine-containing gas is usually assisted by thermal addition or by plasma energy.
  • the salt is usually formed at a reduced temperature that requires cooling of the substrate surface. This sequence of cooling followed by heating is usually accomplished by transferring the substrate from a cooling chamber where the substrate is cooled to a separate anneal chamber or furnace where the substrate is heated.
  • this reactive fluorine processing sequence is not desirable. Namely, wafer throughput is greatly diminished because of the time involved to transfer the wafer. Also, the wafer is highly susceptible to further oxidation or other contamination during the transfer. Moreover, the cost of ownership is doubled because two separate chambers are needed to complete the oxide removal process. There is a need for a processing chamber capable of remote plasma generation, heating, cooling, and performing a single dry etch process in a single chamber (i.e., in-situ).
  • the wafer pedestal is cooled to about 35°C and process chemicals form deposits along the surfaces of the pedestal. Cleaning the chamber to remove these deposits has traditionally relied upon wet cleaning methods that require time and labor to open the chamber and manually clean the chamber. Alternatively, attempts have been made to heat the fluid normally used to cool the pedestal, but this heating method requires two to three days to heat the chamber surfaces and to clean the chamber. Removing deposits and residue from the processing chamber needs to be cost effective and to require little processing time.
  • a processing chamber for processing a substrate comprises a chamber body and a support assembly at least partially disposed within the chamber body and adapted to support the substrate thereon.
  • the chamber further comprises a lid assembly disposed on an upper surface of the chamber body.
  • the lid assembly is in fluid communication with a remote plasma region having a U-shaped cross section for generating plasma.
  • the remote plasma region is defined by a cylindrical electrode and a cup-shaped ground.
  • An RF power source is connected to the cylindrical electrode.
  • the present invention provides a method and apparatus for cleaning a processing chamber including blocking a flow of cooling fluid to a channel within a support member within a processing chamber, elevating the support member to be within about 0.1 inches of a gas distribution plate, heating the gas distribution plate, and introducing a thermally conductive gas through the gas distribution plate into the processing chamber.
  • Figure 1A shows a partial cross sectional view of an illustrative processing chamber 100 for heating, cooling, and etching.
  • Figure 1 B shows an enlarged schematic view of an illustrative liner disposed within the processing chamber of Figure 1 A.
  • Figure 2A shows an enlarged cross sectional view of an illustrative lid assembly that can be disposed at an upper end of the chamber body shown in Figure 1A.
  • Figures 2B and 2C show enlarged schematic views of the gas distribution plate of Figure 2A.
  • Figure 3A shows a partial cross sectional view of an illustrative support assembly, which is at least partially disposed within the chamber body 112 of Figure 1A.
  • Figure 3B shows an enlarged partial cross sectional view of the illustrative support member 300 of Figure 3A.
  • Figure 4A shows a schematic cross sectional view of another illustrative lid assembly 400.
  • Figure 4B shows an enlarged schematic, partial cross sectional view of the upper electrode of Figure 4A.
  • Figure 4C shows a partial cross sectional view of the illustrative processing chamber 100 utilizing the lid assembly 400 of Figure 4A.
  • Figures 5A-5I are sectional schematic views of a fabrication sequence for forming an illustrative active electronic device, such as a MOSFET structure.
  • Figure 6 is a schematic diagram of an exemplary multi-chamber processing system adapted to perform multiple processing operations.
  • Figure 7 is a partial sectional view showing one alternative embodiment of a processing chamber 100 having a remote plasma generator.
  • Figure 8 is a cross sectional view of the remote plasma generator. DETAILED DESCRIPTION
  • Methods and apparatus for cleaning a processing chamber for any number of substrate processing techniques are provided.
  • the chamber is particularly useful for performing plasma assisted dry etch processes that require both heating and cooling of the substrate surface without breaking vacuum.
  • the processing chamber described herein is envisioned to be best suited for a front-end-of line (FEOL) clean chamber for removing oxides and other contaminants from a substrate surface.
  • FEOL front-end-of line
  • a “substrate surface”, as used herein, refers to any substrate surface upon which processing is performed.
  • a substrate surface may include silicon, silicon oxide, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application.
  • a substrate surface may also include dielectric materials such as silicon dioxide, organosilicates, and carbon doped silicon oxides.
  • the substrate itself is not limited to any particular size or shape.
  • the term “substrate” refers to a round wafer having a 200 mm diameter or 300 mm diameter.
  • the term “substrate” refers to any polygonal, squared, rectangular, curved or otherwise non-circular workpiece, such as a glass substrate used in the fabrication of flat panel displays, for example.
  • FIG. 1A is a partial cross sectional view showing a processing chamber 100.
  • the processing chamber 100 includes a chamber body 112, a lid assembly 200, and a support assembly 300.
  • the lid assembly 200 is disposed at an upper end of the chamber body 112, and the support assembly 300 is at least partially disposed within the chamber body 112.
  • the processing chamber 100 and the associated hardware are preferably formed from one or more process- compatible materials, such as aluminum, anodized aluminum, nickel plated aluminum, nickel plated aluminum 6061 -T6, stainless steel, as well as combinations and alloys thereof, for example.
  • the chamber body 112 includes a slit valve opening 160 formed in a sidewall thereof to provide access to the interior of the processing chamber 100.
  • the slit valve opening 160 is selectively opened and closed to allow access to the interior of the chamber body 112 by a wafer handling robot (not shown).
  • Wafer handling robots are well known to those with skill in the art, and any suitable robot may be used.
  • an exemplary robotic transfer assembly has been described in a commonly assigned U.S. Pat. No. 4,951 ,601 , entitled “Multi-chamber Integrated Process System," issued Aug. 28, 1990, the complete disclosure of which is incorporated herein by reference.
  • a wafer can be transported in and out of the processing chamber 100 through the slit valve opening 160 to an adjacent transfer chamber and/or load-lock chamber, or another chamber within a cluster tool.
  • a cluster tool of a type that can be coupled to the processing chamber 100 is described in a commonly assigned U.S. Pat. No. 5,186,718, entitled “Staged- Vacuum Wafer Processing System and Method", issued Feb. 16, 1993, and is herein incorporated by reference.
  • the chamber body 112 includes a channel 113 formed therein for flowing a heat transfer fluid therethrough.
  • the heat transfer fluid can be a heating fluid or a coolant and is used to control the temperature of the chamber body 112 during processing and substrate transfer.
  • the temperature of the chamber body 112 is important to prevent unwanted condensation of the gas or byproducts on the chamber walls.
  • Exemplary heat transfer fluids include water, ethylene glycol, or a mixture thereof.
  • An exemplary heat transfer fluid may also include nitrogen gas.
  • the chamber body 112 can further include a liner 133 that surrounds the support assembly 300.
  • the liner 133 is preferably removable for servicing and cleaning.
  • the liner 133 can be made of a metal such as aluminum, or a ceramic material. However, the liner 133 can be any process compatible material.
  • the liner 133 can be bead blasted to increase the adhesion of any material deposited thereon, thereby preventing flaking of material which results in contamination of the processing chamber 100.
  • the liner 133 includes one or more apertures 135 and a pumping channel 129 formed therein that is in fluid communication with a vacuum system. The apertures 135 provide a flow path for gases into the pumping channel 129, which provides an egress for the gases within the processing chamber 100.
  • the vacuum system can include a vacuum pump 125 and a throttle valve 127 to regulate flow of gases through the processing chamber 100.
  • the vacuum pump 125 is coupled to a vacuum port 131 disposed on the chamber body 112 and therefore, in fluid communication with the pumping channel 129 formed within the liner 133.
  • gas and “gases” are used interchangeably, unless otherwise noted, and refer to one or more precursors, reactants, catalysts, carrier, purge, cleaning, combinations thereof, as well as any other fluid introduced into the chamber body 112.
  • Figure 1 B shows an enlarged schematic view of one embodiment of the liner 133.
  • the liner 133 includes an upper portion 133A and a lower portion 133B.
  • An aperture 133C that aligns with the slit valve opening 160 disposed on a side wall of the chamber body 112 is formed within the liner 133 to allow entry and egress of substrates to/from the chamber body 112.
  • the pumping channel 129 is formed within the upper portion 133A.
  • the upper portion 133A also includes the one or more apertures 135 formed therethrough to provide passageways or flow paths for gases into the pumping channel 129.
  • the apertures 135 allow the pumping channel 129 to be in fluid communication with a processing zone 140 within the chamber body 112.
  • the processing zone 140 is defined by a lower surface of the lid assembly 200 and an upper surface of the support assembly 300, and is surrounded by the liner 133.
  • the apertures 135 may be uniformly sized and evenly spaced about the liner 133. However, any number, position, size or shape of apertures may be used, and each of those design parameters can vary depending on the desired flow pattern of gas across the substrate receiving surface as is discussed in more detail below.
  • the size, number, and position of the apertures 135 are configured to achieve uniform flow of gases exiting the processing chamber 100.
  • the aperture size and location may be configured to provide rapid or high capacity pumping to facilitate a rapid exhaust of gas from the chamber 100.
  • the number and size of apertures 135 in close proximity to the vacuum port 131 may be smaller than the size of apertures 135 positioned farther away from the vacuum port 131.
  • the lower portion 133B of the liner 133 includes a flow path or vacuum channel 129A disposed therein.
  • the vacuum channel 129A is in fluid communication with the vacuum system described above.
  • the vacuum channel 129A is also in fluid communication with the pumping channel 129 via a recess or port 129B formed in an outer diameter of the liner 133.
  • two gas ports 129B are formed in an outer diameter of the liner 133 between the upper portion 133A and the lower portion 133B.
  • the gas ports 129B provide a flow path between the pumping channel 129 and the vacuum channel 129A.
  • each port 129B is a matter of design, and are determined by the stoichiometry of a desired film, the geometry of the device being formed, the volume capacity of the processing chamber 100 as well as the capabilities of the vacuum system coupled thereto.
  • the ports 129B are arranged opposite one another or 180 degrees apart about the outer diameter of the liner 133.
  • one or more gases exiting the processing chamber 100 flow through the apertures 135 formed through the upper portion 133A of the liner 133 into the pumping channel 129.
  • the gas then flows within the pumping channel 129 and through the ports 129B into the vacuum channel 129A.
  • the gas exits the vacuum channel 129A through the vacuum port 131 into the vacuum pump 125.
  • FIG. 2A shows an enlarged cross sectional view of an illustrative lid assembly 200 that can be disposed at an upper end of the chamber body 112 shown in Figure 1A.
  • the lid assembly 200 includes a number of components stacked on top of one another, as shown in Figure 1A.
  • the Hd assembly 200 includes a lid rim 210, a gas delivery assembly 220, and a top plate 250.
  • the gas delivery assembly 220 is coupled to an upper surface of the lid rim 210 and is arranged to make minimum thermal contact therewith.
  • the components of the lid assembly 200 are preferably constructed of a material having a high thermal conductivity and low thermal resistance, such as an aluminum alloy with a highly finished surface for example.
  • the thermal resistance of the components is less than about 5x10 4 m 2 K/W.
  • the lid rim 210 is designed to hold the weight of the components making up the lid assembly 200 and is coupled to an upper surface of the chamber body 112 via a hinge assembly (not shown in this view) to provide access to the internal chamber components, such as the support assembly 300 for example.
  • the gas delivery assembly 220 can include a distribution plate or showerhead 225.
  • Figure 2B shows an enlarged schematic view of one embodiment of an illustrative gas distribution plate 225 and
  • Figure 2C shows a partial cross sectional view.
  • the distribution plate 225 is substantially disc-shaped and includes a plurality of apertures 225A or passageways to distribute the flow of gases therethrough, thereby providing an even distribution of the gas across the surface of the substrate.
  • the distribution plate 225 further includes an annular mounting flange 222 formed at a perimeter thereof, which is sized to rest on the lid rim 210. Accordingly, the distribution plate 225 makes minimal contact with the lid assembly 200.
  • an o-ring type seal 224 such as an elastomeric o-ring, is at least partially disposed within the annular mounting flange 222 to ensure a fluid-tight contact with the lid rim 210.
  • the gas delivery assembly 220 can further include a blocker assembly 230 disposed adjacent the distribution plate 225.
  • the blocker assembly 230 provides an even distribution of gas to the backside of the distribution plate 225.
  • the blocker assembly 230 is made of an aluminum alloy and is removably coupled to the distribution plate 225 to ensure good thermal contact.
  • the blocker assembly 230 can be coupled to the distribution plate 225 using a bolt 221 or similar fastener.
  • the blocker assembly 230 makes no thermal contact with the lid rim 210 as shown in Figures 2A.
  • the blocker assembly 230 includes a first blocker plate 233 mounted to a second blocker plate 235.
  • the second blocker plate 235 includes a passage 259 formed therethrough.
  • the passage 259 is centrally located through the second blocker plate 235 such that the passage 259 is in fluid communication with a first cavity or volume 261 defined by a lower surface of the top plate 250 and an upper surface of the second blocker plate 235.
  • the passage 259 is also in fluid communication with a second cavity or volume 262 defined by a lower surface of the second blocker plate 235 and an upper surface of the first blocker plate 233.
  • the passage 259 is also in fluid communication with a third cavity or volume 263 defined by a lower surface of the first blocker plate 233 and an upper surface of the distribution plate 225.
  • the passage 259 is coupled to a gas inlet 223.
  • the gas inlet 223 is coupled to the top plate 250 at a first end thereof. Although not shown, the gas inlet 223 is coupled at a second end thereof to one or more upstream gas sources and/or other gas delivery components, such as gas mixers.
  • the first blocker plate 233 includes a plurality of passageways 233A formed therein that are adapted to disperse the gases flowing from the passage 259 to the gas distribution plate 225.
  • the passageways 233A are shown as being circular or rounded, the passageways 233A can be square, rectangular, or any other shape.
  • the passageways 233A can be sized and positioned about the blocker plate 233 to provide a controlled and even flow distribution across the surface of the substrate. As described above, the first blocker plate 233 can easily be removed from the second blocker plate 235 and from the distribution plate 225 to facilitate cleaning or replacement of those components.
  • one or more process gases are introduced into the gas delivery assembly 220 via the gas inlet 223.
  • the process gas flows into the first volume 261 and through the passage 259 of the second blocker plate 235 into the second volume 262.
  • the process gas is then distributed through the holes 233A of the first blocker plate 233 into the third volume 263 and further distributed through the holes 225A of the distribution plate 225 until the gas meets the exposed surfaces of the substrate disposed within the chamber body 112.
  • a gas supply panel (not shown) is typically used to provide the one or more gases to the processing chamber 100. The particular gas or gases that are used depend upon the process or processes to be performed within the chamber 100.
  • Illustrative gases can include, but are not limited to, one or more precursors, reductants, catalysts, carriers, purge, cleaning, or any mixture or combination thereof.
  • the one or more gases introduced to the processing chamber 100 flow through the inlet 223 into the lid assembly 200 and then into the chamber body 112 through the gas delivery assembly 220.
  • An electronically operated valve and/or flow control mechanism may be used to control the flow of gas from the gas supply into the processing chamber 100.
  • any number of gases can be delivered to the processing chamber 100, and can be mixed either in the processing chamber 100 or before the gases are delivered to the processing chamber 100, such as within a gas mixture (not shown).
  • the lid assembly 200 can further include an electrode 240 to generate a plasma of reactive species within the lid assembly 200.
  • the electrode 240 is supported on the top plate 250 and is electrically isolated therefrom.
  • an isolator filler ring 241 can be disposed about a lower portion of the electrode 240 separating the electrode 240 from the top plate 250 as shown in Figure 2A.
  • An annular isolator 242 can also be disposed about an outer surface of the isolator filler ring 241.
  • An annular insulator 243 can then be disposed about an upper portion of the electrode 240 so that the electrode 240 is electrically isolated from the top plate 250 and all the other components of the lid assembly 200.
  • Each of these rings 241 , 242, 243 can be made from aluminum oxide or any other insulative, process compatible material.
  • the electrode 240 is coupled to a power source (not shown) while the gas delivery assembly 220 is connected to ground (i.e., the gas delivery assembly 220 serves as an electrode). Accordingly, a plasma of one or more process gases can be generated in the volumes 261 , 262 and/or 263 between the electrode 240 ("first electrode") and the gas delivery assembly 220 ("second electrode"). For example, the plasma can be struck and contained between the electrode 240 and the blocker assembly 230. Alternatively, the plasma can be struck and contained between the electrode 240 and the distribution plate 225, in the absence of the blocker assembly 230. In either embodiment, the plasma is well confined or contained within the lid assembly 200. Accordingly, the plasma is a "remote plasma" since no active plasma is in direct contact with the substrate disposed within the chamber body 112. As a result, plasma damage to the substrate is avoided because the plasma is sufficiently separated from the substrate surface.
  • Any power source capable of activating the gases into reactive species and maintaining the plasma of reactive species may be used.
  • radio frequency (RF), direct current (DC), or microwave (MW) based power discharge techniques may be used.
  • the activation may also be generated by a thermally based technique, a gas breakdown technique, a high intensity light source (e.g., UV energy), or exposure to an x-ray source.
  • a remote activation source may be used, such as a remote plasma generator, to generate a plasma of reactive species which are then delivered into the chamber 100.
  • exemplary remote plasma generators are available from vendors such as MKS Instruments, Inc. and Advanced Energy Industries, Inc.
  • an RF power supply is coupled to the electrode 240.
  • the gas delivery assembly 220 can be heated depending on the process gases and operations to be performed within the processing chamber 100.
  • a heating element 270 such as a resistive heater for example, can be coupled to the distribution plate 225.
  • the heating element 270 is a tubular member and is pressed into an upper surface of the distribution plate 225 as shown in more detail in Figures 2B and 2C.
  • the upper surface of the distribution plate 225 includes a groove or recessed channel having a width slightly smaller than the outer diameter of the heating element 270, such that the heating element 270 is held within the groove using an interference fit.
  • the heating element 270 regulates the temperature of the gas delivery assembly 220 since the components of the delivery assembly 220, including the distribution plate 225 and the blocker assembly 230, are each conductively coupled to one another. Regulation of the temperature may be facilitated by a thermocouple 272 coupled to the distribution plate 225.
  • the thermocouple 272 may be used in a feedback loop to control electric current applied to the heating element 270 from a power supply, such that the gas delivery assembly 220 temperature can be maintained or controlled at a desired temperature or within a desired temperature range. Control of the gas delivery assembly 220 temperature is facilitated because as described above, the gas delivery assembly 220 makes minimal thermal contact with the other components of the lid assembly 200, and as such, thermal conductivity is limited.
  • the lid assembly 200 can include one or more fluid channels 202 formed therein for flowing a heat transfer medium to provide temperature control of the gas delivery assembly 220.
  • the fluid channel 202 can be formed within the lid rim 210, as shown in Figure 2A.
  • the fluid channel 202 can be formed within any component of the lid assembly 200 to provide a uniform heat transfer to the gas delivery assembly 220.
  • the fluid channel 202 can contain either a heating or cooling medium to control temperature of the gas delivery assembly 220, depending on the process requirements within the chamber 100. Any heat transfer medium may be used, such as nitrogen, water, ethylene glycol, or mixtures thereof, for example.
  • the gas delivery assembly 220 can be heated using one or more heat lamps (not shown).
  • the heat lamps are arranged about an upper surface of the distribution plate 225 to heat the distribution plate 225 by radiation.
  • Figure 3A shows a partial cross sectional view of an illustrative support assembly 300.
  • the support assembly 300 can be at least partially disposed within the chamber body 112.
  • the support assembly 300 can include a support member 310 to support a substrate (not shown in this view) for processing within the chamber body 112.
  • the support member 310 can be coupled to a lift mechanism 330 through a shaft 314 which extends through a centrally-located opening 114 formed in a bottom surface of the chamber body 112.
  • the lift mechanism 330 can be flexibly sealed to the chamber body 112 by a bellows 333 that prevents vacuum leakage from around the shaft 314.
  • the lift mechanism 330 allows the support member 310 to be moved vertically within the chamber body 112 between a process position, an elevated cleaning position, and a lower, transfer position.
  • the transfer position is slightly below the opening of the slit valve 160 formed in a sidewall of the chamber body 112.
  • Figure 3B shows an enlarged partial cross sectional view of the support assembly 300 shown in Figure 3A.
  • the support member 310 has a flat, circular surface or a substantially flat, circular surface for supporting a substrate to be processed thereon.
  • the support member 310 is preferably constructed of aluminum.
  • the support member 310 can include a removable top plate 311 made of some other material, such as silicon or ceramic material, for example, to reduce backside contamination of the substrate.
  • the support member 310 or the top plate 311 can include a plurality of extensions or dimples 311 A arranged on the upper surface thereof.
  • the dimples 311 A are shown on the upper surface of the top plate 311. It can be envisioned that the dimples 311 A can be arranged on the upper surface of the support member 310 if a top plate 311 is not desired.
  • the dimples 311 A provide minimum contact between the lower surface of the substrate and the support surface of the support assembly 300 ⁇ i.e., either the support member 310 or the top plate 311).
  • the substrate may be secured to the support assembly 300 using a vacuum chuck.
  • the top plate 311 can include a plurality of holes 312 in fluid communication with one or more grooves 316 formed in the support member 310.
  • the grooves 316 are in fluid communication with a vacuum pump (not shown) via a vacuum conduit 313 disposed within the shaft 314 and the support member 310.
  • the vacuum conduit 313 can be used to supply a purge gas to the surface of the support member 310 to prevent deposition when a substrate is not disposed on the support member 310.
  • the vacuum conduit 313 can also pass a purge gas during processing to prevent a reactive gas or byproduct from contacting the backside of the substrate.
  • the substrate may be secured to the support member 310 using an electrostatic chuck.
  • the substrate can be held in place on the support member 310 by a mechanical clamp (not shown), such as a conventional clamp ring.
  • An electrostatic chuck typically includes at least a dielectric material that surrounds an electrode (not shown), which may be located on an upper surface of the support member 310 or formed as an integral part of the support member 310.
  • the dielectric portion of the chuck electrically insulates the chuck electrode from the substrate and from the remainder of the support assembly 300.
  • the perimeter of the chuck dielectric can be is slightly smaller than the perimeter of the substrate.
  • the substrate slightly overhangs the perimeter of the chuck dielectric so that the chuck dielectric will remain completely covered by the substrate even if the substrate is misaligned off center when positioned on the chuck. Assuring that the substrate completely covers the chuck dielectric ensures that the substrate shields the chuck from exposure to potentially corrosive or damaging substances within the chamber body 112.
  • the voltage for operating the electrostatic chuck can be supplied by a separate "chuck" power supply (not shown).
  • One output terminal of the chucking power supply is connected to the chuck electrode.
  • the other output terminal typically is connected to electrical ground, but alternatively may be connected to a metal body portion of the support assembly 300.
  • the substrate is placed in contact with the dielectric portion, and a direct current voltage is placed on the electrode to create the electrostatic attractive force or bias to adhere the substrate on the upper surface of the support member 310.
  • the support member 310 can include one or more bores 323 formed therethrough to accommodate a lift pin 325.
  • Each lift pin 325 is typically constructed of ceramic or ceramic-containing materials, and are used for substrate-handling and transport.
  • Each lift pin 325 is slideably mounted within the bore 323.
  • the bore 323 is lined with a ceramic sleeve to help freely slide the lift pin 325.
  • the lift pin 325 is moveable within its respective bore 323 by engaging an annular lift ring 320 disposed within the chamber body 112.
  • the lift ring 320 is movable such that the upper surface of the lift-pin 325 can be located above the substrate support surface of the support member 310 when the lift ring 320 is in an upper position. Conversely, the upper surface of the lift-pins 325 is located below the substrate support surface of the support member 310 when the lift ring 320 is in a lower position. Thus, part of each lift-pin 325 passes through its respective bore 323 in the support member 310 when the lift ring 320 moves from either the lower position to the upper position.
  • the lift pins 325 When activated, the lift pins 325 push against a lower surface of the substrate, lifting the substrate off the support member 310. Conversely, the lift pins 325 may be de-activated to lower the substrate, thereby resting the substrate on the support member 310.
  • the lift pins 325 can include enlarged upper ends or conical heads to prevent the pins 325 from falling out from the support member 310. Other pin designs can also be utilized and are well known to those skilled in the art.
  • one or more of the lift pins 325 include a coating or an attachment disposed thereon that is made of a non-skid or highly frictional material to prevent the substrate from sliding when supported thereon.
  • a preferred material is a high temperature, polymeric material that does not scratch or otherwise damage the backside of the substrate which would create contaminants within the processing chamber 100.
  • the coating or attachment is KALREZTM coating available from DuPont.
  • an actuator such as a conventional pneumatic cylinder or a stepper motor (not shown).
  • the stepper motor or cylinder drives the lift ring 320 in the up or down positions, which in turn drives the lift-pins 325 that raise or lower the substrate.
  • a substrate (not shown) is supported on the support member 310 by three lift-pins 325 (not shown in this view) dispersed approximately 120 degrees apart and projecting from the lift ring 320.
  • the support assembly 300 can include an edge ring 305 disposed about the support member 310.
  • the edge ring 305 can be made of a variety of materials such as ceramic, quartz, aluminum and steel, among others.
  • the edge ring 305 is an annular member that is adapted to cover an outer perimeter of the support member 310 and protect the support member 310 from deposition.
  • the edge ring 305 can be positioned on or adjacent the support member 310 to form an annular purge gas channel 334 between the outer diameter of support member 310 and the inner diameter of the edge ring 305.
  • the annular purge gas channel 334 can be in fluid communication with a purge gas conduit 335 formed through the support member 310 and the shaft 314.
  • the purge gas conduit 335 is in fluid communication with a purge gas supply (not shown) to provide a purge gas to the purge gas channel 334.
  • a purge gas supply (not shown) to provide a purge gas to the purge gas channel 334.
  • Any suitable purge gas such as nitrogen, argon, or helium, may be used alone or in combination.
  • the purge gas flows through the conduit 335, into the purge gas channel 334, and about an edge of the substrate disposed on the support member 310. Accordingly, the purge gas working in cooperation with the edge ring 305 prevents deposition at the edge and/or backside of the substrate.
  • the temperature of the support assembly 300 is controlled by a fluid circulated through a fluid channel 360 embedded in the body of the support member 310.
  • the fluid channel 360 is in fluid communication with a heat transfer conduit 361 disposed through the shaft 314 of the support assembly 300.
  • the fluid channel 360 is positioned about the support member 310 to provide a uniform heat transfer to the substrate receiving surface of the support member 310.
  • the fluid channel 360 and heat transfer conduit 361 can flow heat transfer fluids to either heat or cool the support member 310.
  • the flow of fluid circulated through the fluid channel 360 may be limited to prevent cooling of the fluid and thus encourage the top plate 311 to retain heat. This heat retention is desirable for cleaning processes.
  • the support assembly 300 can further include an embedded thermocouple (not shown) for monitoring the temperature of the support surface of the support member 310.
  • a signal from the thermocouple may be used in a feedback loop to control the temperature or flow rate of the fluid circulated through the fluid channel 360.
  • the support member 310 can be moved vertically within the chamber body 112 so that a distance between support member 310 and the lid assembly 200 can be controlled.
  • a sensor (not shown) can provide information concerning the position of support member 310 within chamber 100.
  • An example of a lifting mechanism for the support member 310 is described in detail in U.S. Patent No. 5,951 ,776, issued September 14, 1999 to S ⁇ lyutin, et al., entitled “Self-Aligning Lift Mechanism", which is hereby incorporated by reference in it entirety.
  • the support member 310 can be elevated to a close proximity of the lid assembly 200 to control the temperature of the substrate being processed.
  • the substrate can be heated via radiation emitted from the distribution plate 225 that is controlled by the heating element 270.
  • the substrate can be lifted off the support member 310 to close proximity of the heated lid assembly 200 using the lift pins 325 activated by the lift ring 320.
  • FIG. 1 shows a partial cross sectional view of another illustrative lid assembly 400.
  • the lid assembly 400 includes at least two stacked components configured to form a plasma volume or cavity therebetween.
  • the lid assembly 400 includes a first electrode 410 ("upper electrode”) disposed vertically above a second electrode 450 (“lower electrode”) confining a plasma volume or cavity 425 therebetween.
  • the first electrode 410 is connected to a power source 415, such as an RF power supply, and the second electrode 450 is connected to ground, forming a capacitance between the two electrodes 410, 450.
  • the lid assembly 400 includes one or more gas inlets 412 (only one is shown) that are at least partially formed within an upper section 413 of the first electrode 410.
  • the one or more process gases enter the lid assembly 400 via the one or more gas inlets 412.
  • the one or more gas inlets 412 are in fluid communication with the plasma cavity 425 at a first end thereof and coupled to one or more upstream gas sources and/or other gas delivery components, such as gas mixers, at a second end thereof.
  • the first end of the one or more gas inlets 412 can open into the plasma cavity 425 at the upper most point of the inner diameter 430 of the expanding section 420 as shown in Figure 4A.
  • the first end of the one or more gas inlets 412 can open into the plasma cavity 425 at any height interval along the inner diameter 430 of the expanding section 420.
  • two gas inlets 412 can be disposed at opposite sides of the expanding section 420 to create a swirling flow pattern or "vortex" flow into the expanding section 420 which helps mix the gases within the plasma cavity 425.
  • a more detailed description of such a flow pattern and gas inlet arrangements is provided by U.S. Patent Application No. 20030079686, filed on December 21 , 2001 , which is incorporated by reference herein.
  • the first electrode 410 has an expanding section 420 that houses the plasma cavity 425. As shown in Figure 4A, the expanding section 420 is in fluid communication with the gas inlet 412 as described above. In one or more embodiments, the expanding section 420 is an annular member that has an inner surface or diameter 430 that gradually increases from an upper portion 420A thereof to a lower portion 420B thereof. As such, the distance between the first electrode 410 and the second electrode 450 is variable. That varying distance helps control the formation and stability of the plasma generated within the plasma cavity 425.
  • the expanding section 420 resembles a cone or "funnel," as is shown in Figures 4A and 4B.
  • Figure 4B shows an enlarged schematic, partial cross sectional view of the upper electrode of Figure 4A.
  • the inner surface 430 of the expanding section 420 gradually slopes from the upper portion 420A to the lower portion 420B of the expanding section 420.
  • the slope or angle of the inner diameter 430 can vary depending on process requirements and/or process limitations.
  • the length or height of the expanding section 420 can also vary depending on specific process requirements and/or limitations.
  • the slope of the inner diameter 430, or the height of the expanding section 420, or both can vary depending on the volume of plasma needed for processing.
  • the slope of the inner diameter 430 can be at least 1 :1 , or at least 1.5:1 or at least 2:1 or at least 3:1 or at least 4:1 or at least 5:1 or at least 10:1. In one or more embodiments, the slope of the inner diameter 430 can range from a low of 2:1 to a high of 20:1.
  • the expanding section 420 can be curved or arced although not shown in the figures.
  • the inner surface 430 of the expanding section 420 can be curved or arced to be either convex or concave.
  • the inner surface 430 of the expanding section 420 can have a plurality of sections that are each sloped, tapered, convex, or concave.
  • the expanding section 420 of the first electrode 410 varies the vertical distance between the first electrode 410 and the second electrode 450 because of the gradually increasing inner surface 430 of the first electrode 410. That variable distance is directly related to the power level within the plasma cavity 425.
  • the variation in distance between the two electrodes 410, 450 allows the plasma to find the necessary power level to sustain itself within some portion of the plasma cavity 425 if not throughout the entire plasma cavity 425.
  • the plasma within the plasma cavity 425 is therefore less dependent on pressure, allowing the plasma to be generated and sustained within a wider operating window. As such, a more repeatable and reliable plasma can be formed within the lid assembly 400.
  • the first electrode 410 can be constructed from any process compatible materials, such as aluminum, anodized aluminum, nickel plated aluminum, nickel plated aluminum 6061 -T6, stainless steel as well as combinations and alloys thereof, for example.
  • the entire first electrode 410 or portions thereof are nickel coated to reduce unwanted particle formation.
  • at least the inner surface 430 of the expanding section 420 is nickel plated.
  • the second electrode 450 can include one or more stacked plates. When two or more plates are desired, the plates should be in electrical communication with one another. Each of the plates should include a plurality of apertures or gas passages to allow the one or more gases from the plasma cavity 425 to flow through.
  • the lid assembly 400 can further include an isolator ring 440 to electrically isolate the first electrode 410 from the second electrode 450.
  • the isolator ring 440 can be made from aluminum oxide or any other insulative, process compatible material.
  • the isolator ring 440 surrounds or substantially surrounds at least the expanding section 420 as shown in Figure 4B.
  • the second electrode 450 includes a top plate 460, distribution plate 470 and blocker plate 480.
  • the top plate 460, distribution plate 470 and blocker plate 480 are stacked and disposed on a lid rim 490 which is connected to the chamber body 112 as shown in Figure 4B.
  • a hinge assembly (not shown) can be used to couple the lid rim 490 to the chamber body 112.
  • the Hd rim 490 can include an embedded channel or passage 492 for housing a heat transfer medium.
  • the heat transfer medium can be used for heating, cooling, or both, depending on the process requirements. Illustrative heat transfer mediums are listed above.
  • the top plate 460 includes a plurality of gas passages or apertures 465 formed beneath the plasma cavity 425 to allow gas from the plasma cavity 425 to flow therethrough.
  • the top plate 460 can include a recessed portion 462 that is adapted to house at least a portion of the first electrode 410.
  • the apertures 465 are through the cross section of the top plate 460 beneath the recessed portion 462.
  • the recessed portion 462 of the top plate 460 can be stair stepped as shown in Figure 4A to provide a better sealed fit therebetween.
  • the outer diameter of the top plate 460 can be designed to mount or rest on an outer diameter of the distribution plate 470 as shown in Figure 4A.
  • An o-ring type seal such as an elastomeric o-ring 463, can be at least partially disposed within the recessed portion 462 of the top plate 460 to ensure a fluid-tight contact with the first electrode 410.
  • an o-ring type seal 466 can be used to provide a fluid-tight contact between the outer perimeters of the top plate 460 and the distribution plate 470.
  • the distribution plate 470 is identical to the distribution plate 225 shown and described above with reference to Figures 2A-2C. Particularly, the distribution plate 470 is substantially disc-shaped and includes a plurality of apertures 475 or passageways to distribute the flow of gases therethrough. The apertures 475 can be sized and positioned about the distribution plate 470 to provide a controlled and even flow distribution to the chamber body 112 where the substrate to be processed is located.
  • the distribution plate 470 can also include an annular mounting flange 472 formed at an outer perimeter thereof.
  • the mounting flange 472 can be sized to rest on an upper surface of the lid rim 490.
  • An o-ring type seal such as an elastomeric o-ring, can be at least partially disposed within the annular mounting flange 472 to ensure a fluid-tight contact with the lid rim 490.
  • the distribution plate 470 includes one or more embedded channels or passages 474 for housing a heater or heating fluid to provide temperature control of the lid assembly 400. Similar to the lid assembly 200 described above, a resistive heating element can be inserted within the passage 474 to heat the distribution plate 470. A thermocouple can be connected to the distribution plate 470 to regulate the temperature thereof. The thermocouple can be used in a feedback loop to control electric current applied to the heating element, as described above.
  • a heat transfer medium can be passed through the passage 474.
  • the one or more passages 474 can contain a cooling medium, if needed, to better control temperature of the distribution plate 470 depending on the process requirements within the chamber body 112.
  • any heat transfer medium may be used, such as nitrogen, water, ethylene glycol, or mixtures thereof, for example.
  • the lid assembly 400 can be heated using one or more heat lamps (not shown).
  • the heat lamps are arranged about an upper surface of the distribution plate 470 to heat the components of the lid assembly 400 including the distribution plate 470 by radiation.
  • the blocker plate 480 is optional and would be disposed between the top plate 460 and the distribution plate 470.
  • the blocker plate 480 is removably mounted to a lower surface of the top plate 460.
  • the blocker plate 480 should make good thermal and electrical contact with the top plate 460.
  • the blocker plate 480 can be coupled to the top plate 460 using a bolt or similar fastener.
  • the blocker plate 480 can also be threaded or screwed onto an outer diameter of the top plate 460.
  • the blocker plate 480 includes a plurality of apertures 485 to provide a plurality of gas passages from the top plate 460 to the distribution plate 470.
  • the apertures 485 can be sized and positioned about the blocker plate 480 to provide a controlled and even flow distribution the distribution plate 470.
  • Figure 4C shows a partial cross sectional view of the chamber body 112 having the lid assembly 400 disposed thereon.
  • the expanding section 420 is centered above the support assembly 300 as shown in Figure 4C.
  • the confinement of the plasma within the plasma cavity 425 and the central location of the confined plasma allow an even and repeatable distribution of the disassociated gas(es) into the chamber body 112.
  • the gas leaving the plasma volume 425 flows through the apertures 465 of the top plate 460 to the upper surface of the blocker plate 480.
  • the apertures 485 of the blocker plate 480 distribute the gas to the backside of the distribution plate 470 where the gas is further distributed through the apertures 475 of the distribution plate 470 before contacting the substrate (not shown) within the chamber body 112.
  • the dry etch process begins by placing a substrate (not shown), such as a semiconductor substrate for example, into the processing chamber 100.
  • the substrate is typically placed into the chamber body 112 through the slit valve opening 160 and disposed on the upper surface of the support member 310.
  • the substrate is chucked to the upper surface of the support member 310, and an edge purge is passed through the channel 334.
  • the substrate is chucked to the upper surface of the support member 310 by pulling a vacuum through the holes 312 and grooves 316 that are in fluid communication with a vacuum pump via conduit 313.
  • the support member 310 is then lifted to a processing position within the chamber body 112, if not already in a processing position.
  • the chamber body 112 is preferably maintained at a temperature of between 5O 0 C and 80 0 C, more preferably at about 65 0 C. This temperature of the chamber body 112 is maintained by passing a heat transfer medium through the fluid channel 113.
  • the substrate is cooled below 65°C, such as between 15°C and 50°C, by passing a heat transfer medium or coolant through the fluid channel 360 formed within the support assembly 300.
  • the substrate is maintained below room temperature.
  • the substrate is maintained at a temperature of between 22 0 C and 40 0 C.
  • the support member 310 is maintained below about 22°C to reach the desired substrate temperatures specified above.
  • the coolant is passed through the fluid channel 360.
  • a continuous flow of coolant is preferred to better control the temperature of the support member 310.
  • the coolant is preferably 50 percent by volume ethylene glycol and 50 percent by volume water. Of course, any ratio of water and ethylene glycol can be used so long as the desired temperature of the substrate is maintained.
  • the ammonia and nitrogen trifluoride gases are then introduced into the chamber 100 to form a cleaning gas mixture.
  • the amount of each gas introduced into the chamber is variable and may be adjusted to accommodate, for example, the thickness of the oxide layer to be removed, the geometry of the substrate being cleaned, the volume capacity of the plasma, the volume capacity of the chamber body 112, as well as the capabilities of the vacuum system coupled to the chamber body 112.
  • the gases are added to provide a gas mixture having at least a 1 :1 molar ratio of ammonia to nitrogen trifluoride.
  • the molar ratio of the gas mixture is at least about 3 to 1 (ammonia to nitrogen trifluoride).
  • the gases are introduced in the chamber 100 at a molar ratio of from 5:1 (ammonia to nitrogen trifluoride) to 30:1. More preferably, the molar ratio of the gas mixture is of from about 5 to 1 (ammonia to nitrogen trifluoride) to about 10 to 1. The molar ratio of the gas mixture may also fall between about 10:1 (ammonia to nitrogen trifluoride) and about 20:1.
  • a purge gas or carrier gas may also be added to the gas mixture.
  • Any suitable purge/carrier gas may be used, such as argon, helium, hydrogen, nitrogen, or mixtures thereof, for example.
  • the overall gas mixture is from about 0.05% to about 20% by volume of ammonia and nitrogen trifluoride. The remainder being the carrier gas.
  • the purge or carrier gas is first introduced into the chamber body 112 before the reactive gases to stabilize the pressure within the chamber body 112.
  • the operating pressure within the chamber body 112 can be variable. Typically, the pressure is maintained between about 500 mTorr and about 30 Torr. Preferably, the pressure is maintained between about 1 Torr and about 10 Torr. More preferably, the operating pressure within the chamber body 112 is maintained between about 3 Torr and about 6 Torr.
  • An RF power of from about 5 and about 600 Watts is applied to the electrode 240 to ignite a plasma of the gas mixture within the volumes 261 , 262, and 263 contained in the gas delivery assembly 220.
  • the RF power is less than 100 Watts. More preferable is that the frequency at which the power is applied is very low, such as less than 100 kHz. Preferably, the frequency ranges from about 50 kHz to about 90 kHz.
  • the plasma energy dissociates the ammonia and nitrogen trifluoride gases into reactive species that combine to form a highly reactive ammonia fluoride (NH 4 F) compound and/or ammonium hydrogen fluoride (NH 4 F HF) in the gas phase. These molecules then flow through the gas delivery assembly 220 via the holes 225A of the distribution plate 225 to react with the substrate surface to be cleaned.
  • the carrier gas is first introduced into the chamber 100, a plasma of the carrier gas is generated, and then the reactive gases, ammonia and nitrogen trifluoride, are added to the plasma.
  • the etchant gas NH 4 F and/or NH 4 F HF, reacts with the silicon oxide surface to form ammonium hexafluorosilicate (NH 4 ) 2 SiF 6 , NH 3 , and H 2 O products.
  • the NH 3 , and H 2 O are vapors at processing conditions and removed from the chamber 100 by the vacuum pump 125.
  • the volatile gases flow through the apertures 135 formed in the liner 133 into the pumping channel 129 before the gases exit the chamber 100 through the vacuum port 131 into the vacuum pump 125.
  • a thin film of (NH 4 ) 2 SiF 6 is left behind on the substrate surface.
  • the support member 310 having the substrate supported thereon is elevated to an anneal position in close proximity to the heated distribution plate 225.
  • the heat radiated from the distribution plate 225 should be sufficient to dissociate or sublimate the thin film of (NH 4 J 2 SiF 6 into volatile SiF 4 , NH 3 , and HF products.
  • These volatile products are then removed from the chamber 100 by the vacuum pump 125 as described above.
  • a temperature of 75°C or more is used to effectively sublimate and remove the thin film from the substrate.
  • a temperature of 100 0 C or more is used, such as between about 115°C and about 200°C.
  • the thermal energy to dissociate the thin film of (NH 4 ) 2 SiF 6 into its volatile components is convected or radiated by the distribution plate 225.
  • a heating element 270 is directly coupled to the distribution plate 225, and is activated to heat the distribution plate 225 and the components in thermal contact therewith to a temperature between about 75°C and 250°C.
  • the distribution plate 225 is heated to a temperature of between 100 0 C and 150 0 C, such as about 120 0 C.
  • the lift mechanism 330 can elevate the support member 310 toward a lower surface of the distribution plate 225.
  • the substrate is secured to the support member 310, such as by the vacuum chuck or electrostatic chuck described above.
  • the substrate can be lifted off the support member 310 and placed in close proximity to the heated distribution plate 225 by elevating the lift pins 325 via the lift ring 320.
  • the distance between the upper surface of the substrate having the thin film thereon and the distribution plate 225 is not critical and is a matter of routine experimentation. A person of ordinary skill in the art can easily determine the spacing required to efficiently and effectively vaporize the thin film without damaging the underlying substrate. It is believed, however, that a spacing of between about 0.254 mm (10 mils) and 5.08 mm (200 mils) is effective.
  • the chamber is purged and evacuated.
  • the cleaned substrate is then removed from the chamber body 112 by lowering the substrate to the transfer position, de-chucking the substrate, and transferring the substrate through the slit valve opening 160.
  • the chamber body may need to be cleaned.
  • Cleaning the chamber body 112 can be performed by elevating the support member 310 into a raised position. This elevated position has a distance between the support member 310 and the distribution plate of about 0.100 inches or less.
  • the support member 310 can be heated by the heat radiated from the distribution plate 225 or by heating the support member resistively or by supplying heating fluid to the fluid channels in the support member 310. Preferably, the fluid inlet to the cooling fluid channels is blocked.
  • Gases with high heat conductivity such as mixtures of hydrogen, helium, and argon are introduced through the gas distribution plate 225. Heating the support member 310 helps to dissociate or sublimate the thin film of water and ammonium fluorosilicate (NH 4 ) 2 SiF 6 into volatile SiF 4 , NH 3 , and HF products. These volatile products are then removed from the chamber 100 by the vacuum pump 125 as described above. Additionally, a plasma may be generated to further encourage cleaning. The plasma may prevent recombination of byproducts such as silicon oxide as the vaporized deposits are flowed through the exhaust system. [00105] Typically, a temperature of 100°C or more is used to effectively sublimate and remove the deposits in the chamber.
  • a temperature of about 100 0 C can be reached within about one hour and a temperature of about 140°C can be reached within about three hours.
  • a temperature of 100°C or more is used, such as between about 115°C and about 200°C.
  • temperature of about 35°C can be achieved in about half an hour when the cleaning process is complete by introducing cooling fluid back to the system by opening the blocked fluid inlet.
  • Blocking the fluid inlet to the support member 310 results in a temperature gradient between the upper portion of the support member 310 and the base of the support member.
  • the temperature of the support member 310 closest to the gas distribution plate may reach about 140 0 C and the base of the support member 310 may remain relatively stable at about ambient temperature.
  • the distance between the upper surface of the substrate having the thin film thereon and the distribution plate 225 is selected to efficiently and effectively vaporize the thin film without damaging the underlying substrate.
  • a spacing of between about 0.254 mm (10 mils) and 5.08 mm (200 mils) is selected.
  • a system controller (not shown) can be used to regulate the operations of the processing chamber 100.
  • the system controller can operate under the control of a computer program stored on a hard disk drive of a computer.
  • the computer program can dictate the process sequencing and timing, mixture of gases, chamber pressures, RF power levels, susceptor positioning, slit valve opening and closing, wafer cooling and other parameters of a particular process.
  • the interface between a user and the system controller can be made via a CRT monitor and light pen (not shown).
  • two monitors are used, one monitor mounted in the clean room wall for the operators and the other monitor behind the wall for the service technicians. Also preferred is that both monitors simultaneously display the same information but only one light pen is enabled.
  • the light pen detects light emitted by the CRT display with a light sensor in the tip of the pen. To select a particular screen or function, the operator can touch a designated area of the display screen and push the button on the pen.
  • the display screen generally confirms communication between the light pen and the touched area by changing its appearance, i.e., highlight or color, or displaying a new menu or screen.
  • a variety of processes can be implemented using a computer program product that runs on, for example, the system controller.
  • the computer program code can be written in any conventional computer readable programming language such as for example 68000 assembly language, C, C++, or Pascal.
  • Suitable program code can be entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled library routines. To execute the linked compiled object code, the system user invokes the object code, causing the computer system to load the code in memory, from which the CPU reads and executes the code to perform the tasks identified in the program.
  • Figures 5A-5H are sectional schematic views of an exemplary fabrication sequence for forming an exemplary active electronic device, such as a MOSFET structure 500, utilizing the dry etch process and the processing chamber 100 described herein.
  • the exemplary MOSFET structure may be formed on a semiconductor material, for example a silicon or gallium arsenide substrate 525.
  • the substrate 525 is a silicon wafer having a ⁇ 100> crystallographic orientation and a diameter of 150 mm (6 inches), 200 mm (8 inches), or 300 mm (12 inches).
  • the MOSFET structure includes a combination of (i) dielectric layers, such as silicon dioxide, organosilicate, carbon doped silicon oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), silicon nitride, or combinations thereof; (ii) semiconducting layers such as doped polysilicon, and n-type or p-type doped monocrystalline silicon; and (iii) electrical contacts and interconnect lines formed from layers of metal or metal suicide, such as tungsten, tungsten suicide, titanium, titanium suicide, cobalt suicide, nickel suicide, or combinations thereof.
  • fabrication of the active electronic device begins by forming electrical isolation structures that electrically isolate the active electronic device from other devices.
  • a field oxide layer (not shown) having a thickness of about 2,000 angstroms is first grown over the entire substrate 525, and portions of the oxide layer are removed to form the field oxide barriers 545A,B which surround exposed regions in which the electrically active elements of the device are formed. The exposed regions are thermally oxidized to form a thin gate oxide layer 550 having a thickness of from about 50 to 300 angstroms.
  • a polysilicon layer is then deposited, patterned, and etched to create a gate electrode 555. The surface of the polysilicon gate electrode 555 can be reoxidized to form an insulating dielectric layer 560, providing the structure shown in Figure 5A.
  • the source and drain 570A,B are next formed by doping the appropriate regions with suitable dopant atoms.
  • an n-type dopant species comprising arsenic or phosphorous is used.
  • the doping is performed by an ion implanter and might include, for example, phosphorous ( 31 P) at a concentration of about 10 13 atoms/cm 2 at an energy level of from about 30 to 80 Kev, or Arsenic ( 75 As) at a dose of from about 10 15 to 10 17 atoms/cm 2 and an energy of from 10 to 100 Kev.
  • the dopant is driven into the substrate 525 by heating the substrate, for example, in a rapid thermal processing (RTP) apparatus.
  • RTP rapid thermal processing
  • the oxide layer 550 covering the source and drain regions 570A.B is stripped in a conventional stripping process to remove any impurities caused by the implantation process which are trapped in the oxide layer, providing the structure shown in Figure 8B.
  • a silicon nitride layer 575 is deposited on the gate electrode 555 and the surfaces on the substrate 525 by low-pressure chemical vapor deposition (LPCVD) using a gas mixture of SiH 2 , Cl 2 , and NH 3 .
  • LPCVD low-pressure chemical vapor deposition
  • the silicon nitride layer 575 is then etched using reactive ion etching (RIE) techniques to form nitride spacers 580 on the sidewall of the gate electrode 555, as shown in Figure 5D.
  • RIE reactive ion etching
  • the electrical isolation sidewall spacers 580 and overlayers can be fabricated from other materials, such as silicon oxide.
  • the silicon oxide layers used to form sidewall spacers 580 are typically deposited by CVD or PECVD from a feed gas of tetraethoxysilane (TEOS) at a temperature in the range of from about 600°C to about 1 ,000°C.
  • TEOS tetraethoxysilane
  • a native silicon oxide layer 585 is formed on exposed silicon surfaces by exposure to the atmosphere before and after the processes.
  • the native silicon oxide layer 585 must be removed prior to forming conductive metal suicide contacts on the gate 555, source 570A, and drain 570B to improve the alloying reaction and electrical conductivity of the metal suicide formed.
  • the native silicon oxide layer 585 can increase the electrical resistance of the semiconducting material, and adversely affect the silicidation reaction of the silicon and metal layers that are subsequently deposited. Therefore, it is necessary to remove this native silicon dioxide layer 585 using the dry etch process described prior to forming metal suicide contacts or conductors for interconnecting active electronic devices.
  • the dry etch process removes the native silicon oxide layers 585 to expose the source 570A, drain 570B, and the top surface of the gate electrode 555 as shown in Figure 5F.
  • a PVD sputtering process is used to deposit a layer of metal 590.
  • Conventional furnace annealing is then used to anneal the metal and silicon layers to form metal suicide in regions in which the metal layer 590 is in contact with silicon.
  • the anneal is typically performed in a separate processing system.
  • a protective cap layer (not shown) may be deposited over the metal 590.
  • the cap layers are typically nitride materials and may include one or more materials selected from the group consisting of titanium nitride, tungsten nitride, tantalum nitride, hafnium nitride, and silicon nitride.
  • the cap layer may be deposited by any deposition process, preferably by PVD.
  • bulk metal is deposited as shown in Figure 5I as bulk fill 535.
  • the bulk metal may be tungsten or some other metal.
  • Annealing typically involves heating the substrate 500 to a temperature of between 600 0 C and 800°C in an atmosphere of nitrogen for about 30 minutes.
  • the metal suicide 595 can be formed utilizing a rapid thermal annealing process in which the substrate 500 is rapidly heated to about 1000 0 C for about 30 seconds.
  • Suitable conductive metals include cobalt, titanium, nickel, tungsten, platinum, and any other metal that has a low contact resistance and that can form a reliable metal suicide contact on both polysilicon and monocrystalline silicon.
  • Unreacted portions of the metal layer 590 can be removed by a wet etch using aqua regia, (HCI and HNO 3 ) which removes the metal without attacking the metal suicide 595; the spacer 580, or the field oxide 545A,B, thus leaving a self- aligned metal suicide contact 595 on the gate 555, source 570A, and drain 570B, as shown in Figure 5H.
  • an insulating cover layer comprising, for example, silicon oxide, BPSG, or PSG, can be deposited on the electrode structures.
  • the insulating cover layer is deposited by means of chemical-vapor deposition in a CVD chamber, in which the material condenses from a feed gas at low or atmospheric pressure, as for example, described in commonly assigned U.S. Pat. No. 5,500,249, issued March 19, 1996, which is incorporated herein by reference. Thereafter, the structure 500 is annealed at glass transition temperatures to form a smooth planarized surface.
  • the processing chamber 100 can be integrated into a multi-processing platform, such as an EnduraTM platform available from Applied Materials, Inc. located in Santa Clara, California. Such a processing platform is capable of performing several processing operations without breaking vacuum. Details of the EnduraTM platform are described in commonly assigned U.S. Patent Application Serial No. 09/451 ,628, entitled “Integrated Modular Processing Platform", filed on November 30, 1999, which is incorporated by reference herein.
  • Figure 6 is a schematic top-view diagram of an illustrative multi-chamber processing system 600.
  • the system 600 can include one or more load lock chambers 602, 604 for transferring of substrates into and out of the system 600.
  • the load lock chambers 602, 604 may "pump down" the substrates introduced into the system 600.
  • a first robot 610 may transfer the substrates between the load lock chambers 602, 604, and a first set of one or more substrate processing chambers 612, 614, 616, 618 (four are shown).
  • Each processing chamber 612, 614, 616, 618 can be outfitted to perform a number of substrate processing operations including the dry etch processes described herein in addition to cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation and other substrate processes.
  • CLD cyclical layer deposition
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • the first robot 610 can also transfer substrates to/from one or more transfer chambers 622, 624.
  • the transfer chambers 622, 624 can be used to maintain ultrahigh vacuum conditions while allowing substrates to be transferred within the system 600.
  • a second robot 630 can transfer the substrates between the transfer chambers 622, 624 and a second set of one or more processing chambers 632, 634, 636, and 638.
  • the processing chambers 632, 634, 636, 638 can be outfitted to perform a variety of substrate processing operations including the dry etch processes described herein in addition to cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, and orientation, for example.
  • CLD cyclical layer deposition
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • etch pre-clean
  • degas degas
  • orientation orientation
  • An illustrative multi-processing system 600 for forming the MOSFET structure of Figures 5A-5H can include two processing chambers 100 as described above, two physical vapor deposition chambers to deposit the metal 500 and two physical vapor deposition chambers to deposit the optional cap layer (not shown). Any one of the processing chambers 612, 614, 616, 618, 632, 634, 636, 638 shown in Figure 6 represent the PVD chambers and/or processing chambers 100.
  • the dry etch process described herein can also be used to form other semiconductor structures and devices that have other metal suicide layers, for example, suicides of tungsten, tantalum, molybdenum.
  • the cleaning process can also be used prior to the deposition of layers of different metals including, for example, aluminum, copper, cobalt, nickel, silicon, titanium, palladium, hafnium, boron, tungsten, tantalum, or mixtures thereof.
  • Figure 7 is a partial cross sectional view showing one embodiment of a processing chamber 700.
  • the processing chamber 700 includes a lid assembly 700 disposed at an upper end of a chamber body 712, and a support assembly 700 at least partially disposed within the chamber body 712.
  • the processing chamber also includes a remote plasma generator 740 having a remote electrode with a U-shaped cross section as described further by Figure 8.
  • the chamber 700 and the associated hardware are preferably formed from one or more process-compatible materials, for example, aluminum, anodized aluminum, nickel plated aluminum, nickel plated aluminum 6061 -T6, stainless steel, as well as combinations and alloys thereof.
  • the support assembly 710 is partially disposed within the chamber body 712.
  • the support assembly 710 is raised and lowered by the shaft (not shown) which is enclosed by bellows 733.
  • the chamber body 712 includes a slit valve 760 formed in a sidewall thereof to provide access to the interior of the chamber 700.
  • the slit valve 760 is selectively opened and closed to allow access to the interior of the chamber body 712 by a wafer handling robot (not shown). Wafer handling robots are well known to those with skill in the art, and any suitable robot may be used.
  • a wafer can be transported in and out of the process chamber 700 through the slit valve opening 760 to an adjacent transfer chamber and/or load-lock chamber (not shown), or another chamber within a cluster tool.
  • Illustrative cluster tools include but are not limited to the PRODUCERTM, CENTURATM, ENDURATM, and ENDURASLTM platforms available from Applied Materials, Inc. of Santa Clara, CA.
  • the chamber body also includes a channel (not shown) formed therein for flowing a heat transfer fluid therethrough.
  • the heat transfer fluid can be a heating fluid or a coolant and is used to control the temperature of the chamber body 712 during processing and substrate transfer.
  • the temperature of the chamber body 712 is important to prevent unwanted condensation of the gas or byproducts on the chamber walls.
  • Exemplary heat transfer fluids include water, ethylene glycol, or a mixture thereof.
  • An exemplary heat transfer fluid may also include nitrogen gas.
  • the chamber body 712 further includes a liner 733 that surrounds the support assembly 700, and is removable for servicing and cleaning.
  • the liner 733 is preferably made of a metal such as aluminum, or a ceramic material. However, any process compatible material may be used.
  • the liner 733 may be bead blasted to increase the adhesion of any material deposited thereon, thereby preventing flaking of material which results in contamination of the chamber 700.
  • the liner 733 typically includes one or more apertures 735 and a pumping channel 729 formed therein that is in fluid communication with a vacuum system. The apertures provide a flow path for gases into the pumping channel 729, and the pumping channel provides a flow path through the liner 733 so the gases can exit the chamber 700.
  • the vacuum system includes a vacuum pump (not shown) and a throttle valve (not shown) to regulate flow of gases within the chamber 700.
  • the vacuum pump is coupled to a vacuum port (not shown) disposed on the chamber body 712, and is in fluid communication with the pumping channel 729 formed within the liner 733.
  • the vacuum pump and the chamber body 712 are selectively isolated by a throttle valve to regulate flow of the gases within the chamber 700.
  • gas and “gases” are used interchangeably, unless otherwise noted, and refer to one or more precursors, reactants, catalysts, carrier, purge, cleaning, combinations thereof, as well as any other fluid introduced into the chamber body 712.
  • the lid assembly 700 includes a number of components stacked on top of one another.
  • the lid assembly 700 includes a lid rim 710, gas delivery assembly 720, and a top plate 750.
  • the lid rim 710 is designed to hold the weight of the components making up the lid assembly 700 and is coupled to an upper surface of the chamber body 712 via a hinge assembly (not shown in this view) to provide access to the internal chamber components.
  • the gas delivery assembly 720 is coupled to an upper surface of the lid rim 710 and is arranged to make minimum thermal contact therewith.
  • the components of the lid assembly 700 are preferably constructed of a material having a high thermal conductivity and low thermal resistance, such as an aluminum alloy with a highly finished surface, for example.
  • the thermal resistance of the components is less than about 5x10 "4 m 2 K/W.
  • the gas delivery assembly 720 includes a gas distribution plate or showerhead.
  • a gas supply panel (not shown) is typically used to provide the one or more gases to the chamber 700.
  • the particular gas or gases that are used depend upon the process to be performed within the chamber 700.
  • the typical gases include one or more precursors, reductants, catalysts, carriers, purge, cleaning, or any mixture or combination thereof.
  • the one or more gases are introduced to the chamber 700 into the Hd assembly 700 and then into the chamber body 712 through the gas delivery assembly 720.
  • An electronically operated valve and/or flow control mechanism may be used to control the flow of gas from the gas supply into the chamber 700.
  • the gas is delivered from a gas box (not shown) to the chamber 700 where the gas line tees into two separate gas lines which feed gases to the chamber body 712 as described above.
  • a gas box not shown
  • any number of gases can be delivered in this manner and can be mixed either in the chamber 700 or before they are delivered to the chamber 700.
  • the lid assembly may further include an electrode 740 to generate a plasma of reactive species within the lid assembly 700.
  • the electrode 740 is supported on the top plate 750 and is electrically isolated therefrom.
  • An isolator filler ring (not shown) is disposed about a lower portion of the electrode 740 separating the electrode 740 from the top plate 750.
  • An annular isolator (not shown) is disposed about an upper portion of the isolator filler ring and rests on an upper surface of the top plate 750, as shown in Figure 1.
  • An annular insulator (not shown) is then disposed about an upper portion of the electrode 740 so that the RF plate 740 is electrically isolated from the other components of the lid assembly 710.
  • Each of these rings, the isolator filler and annular isolators can be made from aluminum oxide or any other insulative, process compatible material.
  • the electrode 740 is coupled to a power source (not shown) while the gas delivery assembly 720 is connected to ground. Accordingly, a plasma of the one or more process gases is struck in the volume formed between the electrode 740 and the gas delivery assembly 720.
  • the plasma may also be contained within the volumes formed by blocker plates. In the absence of a blocker plate assembly, the plasma is struck and contained between the electrode 740 and the gas delivery assembly 720. In either embodiment, the plasma is well confined or contained within the lid assembly 710.
  • Any power source capable of activating the gases into reactive species and maintaining the plasma of reactive species may be used.
  • radio frequency (RF), direct current (DC), alternating current (AC), or microwave (MW) based power discharge techniques may be used.
  • the activation may also be generated by a thermally based technique, a gas breakdown technique, a high intensity light source (e.g., UV energy), or exposure to an x-ray source.
  • a remote activation source may be used, such as a remote plasma generator, to generate a plasma of reactive species which are then delivered into the chamber 700.
  • Exemplary remote plasma generators are available from vendors such as MKS Instruments, Inc. and Advanced Energy Industries, Inc.
  • an RF power supply is coupled to the electrode 740.
  • the gas delivery assembly 720 may be heated depending on the process gases and operations to be performed within the chamber 700.
  • a heating element 770 such as a resistive heater for example, is coupled to the gas delivery assembly 720.
  • the heating element 770 is a tubular member and is pressed into an upper surface of the gas delivery assembly 720.
  • the upper surface of the gas delivery assembly 720 includes a groove or recessed channel having a width slightly smaller than the outer diameter of the heating element 770, such that the heating element 770 is held within the groove using an interference fit.
  • the heating element 770 regulates the temperature of the gas delivery assembly 720 since the components of the delivery assembly 720, including the gas delivery assembly 720 and the blocker assembly are each conductively coupled to one another. Additional details of the processing chamber may be found in United States Patent Application Number 11/063,645, filed February 22, 2005 which is incorporated by reference herein.
  • FIG. 8 illustrates components of the remote plasma generator 840.
  • Inlet 841 supplies gas to the generator 840.
  • Insulators 842 insulate the electrode 843 from the ground 844.
  • Chamber 845 provides a region for the plasma to ignite and flow toward valve 846.
  • the valve is in fluid communication with a mixing region which is connected to an additional gas supply 848.
  • the plasma and gases may flow from the valve 846 to the lid assembly.
  • the U-shaped electrode 843 and chamber 845 have geometrical properties that may be defined by ratios. For example, the ratio of surface area of the electrode to the volume of the chamber is higher than traditional cylindrical, spherical, or rectangular electrodes that are housed in cylindrical or rectangular chambers with comparable dimensions such as height and width of the electrode and the chamber.
  • the ratio of the surface area of the electrode to the surface area of the walls of the chamber is higher for the U-shaped electrode than for traditional cylindrical, spherical, or rectangular electrodes that are housed in cylindrical or rectangular chambers with comparable dimensions such as height and width of the electrode and the chamber.
  • components of the chamber 700 described above are regularly inspected, replaced, or cleaned.
  • These components are typically parts that are collectively known as the "process kit.” More particularly, components of the process kit include, but are not limited to the gas delivery assembly 720, the top plate (not shown), the edge ring (not shown), the liner 733, and the lift pins (not shown), for example. Any one or more of these components are typically removed from the chamber 700 and cleaned or replaced at regular intervals or according to an as-needed basis.
  • the processing chamber 700 may be integrated into a multiprocessing platform, such as an EnduraTM platform available from Applied Materials, Inc. located in Santa Clara, California. Such a processing platform is capable of performing several processing operations without breaking vacuum. Details of the EnduraTM platform are described in commonly assigned U.S. Patents Number 6,558,509 which is incorporated by reference herein.
  • the dry etch process begins by placing a substrate (not shown), such as a semiconductor substrate for example, into the chamber 700.
  • the substrate is typically placed into the chamber body 712 through the slit valve 760 and disposed on the upper surface of the support member 710.
  • the substrate is chucked to the upper surface of the support member 710.
  • the substrate is chucked to the upper surface of the support member 710 by pulling a vacuum through the holes and grooves that are in fluid communication with a vacuum pump.
  • the support member 710 is then lifted to a processing position within the chamber body 712, if not already in a processing position.
  • the chamber body 712 is preferably maintained at a temperature of between about 50°C and about 8O 0 C, more preferably at about 65°C. This temperature of the chamber body 712 is maintained by passing a heat transfer medium through the walls of the chamber body 712. [00142]
  • the substrate is cooled below about 65°C, such as between about 15°C and about 5O 0 C, by passing a heat transfer medium or coolant through the fluid channel (not shown) formed within the support assembly 700.
  • the substrate is maintained below room temperature.
  • the substrate is maintained at a temperature of between about 22°C and about 40°C.
  • the support member 710 is maintained below about 22°C to reach the desired substrate temperatures specified above.
  • a coolant is passed through a fluid channel in the support member 310.
  • a continuous flow of coolant is preferred to better control the temperature of the support member 310.
  • the coolant is preferably 50 percent by volume ethylene glycol and 50 percent by volume water. Of course, any ratio of water and ethylene glycol can be used so long as the desired temperature of the substrate is maintained.
  • the ammonia and nitrogen trifluoride gases are then introduced into the chamber 700 to form a cleaning gas mixture.
  • the amount of each gas introduced into the chamber is variable and may be adjusted to accommodate, for example, the thickness of the oxide layer to be removed, the geometry of the substrate being cleaned, the volume capacity of the plasma, the volume capacity of the chamber body 712, as well as the capabilities of the vacuum system coupled to the chamber body 712.
  • the gases are added to provide a gas mixture having at least about 1 :1 molar ratio of ammonia to nitrogen trifluoride.
  • the molar ratio of the gas mixture is at least about 3:1 (ammonia to nitrogen trifluoride).
  • the gases are introduced in the chamber 700 at a molar ratio of from about 5:1 (ammonia to nitrogen trifluoride) to about 30:1. More preferably, the molar ratio of the gas mixture is of from about 5:1 (ammonia to nitrogen trifluoride) to about 10:1. The molar ratio of the gas mixture may also fall between about 10:1 (ammonia to nitrogen trifluoride) and about 20:1.
  • a purge gas or carrier gas may also be added to the gas mixture.
  • Any suitable purge/carrier gas may be used, such as argon, helium, hydrogen, nitrogen, or mixtures thereof, for example.
  • the overall gas mixture is from about
  • the purge or carrier gas is first introduced into the chamber body 712 before the reactive gases to stabilize the pressure within the chamber body 712.
  • the operating pressure within the chamber body 712 can be variable. Typically, the pressure is maintained between about 100 mTorr and about 30 Torr. Preferably, the pressure is maintained between about 200 Torr and about 5 Torr.
  • An RF power of from about 5 to about 600 Watts is applied to the electrode 840 to ignite a plasma of the gas mixture within the volumes contained in the gas delivery assembly 720.
  • the RF power is less than about 100 Watts. More preferable is that the frequency at which the power is applied is very low, such as less than about 200 kHz.
  • the plasma energy dissociates the ammonia and nitrogen trifluoride gases into reactive species that combine to form a highly reactive ammonia fluoride (NH 4 F) compound and/or ammonium hydrogen fluoride (NH 4 F HF) in the gas phase. These molecules then flow through the gas delivery assembly 220 via holes (not shown) to react with the substrate surface to be cleaned.
  • the carrier gas is first introduced into the chamber 700, a plasma of the carrier gas is generated, and then the reactive gases, ammonia and nitrogen trifluoride, are added to the plasma.
  • the etchant gas NH 4 F and/or NH 4 F HF, reacts with the silicon oxide surface to form ammonium hexafluorosilicate (NH 4 ) 2 SiF 6 , NH 3 , and H 2 O products.
  • the NH 3 , and H 2 O are vapors at processing conditions and removed from the chamber 700 by the vacuum pump.
  • the volatile gases flow through the apertures 735 formed in the liner 733 into the pumping channel 729 before the gases exit the chamber 700 through the vacuum port (not shown) into the vacuum pump.
  • a thin film of (NH 4 ) 2 SiF 6 is left behind on the substrate surface.
  • the support member 710 having the substrate supported thereon is elevated to an anneal position in close proximity to the heated gas delivery assembly 720.
  • the heat radiated from the gas delivery assembly 720 should be sufficient to dissociate or sublimate the thin film of (NH 4 ) 2 SiF 6 into volatile SiF 4 , NH 3 , and HF products. These volatile products are then removed from the chamber 100 by the vacuum pump as described above.
  • the thermal energy to dissociate the thin film of (NH 4 J 2 SiF 6 into its volatile components is convected or radiated by the gas delivery assembly 720.
  • the distance between the upper surface of the substrate having the thin film thereon and the gas delivery assembly 720 is not critical and is a matter of routine experimentation. A person of ordinary skill in the art can easily determine the spacing required to efficiently and effectively vaporize the thin film without damaging the underlying substrate. It is believed, however, that a spacing of between about 0.254 mm (10 mils) and about 5.08 mm (200 mils) is effective.
  • the chamber is purged and evacuated.
  • the cleaned substrate is then removed from the chamber by lowering the substrate to the transfer position, de-chucking the substrate, and transferring the substrate through the slit valve 760.
  • a controller (not shown) regulates the operations of the chamber.
  • the system controller operates under the control of a computer program stored on a hard disk drive of a computer.
  • the computer program dictates the process sequencing and timing, mixture of gases, chamber pressures, RF power levels, susceptor positioning, slit valve opening and closing, wafer cooling and other parameters of a particular process.
  • the interface between a user and the system controller is preferably via a CRT monitor and light pen (not shown). In a preferred embodiment two monitors are used, one monitor mounted in the clean room wall for the operators and the other monitor behind the wall for the service technicians.
  • etch a gas mixture of 2 seem of NF 3 , 10 seem of NH 3 and 2,500 seem of argon was introduced into the chamber. A plasma of the gas mixture was ignited using 100 Watts of power. The bottom purge was 1 ,500 seem of argon and the edge purge was 50 seem of argon. The chamber pressure was maintained at about 6 Torr, and the substrate temperature was about 22 0 C. The substrate was etched for 120 seconds.
  • the spacing was 750 mil and the lid temperature was 120°C.
  • the substrate was annealed for about 60 seconds. About 50 angstroms of material was removed from the substrate surface. No anneal effect was observed. The etch rate was about 0.46 angstroms per second (28 A/min). The observed etch uniformity was about 5 % for the 50 A etch.
  • the advantage of the cleaning regime includes requiring no additional processing equipment and no need to open the chamber for wet cleaning.
  • the process also requires no constant monitoring or labor intensive, time lag associated with wet cleaning. That is, the time for cleaning using the elevated pedestal with a blocked cooling fluid inlet is about five hours, compared to the two to three days needed for a cleaning system that heats the pedestal cooling fluid.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Ceramic Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A method and apparatus for cleaning a processing chamber comprising blocking a flow of cooling fluid to a channel within a support member within a processing chamber, elevating the support member to be within about 0.1 inches of a gas distribution plate, heating the gas distribution plate, and introducing a thermally conductive gas through the gas distribution plate into the processing chamber. In one aspect, the chamber comprises a chamber body and a support assembly at least partially disposed within the chamber body adapted to support a substrate thereon. The chamber further comprises a lid assembly disposed on an upper surface of the chamber body. The lid assembly includes a top plate and a gas delivery assembly which define a plasma cavity therebetween, wherein the gas delivery assembly is adapted to heat the substrate. A remote plasma source having a U-shaped plasma region is connected to the gas delivery assembly.

Description

AN IN-SITU CHAMBER CLEAN PROCESS TO REMOVE BY-PRODUCT DEPOSITS FROM CHEMICAL VAPOR ETCH CHAMBER
BACKGROUND OF THE INVENTION Field of the Invention
[0001] Embodiments of the present invention generally relate to semiconductor processing equipment. More particularly, embodiments of the present invention relate a chemical vapor deposition (CVD) system for semiconductor fabrication and in situ dry cleaning of the CVD system.
Description of the Related Art
[0002] A native oxide typically forms when a substrate surface is exposed to oxygen. Native oxides may also result if the substrate surface is contaminated during etching. Native silicon oxide films are formed on exposed silicon containing layers, especially during processing of Metal Oxide Silicon Field Effect Transistor ("MOSFET") structures. Silicon oxide films are electrically insulating and are undesirable at interfaces with contact electrodes or interconnecting electrical pathways because the films cause high electrical contact resistance. In MOSFET structures, the electrodes and interconnecting pathways include suicide layers formed by depositing a refractory metal on bare silicon and annealing the layer to produce the metal suicide layer. Native silicon oxide films at the interface between the substrate and the metal reduce the compositional uniformity of the suicide layer by impeding the diffusional chemical reaction that forms the metal suicide. This results in lower substrate yields and increased failure rates due to overheating at the electrical contacts. The native silicon oxide film can also prevent adhesion of other CVD or sputtered layers which are subsequently deposited on the substrate.
[0003] Sputter etch, dry etch, and wet etch processes using hydrofluoric (HF) acid and deionized water have been tried to reduce contaminants in large features or in small features having aspect ratios smaller than about 4:1. However, the removal of the native oxide film is ineffective or introduces undesirable residues with both of these methods. Similarly, a wet etch solution, if successful in penetrating a feature of that size, is even more difficult to remove from the feature once etching is complete. [0004] A more recent approach to remove native oxide films is forming a fluorine/silicon-containing salt on the substrate surface that is subsequently removed by thermal anneal. In this approach, a thin layer of the salt is formed by reacting a fluorine-containing gas with the silicon oxide surface. The salt is then heated to an elevated temperature sufficient to dissociate the salt into volatile by-products which are then removed from the processing chamber. The formation of a reactive fluorine-containing gas is usually assisted by thermal addition or by plasma energy. The salt is usually formed at a reduced temperature that requires cooling of the substrate surface. This sequence of cooling followed by heating is usually accomplished by transferring the substrate from a cooling chamber where the substrate is cooled to a separate anneal chamber or furnace where the substrate is heated.
[0005] For various reasons, this reactive fluorine processing sequence is not desirable. Namely, wafer throughput is greatly diminished because of the time involved to transfer the wafer. Also, the wafer is highly susceptible to further oxidation or other contamination during the transfer. Moreover, the cost of ownership is doubled because two separate chambers are needed to complete the oxide removal process. There is a need for a processing chamber capable of remote plasma generation, heating, cooling, and performing a single dry etch process in a single chamber (i.e., in-situ).
[0006] As the chamber's gas distribution plate is heated to about 1800C and process gases are introduced into the processing region of the chamber, the wafer pedestal is cooled to about 35°C and process chemicals form deposits along the surfaces of the pedestal. Cleaning the chamber to remove these deposits has traditionally relied upon wet cleaning methods that require time and labor to open the chamber and manually clean the chamber. Alternatively, attempts have been made to heat the fluid normally used to cool the pedestal, but this heating method requires two to three days to heat the chamber surfaces and to clean the chamber. Removing deposits and residue from the processing chamber needs to be cost effective and to require little processing time. SUMMARY OF THE INVENTION
[0007] A processing chamber for processing a substrate is provided. In one aspect, the chamber comprises a chamber body and a support assembly at least partially disposed within the chamber body and adapted to support the substrate thereon. The chamber further comprises a lid assembly disposed on an upper surface of the chamber body. The lid assembly is in fluid communication with a remote plasma region having a U-shaped cross section for generating plasma. The remote plasma region is defined by a cylindrical electrode and a cup-shaped ground. An RF power source is connected to the cylindrical electrode.
[0008] The present invention provides a method and apparatus for cleaning a processing chamber including blocking a flow of cooling fluid to a channel within a support member within a processing chamber, elevating the support member to be within about 0.1 inches of a gas distribution plate, heating the gas distribution plate, and introducing a thermally conductive gas through the gas distribution plate into the processing chamber.
BRIEF DESCRIPTION OF THE DRAWINGS
[0009] So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0010] Figure 1A shows a partial cross sectional view of an illustrative processing chamber 100 for heating, cooling, and etching.
[0011] Figure 1 B shows an enlarged schematic view of an illustrative liner disposed within the processing chamber of Figure 1 A. [0012] Figure 2A shows an enlarged cross sectional view of an illustrative lid assembly that can be disposed at an upper end of the chamber body shown in Figure 1A.
[0013] Figures 2B and 2C show enlarged schematic views of the gas distribution plate of Figure 2A.
[0014] Figure 3A shows a partial cross sectional view of an illustrative support assembly, which is at least partially disposed within the chamber body 112 of Figure 1A.
[0015] Figure 3B shows an enlarged partial cross sectional view of the illustrative support member 300 of Figure 3A.
[0016] Figure 4A shows a schematic cross sectional view of another illustrative lid assembly 400.
[0017] Figure 4B shows an enlarged schematic, partial cross sectional view of the upper electrode of Figure 4A.
[0018] Figure 4C shows a partial cross sectional view of the illustrative processing chamber 100 utilizing the lid assembly 400 of Figure 4A.
[0019] Figures 5A-5I are sectional schematic views of a fabrication sequence for forming an illustrative active electronic device, such as a MOSFET structure.
[0020] Figure 6 is a schematic diagram of an exemplary multi-chamber processing system adapted to perform multiple processing operations.
[0021] Figure 7 is a partial sectional view showing one alternative embodiment of a processing chamber 100 having a remote plasma generator.
[0022] Figure 8 is a cross sectional view of the remote plasma generator. DETAILED DESCRIPTION
[0023] Methods and apparatus for cleaning a processing chamber for any number of substrate processing techniques are provided. The chamber is particularly useful for performing plasma assisted dry etch processes that require both heating and cooling of the substrate surface without breaking vacuum. For example, the processing chamber described herein is envisioned to be best suited for a front-end-of line (FEOL) clean chamber for removing oxides and other contaminants from a substrate surface.
[0024] A "substrate surface", as used herein, refers to any substrate surface upon which processing is performed. For example, a substrate surface may include silicon, silicon oxide, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. A substrate surface may also include dielectric materials such as silicon dioxide, organosilicates, and carbon doped silicon oxides. The substrate itself is not limited to any particular size or shape. In one aspect, the term "substrate" refers to a round wafer having a 200 mm diameter or 300 mm diameter. In another aspect, the term "substrate" refers to any polygonal, squared, rectangular, curved or otherwise non-circular workpiece, such as a glass substrate used in the fabrication of flat panel displays, for example.
[0025] Figure 1A is a partial cross sectional view showing a processing chamber 100. In one embodiment, the processing chamber 100 includes a chamber body 112, a lid assembly 200, and a support assembly 300. The lid assembly 200 is disposed at an upper end of the chamber body 112, and the support assembly 300 is at least partially disposed within the chamber body 112. The processing chamber 100 and the associated hardware are preferably formed from one or more process- compatible materials, such as aluminum, anodized aluminum, nickel plated aluminum, nickel plated aluminum 6061 -T6, stainless steel, as well as combinations and alloys thereof, for example.
[0026] The chamber body 112 includes a slit valve opening 160 formed in a sidewall thereof to provide access to the interior of the processing chamber 100. The slit valve opening 160 is selectively opened and closed to allow access to the interior of the chamber body 112 by a wafer handling robot (not shown). Wafer handling robots are well known to those with skill in the art, and any suitable robot may be used. For example, an exemplary robotic transfer assembly has been described in a commonly assigned U.S. Pat. No. 4,951 ,601 , entitled "Multi-chamber Integrated Process System," issued Aug. 28, 1990, the complete disclosure of which is incorporated herein by reference. In one embodiment, a wafer can be transported in and out of the processing chamber 100 through the slit valve opening 160 to an adjacent transfer chamber and/or load-lock chamber, or another chamber within a cluster tool. A cluster tool of a type that can be coupled to the processing chamber 100 is described in a commonly assigned U.S. Pat. No. 5,186,718, entitled "Staged- Vacuum Wafer Processing System and Method", issued Feb. 16, 1993, and is herein incorporated by reference.
[0027] In one or more embodiments, the chamber body 112 includes a channel 113 formed therein for flowing a heat transfer fluid therethrough. The heat transfer fluid can be a heating fluid or a coolant and is used to control the temperature of the chamber body 112 during processing and substrate transfer. The temperature of the chamber body 112 is important to prevent unwanted condensation of the gas or byproducts on the chamber walls. Exemplary heat transfer fluids include water, ethylene glycol, or a mixture thereof. An exemplary heat transfer fluid may also include nitrogen gas.
[0028] The chamber body 112 can further include a liner 133 that surrounds the support assembly 300. The liner 133 is preferably removable for servicing and cleaning. The liner 133 can be made of a metal such as aluminum, or a ceramic material. However, the liner 133 can be any process compatible material. The liner 133 can be bead blasted to increase the adhesion of any material deposited thereon, thereby preventing flaking of material which results in contamination of the processing chamber 100. In one or more embodiments, the liner 133 includes one or more apertures 135 and a pumping channel 129 formed therein that is in fluid communication with a vacuum system. The apertures 135 provide a flow path for gases into the pumping channel 129, which provides an egress for the gases within the processing chamber 100.
[0029] The vacuum system can include a vacuum pump 125 and a throttle valve 127 to regulate flow of gases through the processing chamber 100. The vacuum pump 125 is coupled to a vacuum port 131 disposed on the chamber body 112 and therefore, in fluid communication with the pumping channel 129 formed within the liner 133. The terms "gas" and "gases" are used interchangeably, unless otherwise noted, and refer to one or more precursors, reactants, catalysts, carrier, purge, cleaning, combinations thereof, as well as any other fluid introduced into the chamber body 112.
[0030] Considering the liner 133 in greater detail, Figure 1 B shows an enlarged schematic view of one embodiment of the liner 133. In this embodiment, the liner 133 includes an upper portion 133A and a lower portion 133B. An aperture 133C that aligns with the slit valve opening 160 disposed on a side wall of the chamber body 112 is formed within the liner 133 to allow entry and egress of substrates to/from the chamber body 112. Typically, the pumping channel 129 is formed within the upper portion 133A. The upper portion 133A also includes the one or more apertures 135 formed therethrough to provide passageways or flow paths for gases into the pumping channel 129.
[0031] Referring to Figures 1A and 1 B, the apertures 135 allow the pumping channel 129 to be in fluid communication with a processing zone 140 within the chamber body 112. The processing zone 140 is defined by a lower surface of the lid assembly 200 and an upper surface of the support assembly 300, and is surrounded by the liner 133. The apertures 135 may be uniformly sized and evenly spaced about the liner 133. However, any number, position, size or shape of apertures may be used, and each of those design parameters can vary depending on the desired flow pattern of gas across the substrate receiving surface as is discussed in more detail below. In addition, the size, number, and position of the apertures 135 are configured to achieve uniform flow of gases exiting the processing chamber 100. Further, the aperture size and location may be configured to provide rapid or high capacity pumping to facilitate a rapid exhaust of gas from the chamber 100. For example, the number and size of apertures 135 in close proximity to the vacuum port 131 may be smaller than the size of apertures 135 positioned farther away from the vacuum port 131.
[0032] Still referring to Figures 1 A and 1 B, the lower portion 133B of the liner 133 includes a flow path or vacuum channel 129A disposed therein. The vacuum channel 129A is in fluid communication with the vacuum system described above. The vacuum channel 129A is also in fluid communication with the pumping channel 129 via a recess or port 129B formed in an outer diameter of the liner 133. Generally, two gas ports 129B (only one shown in this view) are formed in an outer diameter of the liner 133 between the upper portion 133A and the lower portion 133B. The gas ports 129B provide a flow path between the pumping channel 129 and the vacuum channel 129A. The size and location of each port 129B is a matter of design, and are determined by the stoichiometry of a desired film, the geometry of the device being formed, the volume capacity of the processing chamber 100 as well as the capabilities of the vacuum system coupled thereto. Typically, the ports 129B are arranged opposite one another or 180 degrees apart about the outer diameter of the liner 133.
[0033] In operation, one or more gases exiting the processing chamber 100 flow through the apertures 135 formed through the upper portion 133A of the liner 133 into the pumping channel 129. The gas then flows within the pumping channel 129 and through the ports 129B into the vacuum channel 129A. The gas exits the vacuum channel 129A through the vacuum port 131 into the vacuum pump 125.
[0034] Considering the lid assembly 200 in more detail, Figure 2A shows an enlarged cross sectional view of an illustrative lid assembly 200 that can be disposed at an upper end of the chamber body 112 shown in Figure 1A. Referring to Figures 1A and 2A, the lid assembly 200 includes a number of components stacked on top of one another, as shown in Figure 1A. In one or more embodiments, the Hd assembly 200 includes a lid rim 210, a gas delivery assembly 220, and a top plate 250. The gas delivery assembly 220 is coupled to an upper surface of the lid rim 210 and is arranged to make minimum thermal contact therewith. The components of the lid assembly 200 are preferably constructed of a material having a high thermal conductivity and low thermal resistance, such as an aluminum alloy with a highly finished surface for example. Preferably, the thermal resistance of the components is less than about 5x104 m2 K/W. The lid rim 210 is designed to hold the weight of the components making up the lid assembly 200 and is coupled to an upper surface of the chamber body 112 via a hinge assembly (not shown in this view) to provide access to the internal chamber components, such as the support assembly 300 for example.
[0035] Referring to Figures 2B and 2C, the gas delivery assembly 220 can include a distribution plate or showerhead 225. Figure 2B shows an enlarged schematic view of one embodiment of an illustrative gas distribution plate 225 and Figure 2C shows a partial cross sectional view. In one or more embodiments, the distribution plate 225 is substantially disc-shaped and includes a plurality of apertures 225A or passageways to distribute the flow of gases therethrough, thereby providing an even distribution of the gas across the surface of the substrate.
[0036] Referring to Figures 2A, 2B and 2C, the distribution plate 225 further includes an annular mounting flange 222 formed at a perimeter thereof, which is sized to rest on the lid rim 210. Accordingly, the distribution plate 225 makes minimal contact with the lid assembly 200. Preferably, an o-ring type seal 224, such as an elastomeric o-ring, is at least partially disposed within the annular mounting flange 222 to ensure a fluid-tight contact with the lid rim 210.
[0037] The gas delivery assembly 220 can further include a blocker assembly 230 disposed adjacent the distribution plate 225. The blocker assembly 230 provides an even distribution of gas to the backside of the distribution plate 225. Preferably, the blocker assembly 230 is made of an aluminum alloy and is removably coupled to the distribution plate 225 to ensure good thermal contact. For example, the blocker assembly 230 can be coupled to the distribution plate 225 using a bolt 221 or similar fastener. Preferably, the blocker assembly 230 makes no thermal contact with the lid rim 210 as shown in Figures 2A. [0038] In one or more embodiments, the blocker assembly 230 includes a first blocker plate 233 mounted to a second blocker plate 235. The second blocker plate 235 includes a passage 259 formed therethrough. Preferably, the passage 259 is centrally located through the second blocker plate 235 such that the passage 259 is in fluid communication with a first cavity or volume 261 defined by a lower surface of the top plate 250 and an upper surface of the second blocker plate 235. The passage 259 is also in fluid communication with a second cavity or volume 262 defined by a lower surface of the second blocker plate 235 and an upper surface of the first blocker plate 233. The passage 259 is also in fluid communication with a third cavity or volume 263 defined by a lower surface of the first blocker plate 233 and an upper surface of the distribution plate 225. The passage 259 is coupled to a gas inlet 223. The gas inlet 223 is coupled to the top plate 250 at a first end thereof. Although not shown, the gas inlet 223 is coupled at a second end thereof to one or more upstream gas sources and/or other gas delivery components, such as gas mixers.
[0039] The first blocker plate 233 includes a plurality of passageways 233A formed therein that are adapted to disperse the gases flowing from the passage 259 to the gas distribution plate 225. Although the passageways 233A are shown as being circular or rounded, the passageways 233A can be square, rectangular, or any other shape. The passageways 233A can be sized and positioned about the blocker plate 233 to provide a controlled and even flow distribution across the surface of the substrate. As described above, the first blocker plate 233 can easily be removed from the second blocker plate 235 and from the distribution plate 225 to facilitate cleaning or replacement of those components.
[0040] In use, one or more process gases are introduced into the gas delivery assembly 220 via the gas inlet 223. The process gas flows into the first volume 261 and through the passage 259 of the second blocker plate 235 into the second volume 262. The process gas is then distributed through the holes 233A of the first blocker plate 233 into the third volume 263 and further distributed through the holes 225A of the distribution plate 225 until the gas meets the exposed surfaces of the substrate disposed within the chamber body 112. [0041] A gas supply panel (not shown) is typically used to provide the one or more gases to the processing chamber 100. The particular gas or gases that are used depend upon the process or processes to be performed within the chamber 100. Illustrative gases can include, but are not limited to, one or more precursors, reductants, catalysts, carriers, purge, cleaning, or any mixture or combination thereof. Typically, the one or more gases introduced to the processing chamber 100 flow through the inlet 223 into the lid assembly 200 and then into the chamber body 112 through the gas delivery assembly 220. An electronically operated valve and/or flow control mechanism (not shown) may be used to control the flow of gas from the gas supply into the processing chamber 100. Depending on the process, any number of gases can be delivered to the processing chamber 100, and can be mixed either in the processing chamber 100 or before the gases are delivered to the processing chamber 100, such as within a gas mixture (not shown).
[0042] Still referring to Figures 1A and 2A, the lid assembly 200 can further include an electrode 240 to generate a plasma of reactive species within the lid assembly 200. In one embodiment, the electrode 240 is supported on the top plate 250 and is electrically isolated therefrom. For example, an isolator filler ring 241 can be disposed about a lower portion of the electrode 240 separating the electrode 240 from the top plate 250 as shown in Figure 2A. An annular isolator 242 can also be disposed about an outer surface of the isolator filler ring 241. An annular insulator 243 can then be disposed about an upper portion of the electrode 240 so that the electrode 240 is electrically isolated from the top plate 250 and all the other components of the lid assembly 200. Each of these rings 241 , 242, 243 can be made from aluminum oxide or any other insulative, process compatible material.
[0043] In one or more embodiments, the electrode 240 is coupled to a power source (not shown) while the gas delivery assembly 220 is connected to ground (i.e.,, the gas delivery assembly 220 serves as an electrode). Accordingly, a plasma of one or more process gases can be generated in the volumes 261 , 262 and/or 263 between the electrode 240 ("first electrode") and the gas delivery assembly 220 ("second electrode"). For example, the plasma can be struck and contained between the electrode 240 and the blocker assembly 230. Alternatively, the plasma can be struck and contained between the electrode 240 and the distribution plate 225, in the absence of the blocker assembly 230. In either embodiment, the plasma is well confined or contained within the lid assembly 200. Accordingly, the plasma is a "remote plasma" since no active plasma is in direct contact with the substrate disposed within the chamber body 112. As a result, plasma damage to the substrate is avoided because the plasma is sufficiently separated from the substrate surface.
[0044] Any power source capable of activating the gases into reactive species and maintaining the plasma of reactive species may be used. For example, radio frequency (RF), direct current (DC), or microwave (MW) based power discharge techniques may be used. The activation may also be generated by a thermally based technique, a gas breakdown technique, a high intensity light source (e.g., UV energy), or exposure to an x-ray source. Alternatively, a remote activation source may be used, such as a remote plasma generator, to generate a plasma of reactive species which are then delivered into the chamber 100. Exemplary remote plasma generators are available from vendors such as MKS Instruments, Inc. and Advanced Energy Industries, Inc. Preferably, an RF power supply is coupled to the electrode 240.
[0045] Referring to Figure 2A, the gas delivery assembly 220 can be heated depending on the process gases and operations to be performed within the processing chamber 100. In one embodiment, a heating element 270, such as a resistive heater for example, can be coupled to the distribution plate 225. In one embodiment, the heating element 270 is a tubular member and is pressed into an upper surface of the distribution plate 225 as shown in more detail in Figures 2B and 2C.
[0046] Referring to Figures 2B and 2C, the upper surface of the distribution plate 225 includes a groove or recessed channel having a width slightly smaller than the outer diameter of the heating element 270, such that the heating element 270 is held within the groove using an interference fit. The heating element 270 regulates the temperature of the gas delivery assembly 220 since the components of the delivery assembly 220, including the distribution plate 225 and the blocker assembly 230, are each conductively coupled to one another. Regulation of the temperature may be facilitated by a thermocouple 272 coupled to the distribution plate 225. The thermocouple 272 may be used in a feedback loop to control electric current applied to the heating element 270 from a power supply, such that the gas delivery assembly 220 temperature can be maintained or controlled at a desired temperature or within a desired temperature range. Control of the gas delivery assembly 220 temperature is facilitated because as described above, the gas delivery assembly 220 makes minimal thermal contact with the other components of the lid assembly 200, and as such, thermal conductivity is limited.
[0047] In one or more embodiments, the lid assembly 200 can include one or more fluid channels 202 formed therein for flowing a heat transfer medium to provide temperature control of the gas delivery assembly 220. In one embodiment, the fluid channel 202 can be formed within the lid rim 210, as shown in Figure 2A. Alternatively, the fluid channel 202 can be formed within any component of the lid assembly 200 to provide a uniform heat transfer to the gas delivery assembly 220. The fluid channel 202 can contain either a heating or cooling medium to control temperature of the gas delivery assembly 220, depending on the process requirements within the chamber 100. Any heat transfer medium may be used, such as nitrogen, water, ethylene glycol, or mixtures thereof, for example.
[0048] In one or more embodiments, the gas delivery assembly 220 can be heated using one or more heat lamps (not shown). Typically, the heat lamps are arranged about an upper surface of the distribution plate 225 to heat the distribution plate 225 by radiation.
[0049] Figure 3A shows a partial cross sectional view of an illustrative support assembly 300. The support assembly 300 can be at least partially disposed within the chamber body 112. The support assembly 300 can include a support member 310 to support a substrate (not shown in this view) for processing within the chamber body 112. The support member 310 can be coupled to a lift mechanism 330 through a shaft 314 which extends through a centrally-located opening 114 formed in a bottom surface of the chamber body 112. The lift mechanism 330 can be flexibly sealed to the chamber body 112 by a bellows 333 that prevents vacuum leakage from around the shaft 314. The lift mechanism 330 allows the support member 310 to be moved vertically within the chamber body 112 between a process position, an elevated cleaning position, and a lower, transfer position. The transfer position is slightly below the opening of the slit valve 160 formed in a sidewall of the chamber body 112.
[0050] Figure 3B shows an enlarged partial cross sectional view of the support assembly 300 shown in Figure 3A. In one or more embodiments, the support member 310 has a flat, circular surface or a substantially flat, circular surface for supporting a substrate to be processed thereon. The support member 310 is preferably constructed of aluminum. The support member 310 can include a removable top plate 311 made of some other material, such as silicon or ceramic material, for example, to reduce backside contamination of the substrate.
[0051] In one or more embodiments, the support member 310 or the top plate 311 can include a plurality of extensions or dimples 311 A arranged on the upper surface thereof. In Figure 3B, the dimples 311 A are shown on the upper surface of the top plate 311. It can be envisioned that the dimples 311 A can be arranged on the upper surface of the support member 310 if a top plate 311 is not desired. The dimples 311 A provide minimum contact between the lower surface of the substrate and the support surface of the support assembly 300 {i.e., either the support member 310 or the top plate 311).
[0052] In one or more embodiments, the substrate (not shown) may be secured to the support assembly 300 using a vacuum chuck. The top plate 311 can include a plurality of holes 312 in fluid communication with one or more grooves 316 formed in the support member 310. The grooves 316 are in fluid communication with a vacuum pump (not shown) via a vacuum conduit 313 disposed within the shaft 314 and the support member 310. Under certain conditions, the vacuum conduit 313 can be used to supply a purge gas to the surface of the support member 310 to prevent deposition when a substrate is not disposed on the support member 310. The vacuum conduit 313 can also pass a purge gas during processing to prevent a reactive gas or byproduct from contacting the backside of the substrate.
[0053] In one or more embodiments, the substrate (not shown) may be secured to the support member 310 using an electrostatic chuck. In one or more embodiments, the substrate can be held in place on the support member 310 by a mechanical clamp (not shown), such as a conventional clamp ring.
[0054] An electrostatic chuck typically includes at least a dielectric material that surrounds an electrode (not shown), which may be located on an upper surface of the support member 310 or formed as an integral part of the support member 310. The dielectric portion of the chuck electrically insulates the chuck electrode from the substrate and from the remainder of the support assembly 300.
[0055] In one or more embodiments, the perimeter of the chuck dielectric can be is slightly smaller than the perimeter of the substrate. In other words, the substrate slightly overhangs the perimeter of the chuck dielectric so that the chuck dielectric will remain completely covered by the substrate even if the substrate is misaligned off center when positioned on the chuck. Assuring that the substrate completely covers the chuck dielectric ensures that the substrate shields the chuck from exposure to potentially corrosive or damaging substances within the chamber body 112.
[0056] The voltage for operating the electrostatic chuck can be supplied by a separate "chuck" power supply (not shown). One output terminal of the chucking power supply is connected to the chuck electrode. The other output terminal typically is connected to electrical ground, but alternatively may be connected to a metal body portion of the support assembly 300. In operation, the substrate is placed in contact with the dielectric portion, and a direct current voltage is placed on the electrode to create the electrostatic attractive force or bias to adhere the substrate on the upper surface of the support member 310.
[0057] Still referring to Figures 3A and 3B, the support member 310 can include one or more bores 323 formed therethrough to accommodate a lift pin 325. Each lift pin 325 is typically constructed of ceramic or ceramic-containing materials, and are used for substrate-handling and transport. Each lift pin 325 is slideably mounted within the bore 323. In one aspect, the bore 323 is lined with a ceramic sleeve to help freely slide the lift pin 325. The lift pin 325 is moveable within its respective bore 323 by engaging an annular lift ring 320 disposed within the chamber body 112. The lift ring 320 is movable such that the upper surface of the lift-pin 325 can be located above the substrate support surface of the support member 310 when the lift ring 320 is in an upper position. Conversely, the upper surface of the lift-pins 325 is located below the substrate support surface of the support member 310 when the lift ring 320 is in a lower position. Thus, part of each lift-pin 325 passes through its respective bore 323 in the support member 310 when the lift ring 320 moves from either the lower position to the upper position.
[0058] When activated, the lift pins 325 push against a lower surface of the substrate, lifting the substrate off the support member 310. Conversely, the lift pins 325 may be de-activated to lower the substrate, thereby resting the substrate on the support member 310. The lift pins 325 can include enlarged upper ends or conical heads to prevent the pins 325 from falling out from the support member 310. Other pin designs can also be utilized and are well known to those skilled in the art.
[0059] In one embodiment, one or more of the lift pins 325 include a coating or an attachment disposed thereon that is made of a non-skid or highly frictional material to prevent the substrate from sliding when supported thereon. A preferred material is a high temperature, polymeric material that does not scratch or otherwise damage the backside of the substrate which would create contaminants within the processing chamber 100. Preferably, the coating or attachment is KALREZ™ coating available from DuPont.
[0060] To drive the lift ring 320, an actuator, such as a conventional pneumatic cylinder or a stepper motor (not shown), is generally used. The stepper motor or cylinder drives the lift ring 320 in the up or down positions, which in turn drives the lift-pins 325 that raise or lower the substrate. In a specific embodiment, a substrate (not shown) is supported on the support member 310 by three lift-pins 325 (not shown in this view) dispersed approximately 120 degrees apart and projecting from the lift ring 320.
[0061] Referring again to Figure 3A1 the support assembly 300 can include an edge ring 305 disposed about the support member 310. The edge ring 305 can be made of a variety of materials such as ceramic, quartz, aluminum and steel, among others. In one or more embodiments, the edge ring 305 is an annular member that is adapted to cover an outer perimeter of the support member 310 and protect the support member 310 from deposition. The edge ring 305 can be positioned on or adjacent the support member 310 to form an annular purge gas channel 334 between the outer diameter of support member 310 and the inner diameter of the edge ring 305. The annular purge gas channel 334 can be in fluid communication with a purge gas conduit 335 formed through the support member 310 and the shaft 314. Preferably, the purge gas conduit 335 is in fluid communication with a purge gas supply (not shown) to provide a purge gas to the purge gas channel 334. Any suitable purge gas such as nitrogen, argon, or helium, may be used alone or in combination. In operation, the purge gas flows through the conduit 335, into the purge gas channel 334, and about an edge of the substrate disposed on the support member 310. Accordingly, the purge gas working in cooperation with the edge ring 305 prevents deposition at the edge and/or backside of the substrate.
[0062] Referring again to Figures 3A and 3B, the temperature of the support assembly 300 is controlled by a fluid circulated through a fluid channel 360 embedded in the body of the support member 310. In one or more embodiments, the fluid channel 360 is in fluid communication with a heat transfer conduit 361 disposed through the shaft 314 of the support assembly 300. Preferably, the fluid channel 360 is positioned about the support member 310 to provide a uniform heat transfer to the substrate receiving surface of the support member 310. The fluid channel 360 and heat transfer conduit 361 can flow heat transfer fluids to either heat or cool the support member 310. Additionally, the flow of fluid circulated through the fluid channel 360 may be limited to prevent cooling of the fluid and thus encourage the top plate 311 to retain heat. This heat retention is desirable for cleaning processes. Any suitable heat transfer fluid may be used, such as water, nitrogen, ethylene glycol, or mixtures thereof. The support assembly 300 can further include an embedded thermocouple (not shown) for monitoring the temperature of the support surface of the support member 310. For example, a signal from the thermocouple may be used in a feedback loop to control the temperature or flow rate of the fluid circulated through the fluid channel 360.
[0063] Referring back to Figure 3A, the support member 310 can be moved vertically within the chamber body 112 so that a distance between support member 310 and the lid assembly 200 can be controlled. A sensor (not shown) can provide information concerning the position of support member 310 within chamber 100. An example of a lifting mechanism for the support member 310 is described in detail in U.S. Patent No. 5,951 ,776, issued September 14, 1999 to Sθlyutin, et al., entitled "Self-Aligning Lift Mechanism", which is hereby incorporated by reference in it entirety.
[0064] In operation, the support member 310 can be elevated to a close proximity of the lid assembly 200 to control the temperature of the substrate being processed. As such, the substrate can be heated via radiation emitted from the distribution plate 225 that is controlled by the heating element 270. Alternatively, the substrate can be lifted off the support member 310 to close proximity of the heated lid assembly 200 using the lift pins 325 activated by the lift ring 320.
[0065] After extended periods of use or at designated times for scheduled maintenance, certain components of the processing chamber 100 including those described above can be regularly inspected, replaced, or cleaned. These components are typically parts that are collectively known as the "process kit." Illustrative components of the process kit can include, but are not limited to the showerhead 225, the top plate 311 , the edge ring 305, the liner 133, and the lift pins 325, for example. Any one or more of these components are typically removed from the chamber 100 and cleaned or replaced at regular intervals or according to an as- needed basis. [0066] Figure 4A shows a partial cross sectional view of another illustrative lid assembly 400. The lid assembly 400 includes at least two stacked components configured to form a plasma volume or cavity therebetween. In one or more embodiments, the lid assembly 400 includes a first electrode 410 ("upper electrode") disposed vertically above a second electrode 450 ("lower electrode") confining a plasma volume or cavity 425 therebetween. The first electrode 410 is connected to a power source 415, such as an RF power supply, and the second electrode 450 is connected to ground, forming a capacitance between the two electrodes 410, 450.
[0067] In one or more embodiments, the lid assembly 400 includes one or more gas inlets 412 (only one is shown) that are at least partially formed within an upper section 413 of the first electrode 410. The one or more process gases enter the lid assembly 400 via the one or more gas inlets 412. The one or more gas inlets 412 are in fluid communication with the plasma cavity 425 at a first end thereof and coupled to one or more upstream gas sources and/or other gas delivery components, such as gas mixers, at a second end thereof. The first end of the one or more gas inlets 412 can open into the plasma cavity 425 at the upper most point of the inner diameter 430 of the expanding section 420 as shown in Figure 4A. Similarly, the first end of the one or more gas inlets 412 can open into the plasma cavity 425 at any height interval along the inner diameter 430 of the expanding section 420. Although not shown, two gas inlets 412 can be disposed at opposite sides of the expanding section 420 to create a swirling flow pattern or "vortex" flow into the expanding section 420 which helps mix the gases within the plasma cavity 425. A more detailed description of such a flow pattern and gas inlet arrangements is provided by U.S. Patent Application No. 20030079686, filed on December 21 , 2001 , which is incorporated by reference herein.
[0068] In one or more embodiments, the first electrode 410 has an expanding section 420 that houses the plasma cavity 425. As shown in Figure 4A, the expanding section 420 is in fluid communication with the gas inlet 412 as described above. In one or more embodiments, the expanding section 420 is an annular member that has an inner surface or diameter 430 that gradually increases from an upper portion 420A thereof to a lower portion 420B thereof. As such, the distance between the first electrode 410 and the second electrode 450 is variable. That varying distance helps control the formation and stability of the plasma generated within the plasma cavity 425.
[0069] In one or more embodiments, the expanding section 420 resembles a cone or "funnel," as is shown in Figures 4A and 4B. Figure 4B shows an enlarged schematic, partial cross sectional view of the upper electrode of Figure 4A. In one or more embodiments, the inner surface 430 of the expanding section 420 gradually slopes from the upper portion 420A to the lower portion 420B of the expanding section 420. The slope or angle of the inner diameter 430 can vary depending on process requirements and/or process limitations. The length or height of the expanding section 420 can also vary depending on specific process requirements and/or limitations. In one or more embodiments, the slope of the inner diameter 430, or the height of the expanding section 420, or both can vary depending on the volume of plasma needed for processing. For example, the slope of the inner diameter 430 can be at least 1 :1 , or at least 1.5:1 or at least 2:1 or at least 3:1 or at least 4:1 or at least 5:1 or at least 10:1. In one or more embodiments, the slope of the inner diameter 430 can range from a low of 2:1 to a high of 20:1.
[0070] In one or more embodiments, the expanding section 420 can be curved or arced although not shown in the figures. For example, the inner surface 430 of the expanding section 420 can be curved or arced to be either convex or concave. In one or more embodiments, the inner surface 430 of the expanding section 420 can have a plurality of sections that are each sloped, tapered, convex, or concave.
[0071] As mentioned above, the expanding section 420 of the first electrode 410 varies the vertical distance between the first electrode 410 and the second electrode 450 because of the gradually increasing inner surface 430 of the first electrode 410. That variable distance is directly related to the power level within the plasma cavity 425. Not wishing to be bound by theory, the variation in distance between the two electrodes 410, 450 allows the plasma to find the necessary power level to sustain itself within some portion of the plasma cavity 425 if not throughout the entire plasma cavity 425. The plasma within the plasma cavity 425 is therefore less dependent on pressure, allowing the plasma to be generated and sustained within a wider operating window. As such, a more repeatable and reliable plasma can be formed within the lid assembly 400.
[0072] The first electrode 410 can be constructed from any process compatible materials, such as aluminum, anodized aluminum, nickel plated aluminum, nickel plated aluminum 6061 -T6, stainless steel as well as combinations and alloys thereof, for example. In one or more embodiments, the entire first electrode 410 or portions thereof are nickel coated to reduce unwanted particle formation. Preferably, at least the inner surface 430 of the expanding section 420 is nickel plated.
[0073] The second electrode 450 can include one or more stacked plates. When two or more plates are desired, the plates should be in electrical communication with one another. Each of the plates should include a plurality of apertures or gas passages to allow the one or more gases from the plasma cavity 425 to flow through.
[0074] Referring to Figure 4B, the lid assembly 400 can further include an isolator ring 440 to electrically isolate the first electrode 410 from the second electrode 450. The isolator ring 440 can be made from aluminum oxide or any other insulative, process compatible material. Preferably, the isolator ring 440 surrounds or substantially surrounds at least the expanding section 420 as shown in Figure 4B.
[0075] Referring again to the specific embodiment shown in Figure 4A, the second electrode 450 includes a top plate 460, distribution plate 470 and blocker plate 480. The top plate 460, distribution plate 470 and blocker plate 480 are stacked and disposed on a lid rim 490 which is connected to the chamber body 112 as shown in Figure 4B. As is known in the art, a hinge assembly (not shown) can be used to couple the lid rim 490 to the chamber body 112. The Hd rim 490 can include an embedded channel or passage 492 for housing a heat transfer medium. The heat transfer medium can be used for heating, cooling, or both, depending on the process requirements. Illustrative heat transfer mediums are listed above. [0076] In one or more embodiments, the top plate 460 includes a plurality of gas passages or apertures 465 formed beneath the plasma cavity 425 to allow gas from the plasma cavity 425 to flow therethrough. In one or more embodiments, the top plate 460 can include a recessed portion 462 that is adapted to house at least a portion of the first electrode 410. In one or more embodiments, the apertures 465 are through the cross section of the top plate 460 beneath the recessed portion 462. The recessed portion 462 of the top plate 460 can be stair stepped as shown in Figure 4A to provide a better sealed fit therebetween. Furthermore, the outer diameter of the top plate 460 can be designed to mount or rest on an outer diameter of the distribution plate 470 as shown in Figure 4A. An o-ring type seal, such as an elastomeric o-ring 463, can be at least partially disposed within the recessed portion 462 of the top plate 460 to ensure a fluid-tight contact with the first electrode 410. Likewise, an o-ring type seal 466 can be used to provide a fluid-tight contact between the outer perimeters of the top plate 460 and the distribution plate 470.
[0077] In one or more embodiments, the distribution plate 470 is identical to the distribution plate 225 shown and described above with reference to Figures 2A-2C. Particularly, the distribution plate 470 is substantially disc-shaped and includes a plurality of apertures 475 or passageways to distribute the flow of gases therethrough. The apertures 475 can be sized and positioned about the distribution plate 470 to provide a controlled and even flow distribution to the chamber body 112 where the substrate to be processed is located.
[0078] The distribution plate 470 can also include an annular mounting flange 472 formed at an outer perimeter thereof. The mounting flange 472 can be sized to rest on an upper surface of the lid rim 490. An o-ring type seal, such as an elastomeric o-ring, can be at least partially disposed within the annular mounting flange 472 to ensure a fluid-tight contact with the lid rim 490.
[0079] In one or more embodiments, the distribution plate 470 includes one or more embedded channels or passages 474 for housing a heater or heating fluid to provide temperature control of the lid assembly 400. Similar to the lid assembly 200 described above, a resistive heating element can be inserted within the passage 474 to heat the distribution plate 470. A thermocouple can be connected to the distribution plate 470 to regulate the temperature thereof. The thermocouple can be used in a feedback loop to control electric current applied to the heating element, as described above.
[0080] Alternatively, a heat transfer medium can be passed through the passage 474. The one or more passages 474 can contain a cooling medium, if needed, to better control temperature of the distribution plate 470 depending on the process requirements within the chamber body 112. As mentioned above, any heat transfer medium may be used, such as nitrogen, water, ethylene glycol, or mixtures thereof, for example.
[0081] In one or more embodiments, the lid assembly 400 can be heated using one or more heat lamps (not shown). Typically, the heat lamps are arranged about an upper surface of the distribution plate 470 to heat the components of the lid assembly 400 including the distribution plate 470 by radiation.
[0082] The blocker plate 480 is optional and would be disposed between the top plate 460 and the distribution plate 470. Preferably, the blocker plate 480 is removably mounted to a lower surface of the top plate 460. The blocker plate 480 should make good thermal and electrical contact with the top plate 460. In one or more embodiments, the blocker plate 480 can be coupled to the top plate 460 using a bolt or similar fastener. The blocker plate 480 can also be threaded or screwed onto an outer diameter of the top plate 460.
[0083] The blocker plate 480 includes a plurality of apertures 485 to provide a plurality of gas passages from the top plate 460 to the distribution plate 470. The apertures 485 can be sized and positioned about the blocker plate 480 to provide a controlled and even flow distribution the distribution plate 470.
[0084] Figure 4C shows a partial cross sectional view of the chamber body 112 having the lid assembly 400 disposed thereon. Preferably, the expanding section 420 is centered above the support assembly 300 as shown in Figure 4C. The confinement of the plasma within the plasma cavity 425 and the central location of the confined plasma allow an even and repeatable distribution of the disassociated gas(es) into the chamber body 112. Particularly, the gas leaving the plasma volume 425 flows through the apertures 465 of the top plate 460 to the upper surface of the blocker plate 480. The apertures 485 of the blocker plate 480 distribute the gas to the backside of the distribution plate 470 where the gas is further distributed through the apertures 475 of the distribution plate 470 before contacting the substrate (not shown) within the chamber body 112.
[0085] It is believed that the confinement of the plasma within the centrally located plasma cavity 425 and the variable distance between the first electrode 410 and the second electrode 450 generate stable and reliable plasma within the lid assembly 400.
[0086] For simplicity and ease of description, an exemplary dry etch process for removing silicon oxide using an ammonia (NH3) and nitrogen trifluoride (NF3) gas mixture performed within the processing chamber 100 will now be described. It is believed that the processing chamber 100 is advantageous for any dry etch process that benefits from a plasma treatment in addition to both substrate heating and cooling all within a single processing environment, including an anneal process.
[0087] Referring to Figure 1 , the dry etch process begins by placing a substrate (not shown), such as a semiconductor substrate for example, into the processing chamber 100. The substrate is typically placed into the chamber body 112 through the slit valve opening 160 and disposed on the upper surface of the support member 310. The substrate is chucked to the upper surface of the support member 310, and an edge purge is passed through the channel 334. Preferably, the substrate is chucked to the upper surface of the support member 310 by pulling a vacuum through the holes 312 and grooves 316 that are in fluid communication with a vacuum pump via conduit 313. The support member 310 is then lifted to a processing position within the chamber body 112, if not already in a processing position. The chamber body 112 is preferably maintained at a temperature of between 5O0C and 800C, more preferably at about 650C. This temperature of the chamber body 112 is maintained by passing a heat transfer medium through the fluid channel 113.
[0088] The substrate is cooled below 65°C, such as between 15°C and 50°C, by passing a heat transfer medium or coolant through the fluid channel 360 formed within the support assembly 300. In one embodiment, the substrate is maintained below room temperature. In another embodiment, the substrate is maintained at a temperature of between 220C and 400C. Typically, the support member 310 is maintained below about 22°C to reach the desired substrate temperatures specified above. To cool the support member 310, the coolant is passed through the fluid channel 360. A continuous flow of coolant is preferred to better control the temperature of the support member 310. The coolant is preferably 50 percent by volume ethylene glycol and 50 percent by volume water. Of course, any ratio of water and ethylene glycol can be used so long as the desired temperature of the substrate is maintained.
[0089] The ammonia and nitrogen trifluoride gases are then introduced into the chamber 100 to form a cleaning gas mixture. The amount of each gas introduced into the chamber is variable and may be adjusted to accommodate, for example, the thickness of the oxide layer to be removed, the geometry of the substrate being cleaned, the volume capacity of the plasma, the volume capacity of the chamber body 112, as well as the capabilities of the vacuum system coupled to the chamber body 112. In one aspect, the gases are added to provide a gas mixture having at least a 1 :1 molar ratio of ammonia to nitrogen trifluoride. In another aspect, the molar ratio of the gas mixture is at least about 3 to 1 (ammonia to nitrogen trifluoride). Preferably, the gases are introduced in the chamber 100 at a molar ratio of from 5:1 (ammonia to nitrogen trifluoride) to 30:1. More preferably, the molar ratio of the gas mixture is of from about 5 to 1 (ammonia to nitrogen trifluoride) to about 10 to 1. The molar ratio of the gas mixture may also fall between about 10:1 (ammonia to nitrogen trifluoride) and about 20:1.
[0090] A purge gas or carrier gas may also be added to the gas mixture. Any suitable purge/carrier gas may be used, such as argon, helium, hydrogen, nitrogen, or mixtures thereof, for example. Typically, the overall gas mixture is from about 0.05% to about 20% by volume of ammonia and nitrogen trifluoride. The remainder being the carrier gas. In one embodiment, the purge or carrier gas is first introduced into the chamber body 112 before the reactive gases to stabilize the pressure within the chamber body 112.
[0091] The operating pressure within the chamber body 112 can be variable. Typically, the pressure is maintained between about 500 mTorr and about 30 Torr. Preferably, the pressure is maintained between about 1 Torr and about 10 Torr. More preferably, the operating pressure within the chamber body 112 is maintained between about 3 Torr and about 6 Torr.
[0092] An RF power of from about 5 and about 600 Watts is applied to the electrode 240 to ignite a plasma of the gas mixture within the volumes 261 , 262, and 263 contained in the gas delivery assembly 220. Preferably, the RF power is less than 100 Watts. More preferable is that the frequency at which the power is applied is very low, such as less than 100 kHz. Preferably, the frequency ranges from about 50 kHz to about 90 kHz.
[0093] The plasma energy dissociates the ammonia and nitrogen trifluoride gases into reactive species that combine to form a highly reactive ammonia fluoride (NH4F) compound and/or ammonium hydrogen fluoride (NH4F HF) in the gas phase. These molecules then flow through the gas delivery assembly 220 via the holes 225A of the distribution plate 225 to react with the substrate surface to be cleaned. In one embodiment, the carrier gas is first introduced into the chamber 100, a plasma of the carrier gas is generated, and then the reactive gases, ammonia and nitrogen trifluoride, are added to the plasma.
[0094] Not wishing to be bound by theory, it is believed that the etchant gas, NH4F and/or NH4F HF, reacts with the silicon oxide surface to form ammonium hexafluorosilicate (NH4)2SiF6, NH3, and H2O products. The NH3, and H2O are vapors at processing conditions and removed from the chamber 100 by the vacuum pump 125. In particular, the volatile gases flow through the apertures 135 formed in the liner 133 into the pumping channel 129 before the gases exit the chamber 100 through the vacuum port 131 into the vacuum pump 125. A thin film of (NH4)2SiF6 is left behind on the substrate surface. This reaction mechanism can be summarized as follows:
[0095] NF3 + NH3 → NH4F + NH4F HF + N2
[0096] 6NH4F + SiO2 → (NH4)2SiF6 + H2O
[0097] (NH4)2SiF6 + heat → NH3 + HF + SiF4
[0098] After the thin film is formed on the substrate surface, the support member 310 having the substrate supported thereon is elevated to an anneal position in close proximity to the heated distribution plate 225. The heat radiated from the distribution plate 225 should be sufficient to dissociate or sublimate the thin film of (NH4J2SiF6 into volatile SiF4, NH3, and HF products. These volatile products are then removed from the chamber 100 by the vacuum pump 125 as described above. Typically, a temperature of 75°C or more is used to effectively sublimate and remove the thin film from the substrate. Preferably, a temperature of 1000C or more is used, such as between about 115°C and about 200°C.
[0099] The thermal energy to dissociate the thin film of (NH4)2SiF6 into its volatile components is convected or radiated by the distribution plate 225. As described above, a heating element 270 is directly coupled to the distribution plate 225, and is activated to heat the distribution plate 225 and the components in thermal contact therewith to a temperature between about 75°C and 250°C. In one aspect, the distribution plate 225 is heated to a temperature of between 1000C and 1500C, such as about 1200C.
[00100] This elevation change can be effectuated various ways. For example, the lift mechanism 330 can elevate the support member 310 toward a lower surface of the distribution plate 225. During this lifting step, the substrate is secured to the support member 310, such as by the vacuum chuck or electrostatic chuck described above. Alternatively, the substrate can be lifted off the support member 310 and placed in close proximity to the heated distribution plate 225 by elevating the lift pins 325 via the lift ring 320.
[00101] The distance between the upper surface of the substrate having the thin film thereon and the distribution plate 225 is not critical and is a matter of routine experimentation. A person of ordinary skill in the art can easily determine the spacing required to efficiently and effectively vaporize the thin film without damaging the underlying substrate. It is believed, however, that a spacing of between about 0.254 mm (10 mils) and 5.08 mm (200 mils) is effective.
[00102] Once the film has been removed from the substrate, the chamber is purged and evacuated. The cleaned substrate is then removed from the chamber body 112 by lowering the substrate to the transfer position, de-chucking the substrate, and transferring the substrate through the slit valve opening 160.
[00103] After processing about every 1000 substrates, the chamber body may need to be cleaned. Cleaning the chamber body 112 can be performed by elevating the support member 310 into a raised position. This elevated position has a distance between the support member 310 and the distribution plate of about 0.100 inches or less. The support member 310 can be heated by the heat radiated from the distribution plate 225 or by heating the support member resistively or by supplying heating fluid to the fluid channels in the support member 310. Preferably, the fluid inlet to the cooling fluid channels is blocked.
[00104] Gases with high heat conductivity such as mixtures of hydrogen, helium, and argon are introduced through the gas distribution plate 225. Heating the support member 310 helps to dissociate or sublimate the thin film of water and ammonium fluorosilicate (NH4)2SiF6 into volatile SiF4, NH3, and HF products. These volatile products are then removed from the chamber 100 by the vacuum pump 125 as described above. Additionally, a plasma may be generated to further encourage cleaning. The plasma may prevent recombination of byproducts such as silicon oxide as the vaporized deposits are flowed through the exhaust system. [00105] Typically, a temperature of 100°C or more is used to effectively sublimate and remove the deposits in the chamber. A temperature of about 1000C can be reached within about one hour and a temperature of about 140°C can be reached within about three hours. Preferably, a temperature of 100°C or more is used, such as between about 115°C and about 200°C. Returning back to a support member 310 temperature of about 35°C can be achieved in about half an hour when the cleaning process is complete by introducing cooling fluid back to the system by opening the blocked fluid inlet.
[00106] Blocking the fluid inlet to the support member 310 results in a temperature gradient between the upper portion of the support member 310 and the base of the support member. The temperature of the support member 310 closest to the gas distribution plate may reach about 1400C and the base of the support member 310 may remain relatively stable at about ambient temperature.
[00107] The distance between the upper surface of the substrate having the thin film thereon and the distribution plate 225 is selected to efficiently and effectively vaporize the thin film without damaging the underlying substrate. Depending on the process conditions, a spacing of between about 0.254 mm (10 mils) and 5.08 mm (200 mils) is selected.
[00108] A system controller (not shown) can be used to regulate the operations of the processing chamber 100. The system controller can operate under the control of a computer program stored on a hard disk drive of a computer. For example, the computer program can dictate the process sequencing and timing, mixture of gases, chamber pressures, RF power levels, susceptor positioning, slit valve opening and closing, wafer cooling and other parameters of a particular process. The interface between a user and the system controller can be made via a CRT monitor and light pen (not shown). In a preferred embodiment, two monitors are used, one monitor mounted in the clean room wall for the operators and the other monitor behind the wall for the service technicians. Also preferred is that both monitors simultaneously display the same information but only one light pen is enabled. The light pen detects light emitted by the CRT display with a light sensor in the tip of the pen. To select a particular screen or function, the operator can touch a designated area of the display screen and push the button on the pen. The display screen generally confirms communication between the light pen and the touched area by changing its appearance, i.e., highlight or color, or displaying a new menu or screen.
[00109] A variety of processes can be implemented using a computer program product that runs on, for example, the system controller. The computer program code can be written in any conventional computer readable programming language such as for example 68000 assembly language, C, C++, or Pascal. Suitable program code can be entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled library routines. To execute the linked compiled object code, the system user invokes the object code, causing the computer system to load the code in memory, from which the CPU reads and executes the code to perform the tasks identified in the program.
[00110] Figures 5A-5H are sectional schematic views of an exemplary fabrication sequence for forming an exemplary active electronic device, such as a MOSFET structure 500, utilizing the dry etch process and the processing chamber 100 described herein. Referring to Figures 5A-5H, the exemplary MOSFET structure may be formed on a semiconductor material, for example a silicon or gallium arsenide substrate 525. Preferably, the substrate 525 is a silicon wafer having a <100> crystallographic orientation and a diameter of 150 mm (6 inches), 200 mm (8 inches), or 300 mm (12 inches). Typically, the MOSFET structure includes a combination of (i) dielectric layers, such as silicon dioxide, organosilicate, carbon doped silicon oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), silicon nitride, or combinations thereof; (ii) semiconducting layers such as doped polysilicon, and n-type or p-type doped monocrystalline silicon; and (iii) electrical contacts and interconnect lines formed from layers of metal or metal suicide, such as tungsten, tungsten suicide, titanium, titanium suicide, cobalt suicide, nickel suicide, or combinations thereof. [00111] Referring to Figure 5A, fabrication of the active electronic device begins by forming electrical isolation structures that electrically isolate the active electronic device from other devices. There are several types of electrical isolation structures as generally described in VLSI Technology, Second Edition, Chapter 11 , by S. M. Sze, McGraw-Hill Publishing Company (1988), which is incorporated herein by reference. In one version, a field oxide layer (not shown) having a thickness of about 2,000 angstroms is first grown over the entire substrate 525, and portions of the oxide layer are removed to form the field oxide barriers 545A,B which surround exposed regions in which the electrically active elements of the device are formed. The exposed regions are thermally oxidized to form a thin gate oxide layer 550 having a thickness of from about 50 to 300 angstroms. A polysilicon layer is then deposited, patterned, and etched to create a gate electrode 555. The surface of the polysilicon gate electrode 555 can be reoxidized to form an insulating dielectric layer 560, providing the structure shown in Figure 5A.
[00112] Referring to Figure 5B, the source and drain 570A,B are next formed by doping the appropriate regions with suitable dopant atoms. For example, on p-type substrates 525, an n-type dopant species comprising arsenic or phosphorous is used. Typically the doping is performed by an ion implanter and might include, for example, phosphorous (31P) at a concentration of about 1013 atoms/cm2 at an energy level of from about 30 to 80 Kev, or Arsenic (75As) at a dose of from about 1015 to 1017 atoms/cm2 and an energy of from 10 to 100 Kev. After the implantation process, the dopant is driven into the substrate 525 by heating the substrate, for example, in a rapid thermal processing (RTP) apparatus. Thereafter, the oxide layer 550 covering the source and drain regions 570A.B is stripped in a conventional stripping process to remove any impurities caused by the implantation process which are trapped in the oxide layer, providing the structure shown in Figure 8B.
[00113] Referring to Figures 5C and 5D, a silicon nitride layer 575 is deposited on the gate electrode 555 and the surfaces on the substrate 525 by low-pressure chemical vapor deposition (LPCVD) using a gas mixture of SiH2, Cl2, and NH3. The silicon nitride layer 575 is then etched using reactive ion etching (RIE) techniques to form nitride spacers 580 on the sidewall of the gate electrode 555, as shown in Figure 5D. The spacers 580 electrically isolate the suicide layer formed on the top surface of the gate 555 from other suicide layers deposited over the source 570A and drain 570B. It should be noted that the electrical isolation sidewall spacers 580 and overlayers can be fabricated from other materials, such as silicon oxide. The silicon oxide layers used to form sidewall spacers 580 are typically deposited by CVD or PECVD from a feed gas of tetraethoxysilane (TEOS) at a temperature in the range of from about 600°C to about 1 ,000°C.
[00114] Referring to Figure 5E, a native silicon oxide layer 585 is formed on exposed silicon surfaces by exposure to the atmosphere before and after the processes. The native silicon oxide layer 585 must be removed prior to forming conductive metal suicide contacts on the gate 555, source 570A, and drain 570B to improve the alloying reaction and electrical conductivity of the metal suicide formed. The native silicon oxide layer 585 can increase the electrical resistance of the semiconducting material, and adversely affect the silicidation reaction of the silicon and metal layers that are subsequently deposited. Therefore, it is necessary to remove this native silicon dioxide layer 585 using the dry etch process described prior to forming metal suicide contacts or conductors for interconnecting active electronic devices. The dry etch process removes the native silicon oxide layers 585 to expose the source 570A, drain 570B, and the top surface of the gate electrode 555 as shown in Figure 5F.
[00115] Thereafter, as illustrated in Figure 5G, a PVD sputtering process is used to deposit a layer of metal 590. Conventional furnace annealing is then used to anneal the metal and silicon layers to form metal suicide in regions in which the metal layer 590 is in contact with silicon. The anneal is typically performed in a separate processing system. Accordingly, a protective cap layer (not shown) may be deposited over the metal 590. The cap layers are typically nitride materials and may include one or more materials selected from the group consisting of titanium nitride, tungsten nitride, tantalum nitride, hafnium nitride, and silicon nitride. The cap layer may be deposited by any deposition process, preferably by PVD. [00116] Next, bulk metal is deposited as shown in Figure 5I as bulk fill 535.
The bulk metal may be tungsten or some other metal.
[00117] Annealing typically involves heating the substrate 500 to a temperature of between 6000C and 800°C in an atmosphere of nitrogen for about 30 minutes. Alternatively, the metal suicide 595 can be formed utilizing a rapid thermal annealing process in which the substrate 500 is rapidly heated to about 10000C for about 30 seconds. Suitable conductive metals include cobalt, titanium, nickel, tungsten, platinum, and any other metal that has a low contact resistance and that can form a reliable metal suicide contact on both polysilicon and monocrystalline silicon.
[00118] Unreacted portions of the metal layer 590 can be removed by a wet etch using aqua regia, (HCI and HNO3) which removes the metal without attacking the metal suicide 595; the spacer 580, or the field oxide 545A,B, thus leaving a self- aligned metal suicide contact 595 on the gate 555, source 570A, and drain 570B, as shown in Figure 5H. Thereafter, an insulating cover layer comprising, for example, silicon oxide, BPSG, or PSG, can be deposited on the electrode structures. The insulating cover layer is deposited by means of chemical-vapor deposition in a CVD chamber, in which the material condenses from a feed gas at low or atmospheric pressure, as for example, described in commonly assigned U.S. Pat. No. 5,500,249, issued March 19, 1996, which is incorporated herein by reference. Thereafter, the structure 500 is annealed at glass transition temperatures to form a smooth planarized surface.
[00119] In one or more embodiments, the processing chamber 100 can be integrated into a multi-processing platform, such as an Endura™ platform available from Applied Materials, Inc. located in Santa Clara, California. Such a processing platform is capable of performing several processing operations without breaking vacuum. Details of the Endura™ platform are described in commonly assigned U.S. Patent Application Serial No. 09/451 ,628, entitled "Integrated Modular Processing Platform", filed on November 30, 1999, which is incorporated by reference herein. [00120] Figure 6 is a schematic top-view diagram of an illustrative multi-chamber processing system 600. The system 600 can include one or more load lock chambers 602, 604 for transferring of substrates into and out of the system 600. Typically, since the system 600 is under vacuum, the load lock chambers 602, 604 may "pump down" the substrates introduced into the system 600. A first robot 610 may transfer the substrates between the load lock chambers 602, 604, and a first set of one or more substrate processing chambers 612, 614, 616, 618 (four are shown). Each processing chamber 612, 614, 616, 618, can be outfitted to perform a number of substrate processing operations including the dry etch processes described herein in addition to cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation and other substrate processes.
[00121] The first robot 610 can also transfer substrates to/from one or more transfer chambers 622, 624. The transfer chambers 622, 624 can be used to maintain ultrahigh vacuum conditions while allowing substrates to be transferred within the system 600. A second robot 630 can transfer the substrates between the transfer chambers 622, 624 and a second set of one or more processing chambers 632, 634, 636, and 638. Similar to processing chambers 612, 614, 616, 618, the processing chambers 632, 634, 636, 638 can be outfitted to perform a variety of substrate processing operations including the dry etch processes described herein in addition to cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, and orientation, for example. Any of the substrate processing chambers 612, 614, 616, 618, 632, 634, 636, 638 may be removed from the system 600 if not necessary for a particular process to be performed by the system 600.
[00122] An illustrative multi-processing system 600 for forming the MOSFET structure of Figures 5A-5H can include two processing chambers 100 as described above, two physical vapor deposition chambers to deposit the metal 500 and two physical vapor deposition chambers to deposit the optional cap layer (not shown). Any one of the processing chambers 612, 614, 616, 618, 632, 634, 636, 638 shown in Figure 6 represent the PVD chambers and/or processing chambers 100. [00123] Although the process sequence above has been described in relation to the formation of a MOSFET device, the dry etch process described herein can also be used to form other semiconductor structures and devices that have other metal suicide layers, for example, suicides of tungsten, tantalum, molybdenum. The cleaning process can also be used prior to the deposition of layers of different metals including, for example, aluminum, copper, cobalt, nickel, silicon, titanium, palladium, hafnium, boron, tungsten, tantalum, or mixtures thereof.
[00124] Figure 7 is a partial cross sectional view showing one embodiment of a processing chamber 700. In this embodiment, the processing chamber 700 includes a lid assembly 700 disposed at an upper end of a chamber body 712, and a support assembly 700 at least partially disposed within the chamber body 712. The processing chamber also includes a remote plasma generator 740 having a remote electrode with a U-shaped cross section as described further by Figure 8. The chamber 700 and the associated hardware are preferably formed from one or more process-compatible materials, for example, aluminum, anodized aluminum, nickel plated aluminum, nickel plated aluminum 6061 -T6, stainless steel, as well as combinations and alloys thereof.
[00125] The support assembly 710 is partially disposed within the chamber body 712. The support assembly 710 is raised and lowered by the shaft (not shown) which is enclosed by bellows 733. The chamber body 712 includes a slit valve 760 formed in a sidewall thereof to provide access to the interior of the chamber 700. The slit valve 760 is selectively opened and closed to allow access to the interior of the chamber body 712 by a wafer handling robot (not shown). Wafer handling robots are well known to those with skill in the art, and any suitable robot may be used. In one embodiment, a wafer can be transported in and out of the process chamber 700 through the slit valve opening 760 to an adjacent transfer chamber and/or load-lock chamber (not shown), or another chamber within a cluster tool. Illustrative cluster tools include but are not limited to the PRODUCER™, CENTURA™, ENDURA™, and ENDURASL™ platforms available from Applied Materials, Inc. of Santa Clara, CA. [00126] The chamber body also includes a channel (not shown) formed therein for flowing a heat transfer fluid therethrough. The heat transfer fluid can be a heating fluid or a coolant and is used to control the temperature of the chamber body 712 during processing and substrate transfer. The temperature of the chamber body 712 is important to prevent unwanted condensation of the gas or byproducts on the chamber walls. Exemplary heat transfer fluids include water, ethylene glycol, or a mixture thereof. An exemplary heat transfer fluid may also include nitrogen gas.
[00127] The chamber body 712 further includes a liner 733 that surrounds the support assembly 700, and is removable for servicing and cleaning. The liner 733 is preferably made of a metal such as aluminum, or a ceramic material. However, any process compatible material may be used. The liner 733 may be bead blasted to increase the adhesion of any material deposited thereon, thereby preventing flaking of material which results in contamination of the chamber 700. The liner 733 typically includes one or more apertures 735 and a pumping channel 729 formed therein that is in fluid communication with a vacuum system. The apertures provide a flow path for gases into the pumping channel 729, and the pumping channel provides a flow path through the liner 733 so the gases can exit the chamber 700.
[00128] The vacuum system includes a vacuum pump (not shown) and a throttle valve (not shown) to regulate flow of gases within the chamber 700. The vacuum pump is coupled to a vacuum port (not shown) disposed on the chamber body 712, and is in fluid communication with the pumping channel 729 formed within the liner 733. The vacuum pump and the chamber body 712 are selectively isolated by a throttle valve to regulate flow of the gases within the chamber 700. The terms "gas" and "gases" are used interchangeably, unless otherwise noted, and refer to one or more precursors, reactants, catalysts, carrier, purge, cleaning, combinations thereof, as well as any other fluid introduced into the chamber body 712.
[00129] The lid assembly 700 includes a number of components stacked on top of one another. For example, the lid assembly 700 includes a lid rim 710, gas delivery assembly 720, and a top plate 750. The lid rim 710 is designed to hold the weight of the components making up the lid assembly 700 and is coupled to an upper surface of the chamber body 712 via a hinge assembly (not shown in this view) to provide access to the internal chamber components. The gas delivery assembly 720 is coupled to an upper surface of the lid rim 710 and is arranged to make minimum thermal contact therewith. The components of the lid assembly 700 are preferably constructed of a material having a high thermal conductivity and low thermal resistance, such as an aluminum alloy with a highly finished surface, for example. Preferably, the thermal resistance of the components is less than about 5x10"4 m2 K/W.
[00130] Considering the gas delivery assembly 720 in more detail, the gas delivery assembly 720 includes a gas distribution plate or showerhead. A gas supply panel (not shown) is typically used to provide the one or more gases to the chamber 700. The particular gas or gases that are used depend upon the process to be performed within the chamber 700. For example, the typical gases include one or more precursors, reductants, catalysts, carriers, purge, cleaning, or any mixture or combination thereof. Typically, the one or more gases are introduced to the chamber 700 into the Hd assembly 700 and then into the chamber body 712 through the gas delivery assembly 720. An electronically operated valve and/or flow control mechanism (not shown) may be used to control the flow of gas from the gas supply into the chamber 700.
[00131] In one aspect, the gas is delivered from a gas box (not shown) to the chamber 700 where the gas line tees into two separate gas lines which feed gases to the chamber body 712 as described above. Depending on the process, any number of gases can be delivered in this manner and can be mixed either in the chamber 700 or before they are delivered to the chamber 700.
[00132] Still referring to Figure 7, the lid assembly may further include an electrode 740 to generate a plasma of reactive species within the lid assembly 700. In this embodiment, the electrode 740 is supported on the top plate 750 and is electrically isolated therefrom. An isolator filler ring (not shown) is disposed about a lower portion of the electrode 740 separating the electrode 740 from the top plate 750. An annular isolator (not shown) is disposed about an upper portion of the isolator filler ring and rests on an upper surface of the top plate 750, as shown in Figure 1. An annular insulator (not shown) is then disposed about an upper portion of the electrode 740 so that the RF plate 740 is electrically isolated from the other components of the lid assembly 710. Each of these rings, the isolator filler and annular isolators can be made from aluminum oxide or any other insulative, process compatible material.
[00133] The electrode 740 is coupled to a power source (not shown) while the gas delivery assembly 720 is connected to ground. Accordingly, a plasma of the one or more process gases is struck in the volume formed between the electrode 740 and the gas delivery assembly 720. The plasma may also be contained within the volumes formed by blocker plates. In the absence of a blocker plate assembly, the plasma is struck and contained between the electrode 740 and the gas delivery assembly 720. In either embodiment, the plasma is well confined or contained within the lid assembly 710.
[00134] Any power source capable of activating the gases into reactive species and maintaining the plasma of reactive species may be used. For example, radio frequency (RF), direct current (DC), alternating current (AC), or microwave (MW) based power discharge techniques may be used. The activation may also be generated by a thermally based technique, a gas breakdown technique, a high intensity light source (e.g., UV energy), or exposure to an x-ray source. Alternatively, a remote activation source may be used, such as a remote plasma generator, to generate a plasma of reactive species which are then delivered into the chamber 700. Exemplary remote plasma generators are available from vendors such as MKS Instruments, Inc. and Advanced Energy Industries, Inc. Preferably, an RF power supply is coupled to the electrode 740.
[00135] The gas delivery assembly 720 may be heated depending on the process gases and operations to be performed within the chamber 700. In one embodiment, a heating element 770, such as a resistive heater for example, is coupled to the gas delivery assembly 720. In one embodiment, the heating element 770 is a tubular member and is pressed into an upper surface of the gas delivery assembly 720. The upper surface of the gas delivery assembly 720 includes a groove or recessed channel having a width slightly smaller than the outer diameter of the heating element 770, such that the heating element 770 is held within the groove using an interference fit.
[00136] The heating element 770 regulates the temperature of the gas delivery assembly 720 since the components of the delivery assembly 720, including the gas delivery assembly 720 and the blocker assembly are each conductively coupled to one another. Additional details of the processing chamber may be found in United States Patent Application Number 11/063,645, filed February 22, 2005 which is incorporated by reference herein.
[00137] Figure 8 illustrates components of the remote plasma generator 840. Inlet 841 supplies gas to the generator 840. Insulators 842 insulate the electrode 843 from the ground 844. Chamber 845 provides a region for the plasma to ignite and flow toward valve 846. The valve is in fluid communication with a mixing region which is connected to an additional gas supply 848. The plasma and gases may flow from the valve 846 to the lid assembly. The U-shaped electrode 843 and chamber 845 have geometrical properties that may be defined by ratios. For example, the ratio of surface area of the electrode to the volume of the chamber is higher than traditional cylindrical, spherical, or rectangular electrodes that are housed in cylindrical or rectangular chambers with comparable dimensions such as height and width of the electrode and the chamber. Also, the ratio of the surface area of the electrode to the surface area of the walls of the chamber is higher for the U-shaped electrode than for traditional cylindrical, spherical, or rectangular electrodes that are housed in cylindrical or rectangular chambers with comparable dimensions such as height and width of the electrode and the chamber.
[00138] After extended periods of use or at designated times for scheduled maintenance, certain components of the chamber 700 described above are regularly inspected, replaced, or cleaned. These components are typically parts that are collectively known as the "process kit." More particularly, components of the process kit include, but are not limited to the gas delivery assembly 720, the top plate (not shown), the edge ring (not shown), the liner 733, and the lift pins (not shown), for example. Any one or more of these components are typically removed from the chamber 700 and cleaned or replaced at regular intervals or according to an as-needed basis.
[00139] Furthermore, the processing chamber 700 may be integrated into a multiprocessing platform, such as an Endura™ platform available from Applied Materials, Inc. located in Santa Clara, California. Such a processing platform is capable of performing several processing operations without breaking vacuum. Details of the Endura™ platform are described in commonly assigned U.S. Patents Number 6,558,509 which is incorporated by reference herein.
[00140] For simplicity and ease of description, an alternative exemplary dry etch process for removing silicon oxide using an ammonia (NH3) and nitrogen trifluoride (NF3) gas mixture performed within the chamber 700 will now be described. It is believed that the chamber 700 is advantageous for any dry etch process that benefits from a plasma treatment in addition to both substrate heating and cooling all within a single processing environment, including an anneal process.
[00141] Referring to Figure 7, the dry etch process begins by placing a substrate (not shown), such as a semiconductor substrate for example, into the chamber 700. The substrate is typically placed into the chamber body 712 through the slit valve 760 and disposed on the upper surface of the support member 710. The substrate is chucked to the upper surface of the support member 710. Preferably, the substrate is chucked to the upper surface of the support member 710 by pulling a vacuum through the holes and grooves that are in fluid communication with a vacuum pump. The support member 710 is then lifted to a processing position within the chamber body 712, if not already in a processing position. The chamber body 712 is preferably maintained at a temperature of between about 50°C and about 8O0C, more preferably at about 65°C. This temperature of the chamber body 712 is maintained by passing a heat transfer medium through the walls of the chamber body 712. [00142] The substrate is cooled below about 65°C, such as between about 15°C and about 5O0C, by passing a heat transfer medium or coolant through the fluid channel (not shown) formed within the support assembly 700. In one embodiment, the substrate is maintained below room temperature. In another embodiment, the substrate is maintained at a temperature of between about 22°C and about 40°C. Typically, the support member 710 is maintained below about 22°C to reach the desired substrate temperatures specified above. To cool the support member 310, a coolant is passed through a fluid channel in the support member 310. A continuous flow of coolant is preferred to better control the temperature of the support member 310. The coolant is preferably 50 percent by volume ethylene glycol and 50 percent by volume water. Of course, any ratio of water and ethylene glycol can be used so long as the desired temperature of the substrate is maintained.
[00143] The ammonia and nitrogen trifluoride gases are then introduced into the chamber 700 to form a cleaning gas mixture. The amount of each gas introduced into the chamber is variable and may be adjusted to accommodate, for example, the thickness of the oxide layer to be removed, the geometry of the substrate being cleaned, the volume capacity of the plasma, the volume capacity of the chamber body 712, as well as the capabilities of the vacuum system coupled to the chamber body 712. In one aspect, the gases are added to provide a gas mixture having at least about 1 :1 molar ratio of ammonia to nitrogen trifluoride. In another aspect, the molar ratio of the gas mixture is at least about 3:1 (ammonia to nitrogen trifluoride). Preferably, the gases are introduced in the chamber 700 at a molar ratio of from about 5:1 (ammonia to nitrogen trifluoride) to about 30:1. More preferably, the molar ratio of the gas mixture is of from about 5:1 (ammonia to nitrogen trifluoride) to about 10:1. The molar ratio of the gas mixture may also fall between about 10:1 (ammonia to nitrogen trifluoride) and about 20:1.
[00144] A purge gas or carrier gas may also be added to the gas mixture. Any suitable purge/carrier gas may be used, such as argon, helium, hydrogen, nitrogen, or mixtures thereof, for example. Typically, the overall gas mixture is from about
0.05% to about 20% by volume of ammonia and nitrogen trifluoride. The remainder being the carrier gas. In one embodiment, the purge or carrier gas is first introduced into the chamber body 712 before the reactive gases to stabilize the pressure within the chamber body 712.
[00145] The operating pressure within the chamber body 712 can be variable. Typically, the pressure is maintained between about 100 mTorr and about 30 Torr. Preferably, the pressure is maintained between about 200 Torr and about 5 Torr.
[00146] An RF power of from about 5 to about 600 Watts is applied to the electrode 840 to ignite a plasma of the gas mixture within the volumes contained in the gas delivery assembly 720. Preferably, the RF power is less than about 100 Watts. More preferable is that the frequency at which the power is applied is very low, such as less than about 200 kHz.
[00147] The plasma energy dissociates the ammonia and nitrogen trifluoride gases into reactive species that combine to form a highly reactive ammonia fluoride (NH4F) compound and/or ammonium hydrogen fluoride (NH4F HF) in the gas phase. These molecules then flow through the gas delivery assembly 220 via holes (not shown) to react with the substrate surface to be cleaned. In one embodiment, the carrier gas is first introduced into the chamber 700, a plasma of the carrier gas is generated, and then the reactive gases, ammonia and nitrogen trifluoride, are added to the plasma.
[00148] Not wishing to be bound by theory, it is believed that the etchant gas, NH4F and/or NH4F HF, reacts with the silicon oxide surface to form ammonium hexafluorosilicate (NH4)2SiF6, NH3, and H2O products. The NH3, and H2O are vapors at processing conditions and removed from the chamber 700 by the vacuum pump. In particular, the volatile gases flow through the apertures 735 formed in the liner 733 into the pumping channel 729 before the gases exit the chamber 700 through the vacuum port (not shown) into the vacuum pump. A thin film of (NH4)2SiF6 is left behind on the substrate surface. This reaction mechanism can be summarized as follows:
[00149] NF3 + NH3 → NH4F + NH4PHF + N2 [00150] 6NH4F + SiO2 → (NH4)2SiF6 + H2O
[00151] (NH4)2SiF6 + heat → NH3 + HF + SiF4
[00152] After the thin film is formed on the substrate surface, the support member 710 having the substrate supported thereon is elevated to an anneal position in close proximity to the heated gas delivery assembly 720. The heat radiated from the gas delivery assembly 720 should be sufficient to dissociate or sublimate the thin film of (NH4)2SiF6 into volatile SiF4, NH3, and HF products. These volatile products are then removed from the chamber 100 by the vacuum pump as described above.
[00153] The thermal energy to dissociate the thin film of (NH4J2SiF6 into its volatile components is convected or radiated by the gas delivery assembly 720. The distance between the upper surface of the substrate having the thin film thereon and the gas delivery assembly 720 is not critical and is a matter of routine experimentation. A person of ordinary skill in the art can easily determine the spacing required to efficiently and effectively vaporize the thin film without damaging the underlying substrate. It is believed, however, that a spacing of between about 0.254 mm (10 mils) and about 5.08 mm (200 mils) is effective.
[00154] Once the film has been removed from the substrate, the chamber is purged and evacuated. The cleaned substrate is then removed from the chamber by lowering the substrate to the transfer position, de-chucking the substrate, and transferring the substrate through the slit valve 760.
[00155] A controller (not shown) regulates the operations of the chamber. The system controller operates under the control of a computer program stored on a hard disk drive of a computer. The computer program dictates the process sequencing and timing, mixture of gases, chamber pressures, RF power levels, susceptor positioning, slit valve opening and closing, wafer cooling and other parameters of a particular process. The interface between a user and the system controller is preferably via a CRT monitor and light pen (not shown). In a preferred embodiment two monitors are used, one monitor mounted in the clean room wall for the operators and the other monitor behind the wall for the service technicians. [00156] To provide a better understanding of the foregoing discussion, the following non-limiting example is offered. Although the example may be directed to specific embodiments, the example should not be interpreted as limiting the invention in any specific respect.
[00157] EXAMPLE:
[00158] During etch, a gas mixture of 2 seem of NF3, 10 seem of NH3 and 2,500 seem of argon was introduced into the chamber. A plasma of the gas mixture was ignited using 100 Watts of power. The bottom purge was 1 ,500 seem of argon and the edge purge was 50 seem of argon. The chamber pressure was maintained at about 6 Torr, and the substrate temperature was about 220C. The substrate was etched for 120 seconds.
[00159] During subsequent annealing, the spacing was 750 mil and the lid temperature was 120°C. The substrate was annealed for about 60 seconds. About 50 angstroms of material was removed from the substrate surface. No anneal effect was observed. The etch rate was about 0.46 angstroms per second (28 A/min). The observed etch uniformity was about 5 % for the 50 A etch.
[00160] The advantage of the cleaning regime includes requiring no additional processing equipment and no need to open the chamber for wet cleaning. The process also requires no constant monitoring or labor intensive, time lag associated with wet cleaning. That is, the time for cleaning using the elevated pedestal with a blocked cooling fluid inlet is about five hours, compared to the two to three days needed for a cleaning system that heats the pedestal cooling fluid.
[00161] Unless otherwise indicated, all numbers expressing quantities of ingredients, properties, reaction conditions, and so forth, used in the specification and claims are to be understood as approximations. These approximations are based on the desired properties sought to be obtained by the present invention, and the error of measurement, and should at least be construed in light of the number of reported significant digits and by applying ordinary rounding techniques. Further, any of the quantities expressed herein, including temperature, pressure, spacing, molar ratios, flow rates, and so on, can be further optimized to achieve the desired etch selectivity and particle performance.
[00162] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

Claims:
1. A processing chamber for a substrate, comprising: a chamber body defining a processing region; a support assembly at least partially disposed within the chamber body and adapted to support a substrate within the processing region; and a plasma source having a cylindrical electrode and a ground electrode defining a plasma region in communication with the processing region.
2. The chamber of claim 1 , wherein the ground electrode is a cup-shaped electrode spaced apart from the cylindrical electrode.
3. The chamber of claim 1 , wherein the cylindrical electrode is coupled to a radio frequency source, microwave source, or a source of direct current or alternating current.
4. The chamber of claim 3, wherein the cylindrical electrode is coupled to a radio frequency source.
5. The chamber of claim 4, wherein the ground electrode has greater surface area than the cylindrical electrode.
6. The chamber of claim 1 , wherein the ground electrode is below the cylindrical electrode.
7. The chamber of claim 1, further comprising one or more fluid channels for flowing heat transfer medium through the support assembly.
8. A processing chamber for a substrate, comprising: a chamber body defining a processing region; a support assembly at least partially disposed within the chamber body and adapted to support a substrate within the processing region; and a remote plasma source having a cylindrical electrode and a ground electrode defining a remote plasma region in communication with the processing region.
9. The chamber of claim 8, further comprising one or more fluid channels for flowing a heat transfer medium through the support assembly.
10. The chamber of claim 8, wherein the ground electrode is a cup-shaped electrode spaced apart from the cylindrical electrode.
11. The chamber of claim 8, wherein the cylindrical electrode is coupled to a radio frequency source, microwave source, or a source of direct current or alternating current.
12. The chamber of claim 11 , wherein the cylindrical electrode is coupled to a radio frequency source.
13. The chamber of claim 12, wherein the ground electrode has greater surface area than the cylindrical electrode.
14. The chamber of claim 8, wherein the ground electrode is below the cylindrical electrode.
15. A processing chamber for a substrate, comprising: a chamber body defining a processing region; a support assembly at least partially disposed within the chamber body and adapted to support a substrate within the processing region; and a cylindrical electrode and a cup-shaped electrode defining a plasma region in communication with the processing region.
16. The chamber of claim 15, further comprising one or more fluid channels for flowing a heat transfer medium through the support assembly.
17. The chamber of claim 15, wherein the plasma source is a remote plasma source.
18. The chamber of claim 15, wherein the cylindrical electrode is coupled to a radio frequency source, microwave source, or a source of direct current or alternating current.
19. The chamber of claim 15, wherein the cup-shaped electrode has greater surface area than the cylindrical electrode.
20. The chamber of claim 15, wherein the cup-shaped electrode is below the cylindrical electrode.
21. A method for cleaning a processing chamber, comprising: blocking a flow of cooling fluid to a channel within a support member within a processing chamber; elevating the support member to be within about 0.1 inches of a gas distribution plate; heating the gas distribution plate; and introducing a thermally conductive gas through the gas distribution plate into the processing chamber.
22. The method of claim 21 , further comprising striking a plasma in the processing chamber.
23. The method of claim 21 , further comprising introducing a heated fluid into the channel.
24. The method of claim 21 , wherein the gas distribution plate is heated to about 100°C to about 180°C.
25. The method of claim 21 , wherein the support member is heated to about 35°C to about 140°C.
26. The method of claim 25, wherein the support member is heated to about 100°C in about one hour.
27. The method of claim 25, wherein the support member is heated to about 1400C in about three hours.
28. The method of claim 21 , wherein the conductive gas comprises hydrogen, helium, or argon.
29. A method for cleaning a processing chamber, comprising: elevating a support member within a processing chamber to be within about
0.1 inches of a gas distribution plate; heating the gas distribution plate to about 1000C to about 1800C; and introducing a thermally conductive gas through the gas distribution plate into the processing chamber.
30. The method of claim 29, further comprising blocking a flow of cooling fluid to a channel within the support member.
31. The method of claim 30, further comprising introducing a heated fluid into the channel.
32. The method of claim 29, further comprising striking a plasma into the processing chamber.
33. The method of claim 29, wherein the support member is heated to about 35°C to about 140°C.
34. The method of claim 33, wherein the support member is heated to about 100°C in about one hour.
35. The method of claim 33, wherein the support member is heated to about 140°C in about three hours.
36. The method of claim 29, wherein the conductive gas comprises hydrogen, helium, or argon.
37. A method for cleaning a processing chamber, comprising: blocking a flow of cooling fluid to a channel within a support member within a processing chamber; heating a gas distribution plate in communication with a processing chamber to about 100°C to about 1800C; and introducing a thermally conductive gas through the gas distribution plate into the processing chamber.
38. The method of claim 37, wherein the support member is heated to about 35°C to about 140°C.
39. The method of claim 38, wherein the support member is heated to about 1400C in about three hours.
40. The method of claim 37, wherein the conductive gas comprises hydrogen, helium, or argon.
EP05854872A 2004-12-21 2005-12-20 An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber Withdrawn EP1831430A2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US63789704P 2004-12-21 2004-12-21
US11/137,200 US20060130971A1 (en) 2004-12-21 2005-05-24 Apparatus for generating plasma by RF power
US11/266,167 US20060051966A1 (en) 2004-02-26 2005-11-03 In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
PCT/US2005/046226 WO2006069085A2 (en) 2004-12-21 2005-12-20 An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber

Publications (1)

Publication Number Publication Date
EP1831430A2 true EP1831430A2 (en) 2007-09-12

Family

ID=36602275

Family Applications (1)

Application Number Title Priority Date Filing Date
EP05854872A Withdrawn EP1831430A2 (en) 2004-12-21 2005-12-20 An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber

Country Status (3)

Country Link
EP (1) EP1831430A2 (en)
KR (1) KR20070087196A (en)
WO (1) WO2006069085A2 (en)

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US8450193B2 (en) * 2006-08-15 2013-05-28 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
US7655933B2 (en) 2006-08-15 2010-02-02 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR101896607B1 (en) * 2010-10-19 2018-09-07 어플라이드 머티어리얼스, 인코포레이티드 Quartz showerhead for nanocure uv chamber
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
EP3267470A3 (en) 2012-02-14 2018-04-18 Entegris, Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9824865B2 (en) * 2014-03-05 2017-11-21 Lam Research Corporation Waferless clean in dielectric etch process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
TW202340495A (en) 2019-02-11 2023-10-16 美商應用材料股份有限公司 Physical vapor deposition methods
JP2022521291A (en) * 2019-02-21 2022-04-06 ラム リサーチ コーポレーション Macroscopic texture of anodized and coated surfaces

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0286306B1 (en) * 1987-04-03 1993-10-06 Fujitsu Limited Method and apparatus for vapor deposition of diamond
US5578130A (en) * 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US6448537B1 (en) * 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US7256370B2 (en) * 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO2006069085A2 *

Also Published As

Publication number Publication date
WO2006069085A2 (en) 2006-06-29
KR20070087196A (en) 2007-08-27
WO2006069085A3 (en) 2009-06-11

Similar Documents

Publication Publication Date Title
US20210225640A1 (en) Support assembly
US20060051966A1 (en) In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
EP1831430A2 (en) An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
JP5698719B2 (en) In-situ chamber cleaning process to remove byproduct deposits from chemical vapor deposition etch chambers
US20060130971A1 (en) Apparatus for generating plasma by RF power
KR101248182B1 (en) In-situ clean chamber for front end of line fabrication

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20070622

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LI LT LU LV MC NL PL PT RO SE SI SK TR

AX Request for extension of the european patent

Extension state: AL BA HR MK YU

RIN1 Information on inventor provided before grant (corrected)

Inventor name: OR, DAVID T.

Inventor name: TZU, GWO-CHUAN

Inventor name: KUANG, WILLIAM

Inventor name: PHAN, SEE-ENG

Inventor name: LU, XINLIANG

Inventor name: CHANG, YU

Inventor name: YUAN, XIAOXIONG (JOHN)

Inventor name: CHANG, MEI

Inventor name: UMOTOY, SALVADOR P.

Inventor name: CHOU, JING-PEI (CONNIE)

Inventor name: KAO, CHIEN-TEH

DAX Request for extension of the european patent (deleted)
R17D Deferred search report published (corrected)

Effective date: 20090611

RBV Designated contracting states (corrected)

Designated state(s): DE NL

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20110104