JP4585510B2 - シャロートレンチアイソレーションプロセス - Google Patents

シャロートレンチアイソレーションプロセス Download PDF

Info

Publication number
JP4585510B2
JP4585510B2 JP2006509191A JP2006509191A JP4585510B2 JP 4585510 B2 JP4585510 B2 JP 4585510B2 JP 2006509191 A JP2006509191 A JP 2006509191A JP 2006509191 A JP2006509191 A JP 2006509191A JP 4585510 B2 JP4585510 B2 JP 4585510B2
Authority
JP
Japan
Prior art keywords
region
strain
trench
layer
type
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2006509191A
Other languages
English (en)
Other versions
JP2006521026A (ja
Inventor
キュリー,マシュー,ティー
ロックテフェルド,アンソニー,ジェイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2006521026A publication Critical patent/JP2006521026A/ja
Application granted granted Critical
Publication of JP4585510B2 publication Critical patent/JP4585510B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Description

関連出願
本出願は、2003年3月7日付けの米国仮特許出願第60/452794号の利益を主張するものであり、その開示内容全体は、参照により本出願に組み込まれている。
技術分野
本発明は、一般には半導体構造に関し、詳細にはシャロートレンチアイソレーションに関する。
集積回路の形成は、デバイス間の寄生電流リークを防ぐための分離領域を画定することを含む。分離技術は、シャロートレンチアイソレーション(STI)方式を含む。この方式は、シリコン超大規模集積回路(SiVLSI)デバイスの平坦化および高密度化を実現させてきたものであって、0.25マイクロメートル(μm)テクノロジーノードあたりから一般に使用されてきた分離方式である。
典型的なSTIプロセスでは、パッド酸化物および窒化物の被覆層で活性デバイスエリアを保護し、活性デバイスエリアの周囲をエッチングしてアイソレーショントレンチを形成する。トレンチのエッチング後、ライナ酸化物を各トレンチ内に形成する。このライナ酸化ステップにより、いくつかの利点が得られる。第1に、酸化によって、サイドウォール材料の消費が少量であることにより、トレンチサイドウォールへのエッチングによるあらゆる損傷が減少する。第2に、ライナ酸化は、トレンチの上部角隅の周りを囲み、これにより、活性エリア縁部の角隅が鋭いことによって生じうるフリンジ電界が最小限となる。このような電界が存在すると、主デバイスのしきい値以下の特性を低下させうるしきい値電圧の低い寄生トランジスタが活性エリア縁部において形成される。最後に、ライナ酸化物は典型的には熱的酸化物であるので、これにより、Siトレンチサイドウォールと堆積されたトレンチ酸化物との間に高品質の界面が形成される。これによって、界面トラップ、つまり酸化物/半導体界面に存在する電気的に活性な欠損が、その界面において最小限となる。このようなライナ酸化は、高温、つまり1000℃より高い温度で、酸素中、つまり乾燥雰囲気で行われることが多い。
ライナ酸化後、化学蒸着(CVD)させた誘電体、例えば二酸化シリコンを基板全体にわたり堆積させ、トレンチを充填する。このCVD誘電体は、活性デバイス領域も覆っており、後続のデバイス処理のために選択的に除去されるのが望ましい。この除去を、基板の平坦化、典型的には化学機械研磨(CMP)によって行い、活性エリア上の窒化シリコン層を停止層として使用する。このプロセスによって、誘電体が活性エリアからは除去され、一方、分離トレンチ内では保持される。次に窒化物およびパッド酸化物マスク層が除去され、これにより、分離されたデバイス領域を備えている極めて平坦な基板が得られる。
シリコンゲルマニウム(SiGe)バーチャル基板上のSTI構造の形成は、特に困難となりうる。SiGeバーチャル基板は、バルクSi上に製造されたデバイスと比較してより高い性能を示すVLSIデバイスの新世代のためのプラットフォームである。SiGeバーチャル基板の重要な要素は、平衡格子定数、つまりSiより大きな格子定数にまで緩和されたSiGeの層である。この緩和SiGe層は、例えばウェハ接合または直接エピタキシー法によってSi基板上に直接的に、またはSiGe材料の格子定数が層の厚み方向に漸次的に増加している緩和傾斜SiGe層の上に形成することができる。SiGeバーチャル基板は、セミコンダクタオンインシュレータ(SOI)ウェハの構造に類似して、埋め込まれた絶縁層も組み込んでいる。このようなプラットフォーム上に高性能のデバイスを製造するためには、Si、GeまたはSiGeからなる薄い歪み層を緩和SiGeバーチャル基板上に成長させる。これにより得られる二軸性の引張りまたは圧縮歪みによって、層内のキャリア移動度が変化し、これにより、高速および/または低電力のデバイスを製造することができる。SiGe上にデバイスを製造する場合には、バルクSi基板上に製造する場合よりも多くの課題と問題点が生じる。
SiGeバーチャル基板上へのSTI構造の形成は、エッチングを行い、下層の緩和SiGeを露出させることを含む。SiGeにエッチングされたトレンチの直接的な熱的ライナ酸化には問題があり、得られるのは低品質のライナ酸化物である。ライナ酸化中、SiGe中のGeは酸化物前端へと寄せられて、これにより、純粋な二酸化シリコン酸化物層が、SiGeバルク材料よりもGe含有量の多いSiGe層の一部分の表面に形成される。この場合、酸化物自体は酸化されたSiの性質の全てを有しているが、より高いGe含有量を有するSiGeの層に隣接している。Geのこの高いレベルによって、界面トラップ密度がの極めて高くなる。この界面においてこのようにGeが高いレベルにあることによって、極めて高い界面トラップ密度を招く。一方、この界面トラップによって、活性デバイスに対する、しきい値以下のリークが増大するかまたはしきい値電圧をシフトするので、この界面トラップは不都合である。
トレンチが、チャネル内の全ての歪みの導入に依存している場合には、チャネル内に導入されうる歪みの量が制限される。トレンチに導入される歪みが大きすぎる場合には、欠陥が生じ、これによりデバイスの動作に問題が生じる。
発明の概要
トレンチ構造および別の歪み導入要素を設け、これにより、トランジスタのチャネル領域内に歪みを導入させる。この歪みによって、トランジスタ、特に活性エリアの寸法の小さなトランジスタの性能が向上する。
本発明の態様によれば、ライナ誘電体、例えば酸化物とトレンチサイドウォールとの間の界面における、つまり、トレンチがSiGeバーチャル基板内で規定される箇所における界面トラップ密度が減少する。トレンチエッチングプロセスの完了後、ライナ誘電体を形成する。例えば、ライナ酸化は、SiGe中のGeが酸化前端に向かって押し出されないように行う。それどころか、Geはライナ酸化物内に組み込まれ、これにより、界面トラップ密度が減少し、しきい値以下のデバイスリークが減少する。ライナ酸化は、湿式、つまり水蒸気の雰囲気でかつ/または低温、つまり1000℃より低い温度で行うことができる。
ライナ酸化物は、酸化プロセス中に取り込まれたGeを含有しているので、後続のプロセス中で使用されるエッチャント、例えば、フッ化水素酸(HF)のような湿式洗浄液による攻撃に対して、または脱イオン水中での濯ぎに対してさえもより敏感となっている。迅速なエッチングからライナ酸化物を保護するためには、第2の保護ライナを使用する。このライナ、好ましくは誘電材料、例えば窒化シリコンまたは二酸化シリコンを、最初のライナ酸化物上にコンフォーマルに堆積させることができる。湿式のエッチャント、例えばHF中でのエッチング速度が、ライナ酸化物より低くなるように保護ライナが選択される。この保護ライナはGeを含有しておらず、これにより、エッチャントからの影響をより受けにくくなっているので、この保護ライナにより、後続のプロセスステップ中でもライナ酸化物の品質を保持することが可能となる。保護ライナの形成後、続いてSTIプロセスをSi基板において行う、つまりトレンチを高密度プラズマ酸化物のような誘電材料で充填する。
本発明によれば、ライナ誘電体とトレンチサイドウォールとの間の界面は、界面トラップ密度の低い、つまり5×1011/cmより小さい、十分な品質を有している。さらに、トランジスタのOFF状態の電流(Ioff)は、トランジスタのゲート下で生じるエッジリークの影響を受ける。したがって、ゲート下に設けられたSTIの一部で、界面トラップ密度が低くなっていることは、低いIoffを得るために重要である。本発明によって可能であるように、界面トラップ密度が低い場合、高いIoffを誘導するのに十分なリーク電流は、トランジスタゲート下で流れることができない。1μmのチャネル幅を有するトランジスタにおいては、Ioffは10−6アンペアより小さい。いくつかの実施態様では、OFF電流は10−9アンペアより小さい。このような低いデバイスOFF電流は、大きな度合いのプロセス自由度を有する新規のSTIプロセスを用いることによって達成される。いくつかの実施態様では、Geを含有するライナ酸化物は、保護ライナによって保護されているので、その後のプロセスステップは、標準的なプロセスにおいて行われるステップと同様のものとなる。ライナ酸化物の、化学的な攻撃に対する影響の受け易さは、後続のプロセスステップにおいて限定されることはない。
本発明の一態様では、マスク材料を、STI構造、つまりトレンチ構造の形成中に使用することができ、これにより、トレンチ構造が画定されている歪み層中の歪みとは異なる種類の歪みが導入される。
一態様では、本発明は、基板と、この基板の第1の領域上に設けられた第1のトランジスタとを含む構造を特徴としている。この第1のトランジスタは、基板の第1の部分内に設けられた第1のソース領域および第1のドレイン領域と、この第1のソース領域と第1のドレイン領域との間に設けられていて、第1の種類の歪みを有する第1のチャネル領域と、第1のチャネル上にかつ第1のソース領域と第1のドレイン領域との間に設けられていて、ドープ半導体、金属および金属化合物の群から選択される材料を含む第1のゲートとを含む。第1のトレンチ構造は、第1のソース領域および第1のドレイン領域の一方の少なくともいずれか一方の側に隣接している。第1のトレンチ構造によって、第1のチャネル領域内に第1の種類の歪みの一部のみが導入される。
この態様は、以下の1つ以上の特徴をさらに有しうる。歪み層を、基板上に設けることができる。歪み層は、シリコンおよびゲルマニウムの少なくとも一方を含む。第1のチャネル領域の少なくとも一部を、歪み層内に設けることができる。基板上に誘電層を設けることができ、歪み層を、この誘電層上にかつこれと接触して設けることができる。第1の歪みの種類は、引張り歪みとすることができる。第1の歪みの種類は圧縮歪みとすることもできる。基板は、シリコンおよびゲルマニウムの少なくとも一方を含む。基板は、シリコン以外の少なくとも1つの他の元素を含む。この他の元素はゲルマニウムとすることができる。
第1のキャップ層を、第1のトランジスタの表面上に設けることができ、第1のチャネル領域内の歪みが、第1のキャップ層によって導入されうる。第1のキャップ層は、窒化シリコンを含む。第1のチャネル領域内の歪みは、第1のソース領域および第1のドレイン領域のうち少なくとも一方によって導入することもできる。第1のソース領域および第1のドレイン領域の少なくとも一方は、第1のチャネル領域と、第1のソース領域および第1のドレイン領域の少なくとも一方に隣接するエリアとのうちの少なくとも一方に設けられている半導体材料より大きい格子定数を有する第2の材料を含む。この第2の材料は、SiGeおよびGeを含む群から選択される材料を含む。また、第1のソース領域および第1のドレイン領域の少なくとも一方は、第1のチャネル領域と、第1のソース領域および第1のドレイン領域の少なくとも一方に隣接するエリアとのうち少なくとも一方に設けられる半導体材料より小さい格子定位数を有する第2の材料を含む。この第2の材料は、SiGe、SiおよびSiCの群から選択される材料を含む。
第1のチャネル領域の歪みは、第1のゲートによって導入される。第1のゲートは、金属シリサイド、金属ゲルマノシリサイドおよび金属ゲルマノサイドの群から選択される材料を含む。
構造は、基板の第2の領域上に設けられた第2のトランジスタを含む。第2のトランジスタは、基板の第2の部分上に設けられた第2のソース領域および第2のドレイン領域と、これら第2のソース領域と第2のドレイン領域との間に設けられていて第2の種類の歪みを有する第2のチャネル領域と、この第2のチャネル領域の上にかつ第2のソース領域と第2のドレイン領域との間に設けられていて、ドープ半導体、金属および金属化合物の群から選択される材料を含む第2のゲートとを含み、第2のソース領域および第2のドレイン領域の一方の少なくとも一方の側に隣接する第2のトレンチ構造をさらに含み、この第2のトレンチ構造が、第2の種類の歪みの一部のみを第2のチャネル領域内に導入している。歪みの第1の種類および第2の種類は異なっていてよい。
第1のトレンチ構造により導入される歪みの部分は、ほぼゼロとすることもできる。この態様の構造は、第1の歪み導入要素と、第1のエピタキシャル歪み層とを含む。第1のチャネル領域は、第1のエピタキシャル歪み層の一部内に設けられており、第1の歪み導入要素が、第1のチャネル領域内に歪みの一部のみを導入する。
第1の歪み導入要素は、第1のトランジスタの表面上に設けられた第1のキャップ層を含む。第1の歪み導入要素は、第1のゲートを含む。第1の歪み導入要素は、第1のソース領域および第1のドレイン領域の少なくとも一方を含む。
別の態様では、本発明は、半導体構造を形成する方法であって、基板を準備し、基板の第1の領域上に第1のトランジスタを形成することを含む方法に関する。この方法は、第1のソース領域および第1のドレイン領域を基板の第1の部分に画定し、第1のソース領域と第1のドレイン領域との間に第1のチャネル領域を画定し、この第1のチャネル領域が第1の種類の歪みを有しており、第1のチャネル領域上にかつ第1のソース領域と第1のドレイン領域との間に第1のゲートを形成することによって、第1のトランジスタを形成することを含み、第1のゲートが、ドープ半導体、金属および金属化合物の群から選択される材料を含む。トレンチ構造は、第1のソース領域および第1のドレイン領域の一方の少なくとも一方の側に隣接して形成することができ、第1のトレンチ構造を、第1のチャネル領域内に第1の種類の歪みの一部のみが導入されるように調整する。
この態様は、さらに、以下の1つ以上の特徴を有しうる。第2のトランジスタを、基板の第2の領域上に形成することができる。この第2のトランジスタの形成は、基板の第2の部分に第2のソース領域および第2のドレイン領域を画定し、第2のソース領域と第2のドレイン領域との間に第2のチャネル領域を画定し、第2のチャネル領域が第2の種類の歪みを有しており、第2のチャネル領域上にかつ第2のソース領域と第2のドレイン領域との間に第2のゲートを形成することによって行うことができ、第2のゲートは、ドープ半導体、金属および金属化合物の群から選択される材料を含む。第2のトレンチ構造は、第2のソース領域および第2のドレイン領域の一方の少なくとも一方の側に隣接して形成され、第2のトレンチ構造を、第2のチャネル領域内に第2の種類の歪みの一部のみを導入されるように調整する。
歪みの第1の種類および第2の種類は異なっていてよい。第1のキャップ層を、第1のトランジスタの表面上に形成することができ、第1のチャネル領域内に第1の種類の歪みを導入するために調整する。第1のチャネル領域内の歪みの少なくとも一部は、第1のソース領域および第1のドレイン領域の少なくとも一方によって導入することもできる。第1のソース領域および第1のドレイン領域の少なくとも一方は、第1のチャネル領域と、第1のソース領域および第1のドレイン領域の少なくとも一方に隣接するエリアとのうちの少なくとも一方に設けられた半導体材料より大きな格子定数を有する第2の材料を含む。
第1のソース領域および第1のドレイン領域の少なくとも一方が、第1のチャネル領域と、第1のソース領域および第1のドレイン領域の少なくとも一方に隣接するエリアとのうちの少なくとも一方に設けられた半導体材料より小さな格子定数を有する第2の材料を含む。
第1のチャネル領域の歪みの少なくとも一部が、第1のゲートによって導入される。第1のトレンチ構造が調整されてこれにより導入される第1の種類の歪みの一部を、ほぼゼロとすることができる。第1のチャネル領域は、第1のエピタキシャル歪み層の一部内で画定することができる。
第1の歪み導入要素を設けることができる。第1の歪み導入要素は、第1のトランジスタの表面上に設けられる第1のキャップ層を含む。第1の歪み導入要素は、第1のゲートを含む。第1の歪み導入要素は、第1のソース領域および第1のドレイン領域の少なくとも一方を含む。
同じ参照符号によって示す特徴は、対応するそれらの図面で共通している。
図1Aに、本発明との関連での使用に適した構造を示す。図1Aにおいて、基板12は、半導体、例えばSi、GeまたはSiGeからなっている。符号13でまとめて示された複数の層が、基板12上に形成されている。複数の層13は、基板12上に設けられた緩和された傾斜緩衝層14を含む。この傾斜層14は、例えば厚み1μm当たり10%Geの傾斜率、および例えば1〜9μmの厚みTを有するSiGeを含む。
緩和層16は、前記の傾斜SiGe層14上に設けられている。緩和層16は、例えばSil−xGe[0.1≦x≦0.9]を含み、例えば0.2〜2μmの厚みTを有している。いくつかの実施態様では、Sil−xGeは、Si0.70Ge0.30からなり、Tは約1.5μmである。緩和層16は、三軸X線回折によると、実質的にまたは完全に緩和されており、エッチピット密度(EPD)分析によると、1×10転位数/cmの貫通転位密度を有している。貫通転位は、結晶材料の体積内に生じている直線状の欠陥であるので、貫通転位密度は、単位体積中の単位面積を横切る転位の数かまたは単位体積当たりの転位の長さとして測定することができる。したがって、貫通転位密度は、転位数/cmまたはcm/cmの単位で表現される。緩和層16は、例えば約0.3粒子数/cmより小さな表面粒子密度を有している。さらに、緩和層16は、0.13μmより大きな寸法(直径)の粒子欠陥に関して約0.3欠陥数/cmより小さい局所的光散乱欠陥レベル、0.16μmより大きな寸法の粒子欠陥に関して約0.2欠陥数/cmの欠陥レベル、0.2μmより大きな寸法の粒子欠陥に関して0.1欠陥数/cmの欠陥レベル、ならびに1μmより大きな寸法の欠陥に関して約0.03欠陥数/cmの欠陥レベルを有している。プロセスの最適化によって、0.09μmより大きな寸法の粒子欠陥に関して約0.09欠陥数/cmまで、および0.12μmより大きな寸法の粒子欠陥に関して0.05欠陥数/cmまで局所的光散乱欠陥レベルを低減させることができる。
基板12、傾斜層14および緩和層16は、II族、III族、IV族、V族およびVI族元素の様々な組合せを含む、様々な材料系から形成することができる。例えば、基板12、傾斜層14および緩和層16はそれぞれ、III−V化合物を含む。基板12は、ガリウムヒ素(GaAs)、傾斜層14および緩和層16は、インジウムガリウムヒ素(InGaAs)またはアルミニウムガリウムヒ素(AlGaAs)を含む。これらの物質は単に例示的なものであり、他の多くの材料系が適している。
歪み半導体層18が、緩和層16上に設けられる。歪み層18は、半導体、例えば、II族、III族、IV族、V族およびVI族元素のうち少なくとも1つを含む。歪み半導体層18は、例えば、Si、Ge、SiGe、GaAs、インジウムリン(InP)および/または亜鉛セレン(ZnSe)を含む。歪み層18は、例えば5〜100nm(50〜1000オングストローム)の初期厚みTを有している。一実施態様では、Tは、約20〜50nm(約200〜500Å)である。
歪み層18は、エピタキシー法、例えば、常圧CVD(APCVD)、低圧(減圧)CVD(LPCVD)、超高真空CVD(UHVCVD)、分子線エピタキシー法(MBE)、または原子層堆積法(ALD)により形成することができる。Siを含む歪み層18は、ジクロロシラン、シラン、ジシランまたはトリシランのような前駆体を使用してCVDにより形成することができる。Geを含む歪み層18は、ゲルマンまたはジゲルマンのような前駆体を使用してCVDにより形成することができる。エピタキシャル成長システムは、シングルウェハバッチ反応装置またはマルチウェハバッチ反応装置である。層成長速度を増大させるために、成長システムにおいて低エネルギープラズマを使用することもできる。
歪み層18が実質的にSiを100%含んでいる一実施態様では、歪み層18を、Geソースガスに晒されない堆積装置の専用チャンバ内で形成することができ、これにより、交差汚染が回避され、歪み層18と緩和層16との間の境界の品質が改善される。さらに、歪み層18を、同位体に関して純粋な1つまたは複数の前駆体から形成することができる。同位体に関して純粋な材料(例えばSiまたはGe)は、原子同位体の混合物として形成された材料より良好な熱伝導率を有している。熱伝導率がより高い構成により、歪み層18上に実質的に形成されているデバイスからの熱の放散が促進され、これにより、歪み層18を用いることにより得られた高いキャリア移動度が維持される。
形成後、歪み層18は、例えば0〜10cm/cmの初期ミスフィット転位密度を有している。一実施態様では、歪み層18の初期ミスフィット転位密度は、約0cm/cmである。ミスフィット転位は、一般に、一領域内の2つの結晶間の平面内に生じている直線状の欠陥であるので、単位面積当たりの線の長さの合計として測定することができる。したがって、ミスフィット転位密度は、転位数/cmまたはcm/cmの単位で表現することができる。一実施態様では、歪み層18は、引張り歪みを有する、例えばSiGe上に形成されたSiである。別の態様では、歪み層18は、圧縮歪みを有する、例えばSiGe上に形成されたGeである。
歪み層18は、約0.3粒子数/cmより小さな表面粒子密度を有している。ここで用いる「表面粒子密度」には、表面粒子だけではなく、光散乱欠陥、結晶起因のピット(COP)、ならびに歪み層18内に生じた他の欠陥も含まれている。プロセスの最適化によって、局所的光散乱欠陥レベルを、0.09μmより大きな寸法の粒子欠陥に関して約0.09欠陥数/cmまで、0.12μmより大きな寸法の粒子欠陥に関して約0.05欠陥数/cmまで低減させることができる。この表面粒子は、歪み層18の形成中に歪み層18内に生じるか、または下に設けられている層、例えば緩和層16からの表面欠陥の伝搬によって生じうる。
別の実施態様では、傾斜層14はなくてもよい。緩和層16を様々な方法で形成することができる。本発明は、傾斜層14を備えている態様に限定されることはない。別の実施態様では、歪み層18を、基板12上に直接的に形成することができる。この場合、層18内の歪みは、層18と基板12との間の格子不整合によって導入されるか、例えばSiのような上層を堆積させることにより機械的に導入されるか、または層18とSiGe層のような後続の成長層との間の熱的不整合もしくは格子不整合により導入されうる。いくつかの実施態様では、約0.01〜1.5μmの厚みを有しかつ基板12と同じ半導体材料を含む均一な半導体層(図示せず)を、傾斜緩和層14と基板12との間に堆積させる。この均一半導体層は、エピタキシャル成長のための清浄で汚染のない表面を提供することで、基板12上に続いて成長させる層、例えば傾斜緩和層14の材料品質を改善するために成長させることができる。ある態様では、緩和層16を、歪み層18の成長前に平坦化することができ、これにより、傾斜緩衝層14によって導入されるクロスハッチ表面粗さが除去される(例えば、参照により本出願に組み込まれる刊行物、M. T. Currieら、Appl. Phys. Lett.、72(14)、1718頁、(1998)参照)。平坦化は、CMPのような方法により行うことができる。この平坦化により、ウェハの表面粗さが最小限となりかつウェハの平坦性が増大するので、後続の接合プロセスの品質が向上し、これにより、接合のためのより大きな表面積が得られる。
図1Bを参照すると、緩和層16の平坦化後、SiGeのような半導体を含む緩和半導体再成長層20を緩和層16上に成長させている。これによって、歪み層18の成長のための清浄な表面を確実に得ることにより、後続の歪み層18の成長の品質が向上する。この清浄な表面上で成長を行うことは、平坦化プロセスから生じる酸素および炭素によって汚染されている可能性のある表面上で歪み材料、例えばシリコンを成長させるより好ましい。いくつかの実施態様では、平坦化された緩和層16上での緩和半導体再成長層20のエピタキシャル成長の条件を、結果として得られる、再成長層20上に形成される層を含む構造の表面粗さが最小限となり、例えば歪みセミコンダクタオンインシュレータ(SSOI)構造を形成するための後続の高品質の接合に対して適切な表面が確実に得られるように、選択することができる。
別の実施態様では、圧縮歪み層(図示せず)を、歪み層18の下または上に設けることができる。このような態様では、圧縮歪み層は、緩和Sil−xGe層16のGe含有量(x)より高いGe含有量(y)を有するSil−yGeを含むことができる。圧縮歪み層は、例えば0.3<y<1であるGe含有量を有しており、その厚みは、例えば1〜20nm(10〜200Å)である。
図1Cを参照すると、本発明において使用可能な別の種類の構造が示されている。詳細には、この構造は、歪み層18の下に設けられた絶縁体層24を有するSSOI基板22である。絶縁体層24は、酸化物、例えばSiOを含む誘電体層である。一実施態様では、誘電体層24は、純粋なSiOの融点より高い、つまり1700℃より高い融点(T)を有する材料を含む。このような材料の例は、窒化シリコン(Si)、酸化アルミニウム、酸化マグネシウム等である。Tの高い誘電体層24を使用することによって、デバイス製造における通常の使用温度(約1000〜1200℃)での下層の誘電体層24の軟化が原因で後続のプロセス中に起こりうる、転移された歪み半導体層18の緩和の防止が促進される。別の態様(図示せず)では、絶縁体層24は、緩和層16の下に直接的に設けることができる。この場合、傾斜層14を構造から省くことができる。絶縁体層24は、例えば20〜300nm(200〜3000Å)の厚みを有している。
図2を参照すると、第1のマスク層26、例えばパッド二酸化シリコン層(ここでは、パッド酸化物26と呼ぶ)が、熱成長させるかまたは低圧化学蒸着(LPCVD)のような適切な堆積方法により、歪み層18上に形成されている。パッド酸化物26の厚みTは、例えば5〜20nm(50〜200Å)である。続いて、第2のマスク層28、例えばマスク窒化シリコン層を、LPCVD、高密度プラズマCVDまたはプラズマ化学蒸着(PECVD)のような適切な堆積方法によりパッド酸化物26上に堆積させる。マスク層28は、誘電体材料、例えば窒化シリコンまたは酸窒化シリコンであり、下層のパッド酸化物26に対して選択的にエッチングされる。
マスク層28は、歪み層18内の歪みとは異なる種類の歪みを有するように選択された材料を含む。例えば、一実施態様では、歪み層18は第1の種類の歪み、例えば引張り歪みを有しており、マスク層28は第2の種類の歪み、例えば圧縮歪みを有している。より詳細には、歪み層18は引張り歪みシリコンを含み、マスク層28は圧縮歪み窒化シリコンを有している。別の態様では、歪み層18は圧縮歪みを有しており、マスク層28は引張り歪みを有している。より詳細には、歪み層18は、圧縮歪みゲルマニウムであり、マスク層28は、引張り歪み窒化シリコンである。
マスク層28および歪み層18における歪みの種類の不一致は、後続の高温プロセスステップ中での歪み層18の緩和の防止に役立つ。さらに、パッド酸化物26の厚みは、典型的には、マスク層28によって付与される歪みから下層の構造を緩衝するのに十分に厚く選択されてはいるが、パッド酸化物26の厚みを減少させる(例えば20nm(200Å)より薄い、好ましくは10nm(100Å)より薄い厚みにする)ことができ、これにより、下部に形成された層上でのマスク層28によって歪みが容易に付与される。マスク層28の厚みTは、例えば50〜200nm(500〜2000Å)である。
約700℃より高い温度でLPCVDにより成長した窒化シリコン膜の歪みを、窒化物膜のシリコン含有量を変化させることによって選択することができる(参照により本出願に組み込まれる、S.Habermehl、J Appl Phys.、83(9)、4672頁(1998)参照)。例えば、LPCVD化学量論窒化シリコン膜(つまりSi)は、典型的には引張り歪みを有しており、一方でシリコン含有量の大きい(例えば、シリコン体積成分が0.1〜0.15より大きいか、またはSi/N原子比が0.75より大きい)窒化物膜は、典型的には圧縮歪みを有している。LPCVDによって形成される窒化物膜のシリコン含有量は、成長プロセスで使用されるシリコンおよび窒素の前駆体の比を変化させることによって変えることができる。例えば、850℃および26.7Pa(200ミリトール(mTorr))で、シリコン前駆体としてジクロロシラン(SiCl)を、窒素前駆体としてアンモニア(NH)を使用して行われる窒化物成長プロセスによって、全ガス流量に対するジクロロシランの流量の比が約0.85より大きい場合に、シリコン含有量の大きな窒化物が形成される。低温で、シリコン含有量の大きな窒化物膜を形成するためには、ジクロロシランの相対量を大きくする必要がある。圧縮窒化シリコン膜は約2.4より大きな屈折率を有しており、引張り窒化シリコン膜は約2.4より小さな屈折率を有している(参照により本出願に組み込まれる、M.Sekimotoら、J. Vac. Sci. Technol.、21(4)、1017頁、(1982)参照)。
別の実施態様では、様々な歪みの程度を有する窒化シリコン膜を、約700℃より低い堆積温度でPECVDにより形成することができる。前駆体ガス速度、RF電源、希釈ガスおよびプラズマ励起周波数を変化させることによって、最終的に得られる膜の歪みを様々に変化させることができる。例えば、220℃、圧力200パスカル、100ワットFR電源およびヘリウム希釈で行うPECVDプロセスでは、全ガス流量(シラン、アンモニアおよび窒素)に対するシラン流量の比が約0.03より小さい場合には、圧縮窒化シリコン膜が堆積する。この比が約0.03より大きい場合には、引張り歪み窒化シリコン膜が堆積する(参照により本出願に組み込まれる、M. J. Lobodaら、J. Mater. Res.,11(2)、391頁(1996)参照)。
別の実施態様では、様々な歪みの程度を有する窒化シリコン膜を、高密度プラズマCVD(HDPCVD)によって、誘導結合プラズマ(ICP)源を利用して、500℃より低い温度で、前駆体、例えばシラン、アンモニアおよび窒素を使用するプロセスで製造することができる。このプロセスで用いられるプラズマには、アルゴンまたはヘリウムのような希ガスが使用され、このガスは、このプロセス中では希釈ガスとしても働く。放出電力の程度は様々に変化させることができ、これにより、窒化シリコン膜中の歪みの程度が調整される。例えば、150℃および1.33Pa(10mTorr)で、シラン、アンモニアおよびヘリウムガス(全ガス流量は、1分当たり40標準立方センチメートル(sccm))、ならびに800ワットのICP電源を利用するプロセスでは、約40ワットより小さなRF放出電力レベルでは圧縮歪み窒化シリコン膜が、約40ワットより大きなRF放出電力レベルでは引張り歪み窒化シリコン膜を製造することができる(参照により本出願に組み込まれる、J. W. Leeら、J. Electrochemical. Soc.、147(4)、1481頁(2000)参照)。
図2および図3を参照すると、フォトレジスト層が、マスク層28の最上表面30上に堆積されていて、パターン化されてフォトレジストマスク32が形成されている。フォトレジストマスク32は、基板12の領域36上で開口34を画定しており、ここにトレンチ構造55が形成される(例えば、図5Aのトレンチ構造55を参照)。開口34は、領域36上に設けられたマスク層28の最上表面30の部分37を露出させる。
フォトレジストマスク32を画定した後、フォトレジスト層32により露出したマスク層28の部分38を除去すると、フォトレジストマスク32によって保護されたマスク層部分28a、28bが残り、パッド酸化物26の部分40が露出する。次にパッド酸化物26の部分40を除去すると、パッド酸化物部分26a、26bが残される。詳細には、露出したマスク層部分38は、適切な除去プロセス、例えば反応イオンエッチング(RIE)によって、三フッ化窒素、アンモニアおよび酸素の組合せ、または臭化水素、塩素および酸素の組合せといったガスを使用して除去することができる。パッド酸化物部分40は、シリコンに対して選択性をもつ湿式エッチング、例えばフッ化水素酸エッチングによって除去することができる。パッド酸化物部分40の除去により、歪み層18の部分42が露出する。別の態様では、第1のRIEエッチングを行うことができ、これにより、マスク層28の部分38およびパッド酸化物26の部分40が除去される。この第1のRIEエッチングは、下層の半導体材料に対して選択的でなくともよい。このエッチングにより、下層の半導体材料、例えば歪み層18が、数十nm(数百Å)エッチングされてもよい。続いて、第2のRIEエッチングステップを、異なる試薬および/またはエッチング条件で行うことができ、これにより、下層の材料が250〜400nm(2500〜4000Å)除去される。これについては、トレンチ50の形成を参照して以下に説明する。
図3および図4を参照すると、トレンチ50が、歪み層18および緩和層16内で画定されている。トレンチ50は、例えば、乾式反応イオンエッチングにより形成することができる。2ステップのエッチングプロセスを用いることができ、この場合、歪み層18を、塩素および/または臭化水素のようなガスを使用して第1のステップ中にエッチングし、緩和層16を、塩素および/または臭化水素のようなガスを使用して第2のステップ中にエッチングする。エッチングガスの全ガス圧および/または全ガス流速は、この2ステップのエッチングプロセスの各ステップ間で異なる。トレンチ50は、例えば300〜400nm(3000〜4000Å)の範囲の深さd、およびこの深さdより小さな幅wを有しており、例えばwは約100nm(約1000Å)である。いくつかの実施態様では、トレンチ50の幅wは深さdより大きく、幅wは数マイクロメートルである。また別のいくつかの実施態様では、深さdは、例えばディープトレンチアイソレーションプロセスでさらに深くすることができる。トレンチ50のサイドウォール52は、実質的に垂直である、つまり基板の表面に対して平行な面と約80°より大きな角度を形成している。トレンチ50の底部の角隅は、実質的に丸められており、これにより、後続の分離材料の充填が容易になる。マスク層28の部分38およびパッド酸化物26の部分40を選択的に除去し、トレンチ50を形成した後、フォトレジストマスク32を、ストリッピングプロセス、例えば酸素プラズマ中での乾式ストリッピングによって除去することができる。
図5aおよび図5bを参照すると、トレンチ構造55が、トレンチ50内に形成されている。トレンチ構造55の形成は、トレンチサイドウォール58a、58bと、トレンチ底部分58cとを、第1の誘電層72で被覆することを含む。第1の誘電層72は酸化物を含み、トレンチ50内で露出している歪み層18および緩和層16のどこの部分に形成してもよい。第1の誘電層72の厚みは、例えば5〜15nm(50〜150Å)である。一実施態様では、第1の誘電層72は窒化酸化物を含み、これは、SiGe上に熱成長させた純粋な二酸化シリコンから形成される第1の誘電層72で得られるより低い界面準位密度をもたらす。
図5aを参照すると、一実施態様では、第1の誘電層72は、熱成長させた酸化物であり、例えば従来の炉、例えばTokyo Electron(Austin、テキサス)により製造されたALPHA-8SEで熱成長させることによって形成されている。この酸化ステップは、湿式の、つまり水蒸気の雰囲気中でかつ/または低温、つまり1000℃より低い温度で行うことができる。別の態様では、STIモジュールのサーマルバジェットを減少させるために、第1の誘電層72を急速熱酸化によって形成することができる。適切なプロセスシステムは、Applied Materials(Santa Clara、カリフォルニア)により製造されたRADIANCE CENTURA systemである。この態様では、酸化ステップは、酸化速度を増大させるために、さらにプラズマによる機能強化を利用してもよい。急速熱酸化は、湿式の、つまり水蒸気の雰囲気中で行う。急速熱酸化時間は制限されている、例えば5分以下であるので、より高温、つまり1000℃より高い温度で酸化を行うが、しかし、より低温、つまり1000℃より低い温度で酸化を行うことが好ましい。別の態様では、第1の誘電層を、乾式の、例えば酸素の雰囲気中での熱酸化によって形成するか、または高圧で、例えば高圧酸化(HIPOX)で形成することができる。
このような熱酸化プロセスのパラメータにより、基板12に配置されたSi以外の元素を含む元素の酸化物に組み込むことが可能となる。例えば、いくつかの実施態様では、層13を含む基板12は、SiGeバーチャル基板であり、第1の誘電層72はGeを含む。第1の誘電層72中のSiに対するGeの比は、実質的には、緩和層16および歪み層18を含む基板部分11中のSiに対するGeの比に類似している。より詳細には、第1の誘電層72は、Sil−xGeの形態の酸化物である。さらに、第1の誘電層72中とトレンチサイドウォール58a、58bとの間の境界76は、例えば5×1011/cmより小さな、低い界面トラップ密度を有する満足な品質を有している。
図5Bを参照すると、別の実施態様では、第1の誘電層72は、LPCVDまたはPECVDのような適切な堆積方法によって形成される酸化物、例えばSiOを含む。この実施態様では、第1の誘電層72は、純粋なSiOからなっている、つまりGeを含んでいない。第1の誘電層72が堆積されていることによって、第1の誘電層72は、第1の誘電層72とトレンチサイドウォール58a、58bとの間の境界76における基板部分74の組成に実質的な影響を与えない。より詳細には、基板部分74の、境界76に近位の第1の領域74aは、基板部分74の、境界76に遠位の第2の領域74b中のGe濃度に実質的に類似しているGe濃度を有している。第1の誘電層72とトレンチサイドウォール58a、58bとの間の境界76は、5×1011/cmより小さな、低い界面トラップ密度を有する満足な完全性を有している。いくつかの実施態様では、境界の完全性をさらに向上させるために、第1の誘電層72の堆積に続き、酸化ステップを行う。
いくつかの態様で、図6を参照すると、トレンチ構造55は、第1の誘電層72に近位に形成された第2の保護ライナ78を有している。この保護ライナ78、好ましくは誘電材料、例えば窒化シリコンまたは二酸化シリコンは、第1の誘電層72上にコンフォーマルに堆積することができる。保護ライナ78の厚みTは、例えば5〜50nm(50〜500Å)である。いくつかの実施態様では誘電層72がGeを含んでいるので、後続のプロセス中、例えば、フッ化水素酸のような湿式洗浄で使用されるエッチャントによる攻撃に対して、または脱イオン水中での濯ぎに対してさえも影響を受けやすい。湿式のエッチャント、例えばフッ化水素酸、または水、過酸化水素および水酸化アンモニウムを含むRCA SC1洗浄液中でのエッチング速度が第1の誘電層72よりも小さくなっているように、保護ライナ78を選択する。よって、第2の保護ライナ78を形成することによって、加速するエッチングからの第1の誘電層72の保護が促進され、これにより、後続のプロセスステップ中での第1の誘電層72の品質が保たれる。また、保護ライナ78は、高温でかつ/または酸素を含む雰囲気中で行われる後続のプロセスステップ中、トレンチ50のサイドウォールを酸化から保護する。このような酸化によって体積増加が起こると、トレンチ構造と境界をなす領域、または続いて製造されるデバイスのチャネル領域において導入される不都合な圧縮歪みが生じる。
誘電層72(および任意に保護ライナ78)を形成する材料および方法を調整して、基板の特定の層が有している歪みのと同じ種類の歪みを有するトレンチ構造55を画定することができる。例えば、歪み層18が圧縮歪みを有している場合には、誘電層72も圧縮歪みを有するような方式および材料で形成する。別の実施態様では、歪み層18が引張り歪みを有しており、この場合、誘電層72も引張り歪みを有するような方式および材料で形成する。
いくつかの実施態様では、トレンチ構造55は、第1の誘電層72および保護ライナ78の両方を有しており、これら2つの層は、同じ種類の歪みを有していても異なる種類の歪みを有していてもよい。しかし、第1の誘電層72および保護ライナ78が異なる種類の歪みを有していると有利である。例えば、第1の誘電層72が水蒸気の雰囲気中で形成された場合には、トレンチ構造55中で圧縮歪みが生じ、デバイス性能に作用しうる。保護ライナ78が引張り歪み層を有することによって、この圧縮歪みへ対抗することを助成する。好ましくは、第1の誘電層72および保護ライナ78の歪みを合計したものが、基板12上の複数の層13のうちの一層中の、例えば歪み層18中の歪みの種類と同じ種類の歪みとなっている。
図7を参照すると、トレンチ50は、基板12上に堆積された複数の層13のうち一層が有する歪みと同じ種類の歪みを有しているトレンチ構造55を画定するように選択された充填材料80で充填することができる。一実施態様では、この充填材料80は、誘電性であり、例えば二酸化シリコンである。充填材料80は、例えば、LPCVD、PECVDまたはHDPCVDによって堆積することができ、トレンチ50を完全に充填するのに十分な厚みを有している。別の態様では、充填材料80は、スピンオンプロセスによって堆積することができる、例えば、充填材料80を、ポリシラザンをベースとする無機スピンオンガラスのようなスピンオンガラス材料とすることができる。トレンチ50の外部には、充填材料80の部分82を堆積することができる。
トレンチ構造55により引張り歪みが導入されることが望ましい一実施態様では、充填材料80は、アモルファス半導体、例えばアモルファスシリコンを含む。後続のステップ(付加的なステップまたはさらなるプロセスステップ中)で、充填材料80を、アニールまたは紫外線もしくはレーザエネルギーの照射によって、その充填材料のアモルファス−多結晶相転移温度より高い温度で加熱することができる。方法によっては、このステップは、約500〜700℃より高い温度にまで充填材料80を加熱することを含む。アモルファス−多結晶相転移温度より高い温度で起こる相転移中、充填材料80は収縮し、トレンチ構造55によって画定される領域、例えば続いて製造されるデバイスのチャネル領域内に引張り歪みが生じる。
別の実施態様では、充填材料80は、この充填材料80が主に内部に形成されかつ高温で堆積させた材料(つまり、歪み層18、緩和層16または基板12、すなわち充填材80の周囲材料)よりも大きな熱膨張係数を有している。歪み層18、緩和層16および基板12中に存在する材料に応じて、Si(2.6×10−6/℃)、Ge(5.8×10−6/℃)またはGaAs(6.86×10−6/℃)より大きな熱膨張係数を有するように充填材料80を選択する。トレンチ50が、主にSiGeで形成されている場合には、SiGeの熱膨張係数は、SiおよびGeの熱膨張係数の加重平均として近似することができる。これらの材料の熱膨張係数は、温度が上がると増加する傾向にあるので、8×10−6/℃より大きな熱膨張係数を有するように充填材料80を選択する。この実施態様では、充填材料80を室温にまで冷却すると、周囲の材料よりも収縮して、トレンチ構造55によって画定された領域、例えば続いて製造されるデバイスのチャネル領域内に引張り歪みが生じる。充填材料80として使用に適した材料は、亜鉛−アルミナ−シリケートガラスである。
別の態様では、充填材料80は完全には密になっておらず、充填材料80は、低温酸化物(LTO)、中温酸化物(MTO)またはテトラエチルオルトシリケート(TEOS)前駆体から堆積される二酸化シリコンである。堆積温度より高い温度、例えば700℃より高い温度でのアニールによって、充填材料80を密する、つまり収縮させることができ、これにより、トレンチ構造55によって画定された領域、例えば続いて製造されるデバイスのチャネル領域で引張り歪みが生じる。このような高密度化アニールは、好ましくは、充填材料80の流動による歪み緩和を防止する、十分に低い温度、例えば1100〜1200℃より低い温度で行う。
一実施態様では、トレンチ構造55は圧縮歪みを有しており、その周りを囲む材料より小さな熱膨張係数を有する充填材料80を高温で堆積させる。例えば、周囲材料が主にシリコンである場合には、充填材料80を二酸化シリコンとすることができる。よって、充填材料80を室温にまで冷却した場合、この充填材料は周囲材料よりは収縮せず、トレンチ構造55によって画定された領域、例えば続いて製造されるデバイスのチャネル領域内に圧縮歪みが生じる。別の実施態様では、充填材料80は処理なしで(as-deposited)引張り歪みを有しており、高温、例えば900℃より高い温度で高密度化するかまたはアニールすることができる。このような高温での充填材料80の流動によって、冷却後、充填材料80によって圧縮歪みが生じる。別の実施態様では、圧縮二酸化シリコンをPECVDによって堆積させることができる。別の実施態様では、保護ライナ78がトレンチ50内には設けられておらず、トレンチを充填材料80で充填した後に酸化ステップを行う。このような酸化は体積膨張によって起こり、この体積膨張によって、トレンチ構造55によって画定される領域、例えば続いて製造されるデバイスのチャネル領域内で圧縮歪みがさらに生じる。
図7および図8を参照すると、トレンチ50の外側に設けられた充填材料80の部分82が、例えばCMPによって除去されている。
図8および図9を参照すると、残っているマスク層部分28a、28bおよびパッド酸化物部分26a、26bが除去され、歪み層18の最上表面90を露出させ、充填材料80と、ライナ酸化物72と、いくつかの態様では保護ライナ78とで充填されたトレンチ50が残されている。マスク層部分28a、28bは、例えば三フッ化窒素、アンモニアおよび酸素を組合せたまたは臭化水素、塩素および酸素を組合せたガスを使用したRIEのような除去プロセスによって除去することができる。パッド酸化物部分28a、28bは、シリコンに対して選択性をもつ湿式エッチング、例えばフッ化水素酸エッチングによって除去することができる。マスク層部分28a、28bおよびパッド酸化物部分26a、26bの除去後、充填材料80の一部は、最上表面90の上にまで延びている。
図10A〜10Cを参照すると、構造100は、第1のトランジスタ106の第1のソース領域102および第1のドレイン領域104に近位の、第1および第2の平行なアイソレーショントレンチ構造55a、55bを含んでいる。第1のチャネル領域108は、第1のソース領域102と第1のドレイン領域104との間に設けられている。第1のチャネル領域108は、第1の歪みの種類を有している。いくつかの態様では、この第1の歪みの種類は引張り歪みである。別の態様では、第1の歪みの種類は圧縮歪みである。第1のチャネル領域108の少なくとも一部分は、歪み層18内に設けられている。第1のゲート110は、チャネル領域108上に、ソース領域102とドレイン領域104との間に設けられている。ゲート110は、ゲートコンタクト112と接続されている。第1のゲート誘電層114は、ゲート110とチャネル領域108との間に形成することができる。第1のゲート110および第1のゲート誘電層114は、合わせて、第1のゲート構造116として参照番号が付与されている。第1および第2のサイドウォールスペーサ120、122は、ゲート構造116に隣接して形成されている。
第1のトランジスタ106は、基板12上に設けられた層13上に形成することができる。図1Aを参照して上述したように、層13は、例えば傾斜層14、緩和層16および歪み層18を含む。別の態様では、図1Cに示すようなSSOI基板30上に第1のトランジスタ106を形成することができる。ソース領域102、チャネル領域108およびドレイン領域104は、SSOI基板30の一部、例えば歪み層18の一部内に形成される。
ソース領域102およびドレイン領域104は、例えば、n型またはp型ドーパントのイオン注入によって形成することができる。ゲート110は、適正な機能を付与する、導電材料、例えばドープ半導体、例えば多結晶Siまたは多結晶SiGe、金属、例えばチタン(Ti)、タングステン(W)、モリブデン(Mo)、タンタル(Ta)、ニッケル(Ni)もしくはイリジウム(Ir)、または金属化合物、例えばチタンナイトライド(TiN)、チタンシリコンナイトライド(TiSiN)、タングステンナイトライド(WN)、タンタルナイトライド(TaN)、タンタルシリサイド(TaSi)、ニッケルシリサイド(NiSi)もしくは酸化イリジウム(IrO)から形成することができる。ゲート誘電層114を、歪み層18上に、例えば熱酸化によりSiO層を成長させることによって形成することができる。別の態様では、ゲート誘電層114は、SiOより高い誘電率を有する高誘電率材料、例えばZrO、Al、HfO、HfSiONまたはHfSiOを含む。いくつかの態様では、ゲート誘電層114は、積層構造、例えば高誘電率材料で覆われた薄いSiO層を有している。
第1および第2のトレンチ構造55a、55bは、キャリア(図示せず)、例えば第1のトランジスタ106の作動中に生じる正孔または電子を、第1および第2ののトレンチ構造55a、55bならびにそれらに隣接する第3および第4のトレンチ構造55c、55dによって画定される半導体/絶縁体外側境界126を有する領域124内に閉じ込める。トレンチ構造55a〜55dは、領域124でのキャリアの閉じ込めることで、さらなるキャリアの流動の防止を促進し、これにより、第1のトランジスタ106と他のデバイス(図示せず)との間のリーク電流を防ぐことができる。半導体/絶縁体境界126における高い界面トラップ密度と関連しているエッジリーク電流が、トランジスタ106のOFF状態の電流(Ioff)を著しく増大するのに十分である場合には、第1、第2、第3および第4のトレンチ構造55a〜55dによって提供されるシャロートレンチアイソレーションは、不適当である。しかし一方、半導体−トレンチ境界126での低い境界トラップ密度に関連するエッジリーク電流によって、第1のトランジスタ106に対する低いIoffが確実に得られる場合には、この分離で十分である。Ioffは、ゲート110下で生じるエッジリークの影響を受ける。したがって、ゲート110下の境界126の部分での低い界面トラップ密度は、低いIoffを得るために重要でる。ゲート110下の境界126における界面トラップ密度が低い場合には、ゲート110下で高いIoffを誘導するに十分なリーク電流は流れることができない。Ioffは、10−6アンペアより小さい。いくつかの実施態様では、OFF電流は10−9アンペアより小さい。
トレンチ構造55a〜55dは、第1の誘電層72および任意に第2の保護ライナ78を含む。トレンチ構造55a〜55dを形成するためのパラメータを、トレンチ構造55a〜55dの1つ以上が、チャネル領域108内の全歪みではなく、チャネル領域108内の歪みの一部のみを導入するように選択する。例えば、一実施態様では、チャネル領域108は圧縮歪みを有しており、トレンチ構造55a〜55dも、圧縮歪みを有しかつその圧縮歪みの一部のみがチャネル領域108内に導入されるような方式および材料で形成する。別の態様では、チャネル領域108は引張り歪みを有しており、トレンチ構造55a〜55dも、引張り歪みを有しかつ引張り歪みの一部のみがチャネル領域108内に導入されるような方式および材料で形成する。トレンチ構造55a〜55dによって導入される歪みの割合は、チャネル領域108内の歪みの0〜99.9%の範囲である。
ここで使用される「活性エリア長さ」という言葉は、トレンチ構造55a〜55dによって画定されている、電流に対して平行でかつゲートに対して垂直な活性デバイス領域の寸法を指し、図10Aを参照すると、この活性領域長さは線10c−10cに沿っている。また、「活性エリア幅」という言葉は、トレンチ構造55a〜55dによって画定されている、ゲートに対して平行でかつ電流の方向に対して垂直な活性エリアの寸法を指す。図10Aを参照すると、この活性エリア幅は線10b−10bに沿っている。
逆の種類の歪みを導入する(例えば圧縮歪みチャネルに引張り歪みを導入する)のではなく、チャネル領域108内の歪みの一部を導入するトレンチ構造を使用することによって、活性デバイスエリアの寸法を小さなサイズにまで設定する場合は特に、優れたデバイス性能が得られる。例えば、活性エリア長さが約1μmでありかつ/または活性エリア幅が0.5μmより小さい場合に、デバイス性能が改善される。
上述のように、いくつかの実施態様では、チャネル領域108の歪みは、歪み層18と下の層との間の格子不整合から生じている。別の実施態様では、歪み層18は、その上にトランジスタが製造される基板12の表面部分である。このような実施態様では、チャネル領域108内の歪みを、別の構造、例えば、デバイス製造中に導入される歪み導入要素128によって導入する。チャネル領域108内の歪みは、主として一軸性である。別の実施態様では、歪みは複数の方向に沿って導入される、例えば歪みは二軸性歪みであるかまたは静水圧的歪みである。
上述のように、いくつかの態様では、チャネル領域108内の歪みの一部がトレンチ構造55a〜55dによって導入されている。一実施態様では、チャネル領域108内に導入された歪みの一部はほぼゼロであり、チャネル領域108内の歪みは、別の構造、例えば、デバイス製造中に導入される歪み導入要素128によって導入される。
トレンチ構造55a〜55dによって導入される歪みを、これがほぼゼロとなるように調整するために様々な手段を使用することができる。例えば、トレンチ構造55a〜55d内の充填材料を、これがトレンチ50を囲む材料とほぼ同じ熱膨張係数を有するように、例えば、トレンチをシリコン基板内に形成する場合には充填材料がシリコンを含むように選択することができる。別の態様では、充填材料は2種の材料を含み、その場合、第1の材料は、第2の材料の歪みとは逆の歪みを有する。
図10Cを続けて参照すると、一実施態様では、第1のチャネル領域108内の歪みは、第1のキャップ層130、例えばコンタクトのメタライゼーション中にエッチング停止部として使用される層によって導入されている。キャップ層130は、デバイス構造100の全体にわたって、例えば第1のトランジスタ106の表面132にわたってコンフォーマルに設けられており、誘電材料、例えば窒化シリコンからなっており、この材料は、チャネル領域108内の引張り歪みまたは圧縮歪みを導入するために処理される。一実施態様では、キャップ層130は、マスク層28に関して前述したように、歪みを導入するために処理される窒化シリコンを含む。さらに、キャップ層130は、原子、例えばSiまたはGe原子を注入し、チャネル領域108内に導入される歪みレベルを調整する。別の態様では、チャネル領域108内の歪みは、ガス種、例えば水素、酸素、ヘリウムまたは別の希ガスをゲート110またはチャネル領域108の下の領域内に注入することによって導入される。
ゲート110が、完全にまたはほぼ完全に金属シリサイド、金属ゲルマノシリサイドまたは金属ゲルマノサイド、例えばニッケルシリサイド(NiSi)、ニッケルゲルマノシリサイド(NiSiGe)またはニッケルゲルマノサイド(NiGe)からなっている場合には、ゲート110によってもチャネル領域108内に歪みが導入される。金属とゲート多結晶シリコン、多結晶シリコンゲルマニウムまたは多結晶ゲルマニウムとの反応によって体積変化が起こり、処理後のチャネル領域108内に歪みが生じる。一実施態様では、ゲート110内の歪みは、上層、例えば酸化物の堆積によって、およびゲート110の完全または不完全なシリコン化の前に行うアニールよって導入される。ゲート110は、例えばイオン注入ステップによって不定形化されている半導体材料を含み、後続のアニール中にアモルファス−多結晶相転移(およびこれに伴う体積変化)が起こる。このようなアニール中に上層が存在することによって、上層が除去され、ゲートがシリコン化された後でさえも、歪みがチャネル領域108中に導入される、。
別の実施態様では、図10D〜図10Eを参照すると、チャネル領域108中の歪みは、ソース領域102およびドレイン領域104内の半導体材料の一部を、第2の材料に代えることによって導入され、この第2の材料は、チャネル領域108、または第1のソース領域102および第1のドレイン領域104の少なくとも一方に隣接しているエリア140内に設けられている半導体材料とは異なる格子定数を有している。例えば、参照により本出願に組み込まれている米国特許第6651273号明細書および第6621131号明細書に記載のように、第1の切欠144および第2の切欠148は、Siを含むソース領域102およびドレイン領域104(この場合、チャネル領域10もSiを含んでいる)内でそれぞれ画定されている。切欠144、148は、Siより大きな格子定数を有する第2の材料150、例えばSiGeで充填されており、これにより、チャネル領域108内に圧縮歪みが導入されている。別の態様では、Siを含むソース領域102およびドレイン領域104の切欠144、148は、エッチングされて、より小さな格子定位数を有する第2の半導体材料150、例えばシリコンカーバイド(SiC)で再び充填し、これにより、チャネル領域108内に引張り歪みが導入される。SiGeを含むソース領域、ドレイン領域およびチャネル領域の再充填のための第2の材料150は、圧縮歪みを導入するためにはGe、またはより高いGe含有量のSiGeであり、引張り歪みを導入するためには、Siまたはより低いGe含有量のSiGeである。エリア140は、例えば、緩和層16の一部および/または歪み層18の一部である。一実施態様では、第1のトランジスタ106は、バルク半導体基板12上に形成されており、エリア120は、このバルク半導体基板12の一部を含む。
別の態様では、チャネル領域108内の歪みは、主にソース領域102および/またはドレイン領域104のシリコン化された領域によっても導入することができる。ソース領域102またはドレイン領域104の半導体材料とシリコン化金属との反応中の体積変化によって、チャネル領域108内に歪みが生じる。このような金属は、チタン、ニッケル、コバルト、白金または別の適切な金属である。このような実施態様では、ソース領域102およびドレイン領域104は、エッチングされ、別の半導体材料で再充填することができる。
別の実施態様では、歪み導入要素128は、バックエンドメタライゼーションステップ中または第1のトランジスタ106を含むチップのダイレベルパッケージング中に導入される。例えば、歪み導入要素128が、デバイス製造の完了後にチップを取り付けるパッケージである。このようなパッケージを設計、例えば変形加工するかまたはこれに歪みを付与することができ、これにより、1つ以上の方向に沿ってチップ全体を横切る歪みが生じる。このような態様では、例えばバックサイド研削による材料の除去によって、バルク半導体基板12の厚みを薄くすることができる。別の態様では、歪み導入要素128は、金属ワイヤリング層間に設けられる、チャネル領域108内に歪みを生じさせるような方式で堆積および/または処理されたメタライゼーション層または誘電層とすることができる。
ここに記載する、チャネル領域108内に歪みを生じさせるための方法は、エピタキシ法により歪みが導入されている上述の歪み層18、および/またはSSOIまたはSOIウェハと組み合わせて使用することができる。
図11を参照すると、構造200は、第1のトランジスタ106および第2のトランジスタ106’を含む。第1のトランジスタ106は、基板12の第1の領域、例えば歪み層18の第1の領域202上に設けることができる。トレンチ構造55aおよび55bは、第1のソース領域102および第1のドレイン領域104に隣接して形成することができる。一方、第1のソース領域102および第1のドレイン領域104は、構造の第1の部分、例えば歪み層18の第1の部分204内に設けることができる。第2のトランジスタ106’は、基板12の第2の領域、例えば歪み層18の第2の部分204’内に設けられた第2のソース領域102’および第2のドレイン領域104’を含む。いくつかの実施態様では、第2のチャネル領域108’は引張り歪みを有している。別の態様では、第2のチャネル領域108’は圧縮歪みを有している。第2のゲート110’は、第2のチャネル領域108’上に、第2のソース領域102’と第2のドレイン領域104’との間に設けることができる。第2のゲート110’は、例えばドープ半導体、金属および金属化合物のような材料を含む。第2のゲート誘電層114’は、第2のゲート110’と第2のチャネル領域108’との間に設けることができる。
第2のトレンチ構造55a’は、第2のソース領域102’または第2のドレイン領域104’の少なくとも一方の側に隣接して形成される。トレンチ構造55a’、55b’を含むトレンチ構造の第2の対を、第2のソース領域102’および第2のドレイン領域104’に隣接して形成することができる。一態様では、第2のチャネル領域108’は、圧縮歪みを有しており、トレンチ構造55a’、55b’も、圧縮歪みを有し、かつ第2のチャネル領域108’内に圧縮歪みの一部を導入するような方式および材料で形成することができる。別の態様では、第2のチャネル領域108’は、引張り歪みを有しており、トレンチ構造55a’、55b’も、引張り歪みを有し、かつ第2のチャネル領域108’内に引張り歪みの一部を導入するような方式および材料で形成することができる。
第1のチャネル領域108および第2のチャネル領域108’は、同じ歪みの種類を有していてもよいし、異なる歪みの種類を有していてもよい。例えば、一実施態様では、第1のチャネル領域108が圧縮歪みを有していて、第2のチャネル領域108’が引張り歪みを有している。この態様では、トレンチ構造55a、55bは、圧縮歪みを有し、かつ第1のチャネル領域108内に圧縮歪みの一部を生じさせるような方式および材料で形成することが出来る。また、トレンチ構造55a’、55b’は、引張り歪みを有し、かつ第2のチャネル領域108’内に引張り歪みを生じさせるような方式および材料で形成される。
第1のチャネル領域108および第2のチャネル領域108’が異なる種類の歪みを有している場合には、プロセスを単純化するという点から見ると、トレンチ構造55a、55b、55a’、55b’がチャネル領域108および108’上に歪みをほぼ全く生じさせないことが望ましい。この場合には、歪み層18内の歪みは、前述の別の歪み導入技術、例えばキャップ層120、歪み導入ゲート110またはエッチングされかつ再充填されたソース領域102およびドレイン領域104によって、増大させることができる。一実施態様では、第1のトランジスタ106は、引張り歪みを有する歪み層18内の第1のチャネル領域108、引張り歪みを引き起こすキャップ層130、および第1のチャネル領域108にほとんどまたは全く歪みを生じさせないトレンチ構造55a、55bを含む。第2のトランジスタ106’は、圧縮歪みを有する歪み層18内の第2のチャネル領域108’、周囲材料(少なくとも歪み層18および緩和層16)よりも大きな格子定数を有する材料を含み、これにより第2のチャネル領域108’内に圧縮歪みを生じさせるソース領域102’およびドレイン領域104’、および第2のチャネル領域108’にほとんどまたは全く歪みを生じさせないトレンチ構造55a’、55b’を含む。これらの方法は、SSOI基板で使用することもできる。
逆の種類の歪みを導入する(例えば、圧縮歪みを有するチャネル内に引張り歪みを導入する)よりも、チャネル領域108内に協働させて歪みを導入するこれらの複合技術を用いることによって、特に、活性デバイスエリアの寸法が小さいサイズに設定されている場合に、優れたデバイス性能が得られる。例えば、デバイス性能は、活性エリア長さが約1μmでありかつ/または活性エリア幅が0.5μmより小さい場合に向上させることができる。
いくつかの実施態様では、トランジスタ106、106’の両方または片方のゲートを、従来の面内[110]方向からずらされた結晶成長方向に沿って配向している。例えば、トランジスタゲートは、(100)Siウェハ上の面内[100]方向に整合している。別の態様では、従来の(100)面以外の面を有する基板を、トランジスタ106、106’の製造において使用することができる。例えば、(110)または(111)面を有する基板を使用することができる。SOIまたはSSOI基板を使用する場合には、誘電層上の層は、下層の基板に関して面内の回転を有している(つまり、層内の結晶方向が基板とは異なる)か、または下層の基板以外の面結晶平面を有している。例えば、接合させてSOIまたはSSOI基板を形成する前に、半導体層を45°の面内回転させることができる。別の態様では、半導体(歪みありまたは歪みなし)を、別の面結晶平面(例えば(110)または(111))で形成することができ、支持ウェハ(例えば(100)表面)と接合させることができる。
本発明の実施態様では、複数のまたはラップアラウンド型ゲートを備えているトランジスタを使用することが可能である。このようなトランジスタの例は、finFET、トライゲートFET、オメガFETおよびダブルゲートFET(これらのチャネルは水平方向または垂直方向に配向する)を含む。
本発明は、その思想または本質的な特性から逸脱することなく、特定の形態で実施することができる。したがって、上述の実施態様は、あらゆる点において例示的であり、本発明を何ら制限するものではないと理解されたい。
構造を製造するためのプロセスの一過程を示す、半導体構造の概略的な横断面図である。 構造を製造するためのプロセスの一過程を示す、半導体構造の概略的な横断面図である。 構造を製造するためのプロセスの一過程を示す、半導体構造の概略的な横断面図である。 構造を製造するためのプロセスの一過程を示す、半導体構造の概略的な横断面図である。 構造を製造するためのプロセスの一過程を示す、半導体構造の概略的な横断面図である。 構造を製造するためのプロセスの一過程を示す、半導体構造の概略的な横断面図である。 構造を製造するためのプロセスの一過程を示す、半導体構造の概略的な横断面図である。 構造を製造するためのプロセスの一過程を示す、半導体構造の概略的な横断面図である。 構造を製造するためのプロセスの一過程を示す、半導体構造の概略的な横断面図である。 構造を製造するためのプロセスの一過程を示す、半導体構造の概略的な横断面図である。 構造を製造するためのプロセスの一過程を示す、半導体構造の概略的な横断面図である。 構造を製造するためのプロセスの一過程を示す、半導体構造の概略的な横断面図である。 図9に示す半導体構造を含むデバイスの、概略的な平面図である。 図9に示す半導体構造を含むデバイスの、概略的な、図10Aの線10b−10bに沿った横断面図である。 図9に示す半導体構造を含むデバイスの、概略的な、図10Aの線10c−10cに沿った横断面図である。 一処理ステップ後の、図10Cに示す半導体構造の、概略的な横断面図である。 別の処理ステップ後の、図10Cに示す半導体構造の、概略的な横断面図である。 2つのトランジスタを含む半導体構造の、概略的な横断面図である。

Claims (29)

  1. 半導体基板と、該基板上に設けられたシリコンゲルマニウム歪み層と、該基板の第1の領域上に設けられている第1のトランジスタと、前記基板の第2の領域上に設けられている第2のトランジスタとを含む構造であって
    該第1のトランジスタが、
    前記基板の第1の領域に設けられた第1のソース領域および第1のドレイン領域と、
    前記第1のソース領域と前記第1のドレイン領域との間に設けられていて、第1の種類の歪みを有している第1のチャネル領域と、
    前記第1のチャネル領域上にかつ前記第1のソース領域と前記第1のドレイン領域との間に設けられていて、ドープ半導体、金属および金属化合物からなる群から選択される材料を含む第1のゲートと、
    第1のトレンチ内に設けられている第1のトレンチ構造とを含んでおり、
    前記第1のトレンチが、前記第1のソース領域および前記第1のドレイン領域の一方の少なくとも一方の側隣接しており、
    前記第1のチャネル領域の第1の種類の歪みの一部のみが、前記第1のトレンチ構造によって導入されており
    前記第1のトレンチ内に設けられている前記第1のトレンチ構造が、前記第1のトレンチのトレンチサイドウォールおよびトレンチ底部分を被覆する第1の誘電層と、前記第1の誘電層上にコンフォーマルに堆積された第1の保護ライナと、前記第1の保護ライナ上の前記第1のトレンチを充填する第1の充填材料とを含み、
    前記第2のトランジスタが、
    前記基板の第2の領域上に設けられた第2のソース領域および第2のドレイン領域と、
    前記第2のソース領域と前記第2のドレイン領域との間に設けられていて、第2の種類の歪みを有している第2のチャネル領域と、
    前記第2のチャネル領域上にかつ前記第2のソース領域と前記第2のドレイン領域との間に設けられていて、ドープ半導体、金属および金属化合物からなる群から選択される材料を含む第2のゲートと、
    第2のトレンチ内に設けられている第2のトレンチ構造とを含んでおり、
    前記第2のトレンチが、前記第2のソース領域および前記第2のドレイン領域の一方の少なくとも一方の側に隣接しており、
    前記第2のチャネル領域内の第2の種類の歪みの一部のみが、前記第2のトレンチ構造によって導入されており、
    前記第2のトレンチ内に設けられている前記第2のトレンチ構造が、前記第2のトレンチのトレンチサイドウォールおよびトレンチ底部分を被覆する第2の誘電層と、前記第2の誘電層上にコンフォーマルに堆積された第2の保護ライナと、前記第2の保護ライナ上の前記第2のトレンチを充填する第2の充填材料とを含み、
    前記第1のチャネル領域の少なくとも一部および前記第2のチャネル領域の少なくとも一部が歪み層内に設けられており、
    前記第1の誘電層および前記第2の誘電層が、1000℃より低い温度での湿式の酸化またはCVD法によって形成されている、構造。
  2. 前記第1の誘電層前記第1の保護ライナが異なる種類の歪みを有していて、前記第2の誘電層前記第2の保護ライナが異なる種類の歪みを有している、請求項に記載の構造。
  3. 前記基板上に設けられた誘電層をさらに含み、前記歪み層が、該誘電層上に設けられかつ当該誘電層と接触している、請求項に記載の構造。
  4. 前記第1の種類の歪み前記第2の種類の歪みが異なる、請求項1に記載の構造。
  5. 前記第1の種類の歪みが引張り歪みであり、前記第2の種類の歪みが圧縮歪みである、請求項1に記載の構造。
  6. 前記第1の種類の歪みが圧縮歪みであり、前記第2の種類の歪みが引張り歪みである、請求項1に記載の構造。
  7. 前記基板が、シリコンおよびゲルマニウムの少なくとも一方を含む、請求項1に記載の構造。
  8. 前記基板が、シリコン以外の少なくとも1つの元素を含むシリコン基板である、請求項1に記載の構造。
  9. 前記シリコン以外の元素がゲルマニウムである、請求項に記載の構造。
  10. 前記第1または第2のトランジスタの表面上に設けられたキャップ層をさらに含み、前記第1または第2種類の歪みが、当該キャップ層によって導入される、請求項1に記載の構造。
  11. 記キャップ層が窒化シリコンを含む、請求項10に記載の構造。
  12. 前記第1のソース領域および前記第1のドレイン領域の少なくとも一方、または、前記第2のソース領域および前記第2のドレイン領域の少なくとも一方が、金属−半導体アロイを含み、前記第1または第2のチャネル領域内の歪みが、当該金属−半導体アロイによって導入される、請求項1に記載の構造。
  13. 前記第1の種類の歪みは、前記第1のトランジスタの前記第1のソース領域前記第1のドレイン領域のそれぞれに隣接し、かつ、前記第1のソース領域及び前記第1のドレイン領域よりも大きな格子定数を有する半導体材料である第1の材料によって導入され、
    前記第2の種類の歪みは、前記第2のトランジスタの前記第2のソース領域と前記第2のドレイン領域のそれぞれに隣接し、かつ、前記第2のソース領域及び前記第2のドレイン領域よりも大きな格子定数を有する半導体材料である第2の材料によって導入される、請求項に記載の構造。
  14. 前記第の材料が、前記第1のソース領域及び前記第1のドレイン領域よりもGe含有量が高いSiGeおよびGeからなる群から選択される材料を含み、
    前記第2の材料が、前記第2のソース領域及び前記第2のドレイン領域よりもGe含有量が高いSiGe、およびGeからなる群から選択される材料を含む、請求項13に記載の構造。
  15. 前記第1の種類の歪みは、前記第1のトランジスタの前記第1のソース領域前記第1のドレイン領域のそれぞれに隣接し、かつ、前記第1のソース領域及び前記第1のドレイン領域よりも小さな格子定数を有する半導体材料である第1の材料によって導入され、
    前記第2の種類の歪みは、前記第2のトランジスタの前記第2のソース領域と前記第2のドレイン領域のそれぞれに隣接し、かつ、前記第2のソース領域及び前記第2のドレイン領域よりも小さな格子定数を有する半導体材料である第2の材料によって導入される、請求項に記載の構造。
  16. 前記第の材料が、前記第1のソース領域及び前記第1のドレイン領域よりもGe含有量が低いSiGe、Si、SiCからなる群から選択される材料を含み、
    前記第2の材料が、前記第2のソース領域及び前記第2のドレイン領域よりもGe含有量が低いSiGe、Si、SiCからなる群から選択される材料を含む、請求項15に記載の構造。
  17. 前記第1の種類の歪みが第1のゲートによって導入され、前記第2の種類の歪みが第2のゲートによって導入される、請求項1に記載の構造。
  18. 前記第1および第2のゲートが、金属シリサイド、金属ゲルマノシリサイドおよび金属ゲルマノサイドからなる群から選択される材料を含む、請求項17に記載の構造。
  19. 前記第1および第2のトランジスタがチップ内に設けられており、前記構造が、前記チップを収容するパッケージをさらに含み、該パッケージが、前記第1または第2のチャネル領域内に歪みを導入する、請求項1に記載の構造。
  20. 半導体構造を形成する方法であって、
    半導体基板を準備し、該基板上にシリコンゲルマニウム歪み層が設けられており、
    前記基板の第1の部分内に、第1のソース領域および第1のドレイン領域を画定し、前記第1のソース領域と前記第1のドレイン領域との間に、第1の種類の歪みを有する第1のチャネル領域を画定し、前記第1のチャネル領域上にかつ前記第1のソース領域と前記第1のドレイン領域との間に、ドープ半導体、金属および金属化合物からなる群から選択される材料を含む第1のゲートを形成し、前記第1のソース領域および前記第1のドレイン領域の一方の少なくとも一方の側に隣接させて第1のトレンチ構造を形成することによって、前記基板の第1の領域上に第1のトランジスタを形成し、
    前記第1のトレンチ構造の形成が、該第1のトレンチ構造を形成する領域に第1のトレンチを形成し、該第1のトレンチのトレンチサイドウォールおよびトレンチ底部分を第1の誘電層で被覆し、前記第1の誘電層上に第1の保護ライナをコンフォーマルに堆積し、前記第1のトレンチを第1の充填材料で充填することを含み、
    前記基板の第2の部分内第2のソース領域および第2のドレイン領域を画定し、前記第2のソース領域と前記第2のドレイン領域との間に、第2の種類の歪みを有する第2のチャネル領域を画定し、前記第2のチャネル領域上にかつ前記第2のソース領域と前記第2のドレイン領域との間に、ドープ半導体、金属および金属化合物からなる群から選択される材料を含む第2のゲートを形成し、前記第2のソース領域および前記第2のドレイン領域の一方の少なくとも一方の側に隣接させて第2のトレンチ構造を形成することによって、前記基板の第2の領域上に第2のトランジスタを形成し、
    前記第2のトレンチ構造の形成が、該第2のトレンチ構造を形成する領域に第2のトレンチを形成し、前記第2のトレンチのトレンチサイドウォールおよびトレンチ底部分を第2の誘電層で被覆し、前記第2の誘電層上に第2の保護ライナをコンフォーマルに堆積し、前記第2のトレンチを第2の充填材料で充填することを含み、
    前記第1のトレンチ構造を、前記第1のチャネル領域内に第1の種類の歪みの一部のみを導入するように調整し、
    前記第2のトレンチ構造を、前記第2のチャネル領域内に第2の種類の歪みの一部のみを導入するように調整し、
    前記第1のチャネル領域の少なくとも一部および前記第2のチャネル領域の少なくとも一部が歪み層内に設けられており、
    前記第1の誘電層および前記第2の誘電層を、1000℃より低い温度での湿式の酸化またはCVD法によって形成する、方法。
  21. 前記第1の誘電層前記第1の保護ライナが異なる種類の歪みを有していて、前記第2の誘電層前記第2の保護ライナが異なる種類の歪みを有している、請求項20に記載の方法。
  22. 前記第1の種類第2の種類の歪みが異なる、請求項20に記載の方法。
  23. 前記第1または第2のトランジスタの表面上にキャップ層を形成することをさらに含み、該キャップ層が、前記第1のチャネル領域内に前記第1の種類の歪みを導入するように調整されるか、または、前記第2のチャネル領域内に前記第2の種類の歪みを導入するように調整される、請求項20に記載の方法。
  24. 前記第1のトランジスタの形成が、前記第1のトランジスタの前記第1のソース領域に隣接する領域と前記第1のドレイン領域隣接する領域の各々に、前記第1のソース領域及び前記第1のドレイン領域よりも格子定数が大きな半導体材料を設けることによって、前記第1の種類の歪みの少なくとも一部を導入することを含み、
    前記第2のトランジスタの形成が、前記第2のトランジスタの前記第2のソース領域に隣接する領域と前記第2のドレイン領域に隣接する領域の各々に、前記第2のソース領域及び前記第2のドレイン領域よりも格子定数が大きな半導体材料を設けることによって、前記第2の種類の歪みの少なくとも一部を導入することを含む、請求項20に記載の方法。
  25. 前記第1のトランジスタの形成が、前記第1のトランジスタの前記第1のソース領域に隣接する領域と前記第1のドレイン領域隣接する領域の各々に、前記第1のソース領域及び前記第1のドレイン領域よりも格子定数が小さな半導体材料を設けることによって、前記第1の種類の歪みの少なくとも一部を導入することを含み、
    前記第2のトランジスタの形成が、前記第2のトランジスタの前記第2のソース領域に隣接する領域と前記第2のドレイン領域に隣接する領域の各々に、前記第2のソース領域及び前記第2のドレイン領域よりも格子定数が小さな半導体材料を設けることによって、前記第2の種類の歪みの少なくとも一部を導入することを含む、請求項20に記載の方法。
  26. 金属−半導体アロイを、前記第1のソース領域および前記第1のドレイン領域の少なくとも一方、または、前記第2のソース領域および前記第2のドレイン領域の少なくとも一方の上に形成することをさらに含み、該金属−半導体アロイ、前記第1のチャネル領域内に前記第1の種類の歪みを導入するように調整されているか、または、前記第2のチャネル領域内に前記第2の種類の歪みを導入するように調整されている、請求項20に記載の方法。
  27. 前記第1のゲートの形成が、該第1のゲート上に被覆層を堆積させ、当該第1のゲートをアニールして、前記第1の種類の歪みの少なくとも一部が、前記第1のゲートによって導入されようにすることを含み、
    前記第2のゲートの形成が、該第2のゲート上に被覆層を堆積させ、当該第2のゲートをアニールして、前記第2の種類の歪みの少なくとも一部が、前記第2のゲートによって導入されるようにすることを含む、請求項20に記載の方法。
  28. 前記第1のゲートの形成が、前記基板上に多結晶半導体層を形成し、該多結晶シリコン半導体層と金属とを、前記第1のゲートが金属半導体層との合金から構成されるように反応させて、前記第1の種類の歪みの少なくとも一部が、前記第1のゲートによって導入されるようにすることを含み
    前記第2のゲートの形成が、前記基板上に多結晶半導体層を形成し、該多結晶シリコン半導体層と金属とを、前記第2のゲートが金属と半導体層との合金から構成されるように反応させて、前記第2の種類の歪みの少なくとも一部が、前記第2のゲートによって導入されるようにすることを含む、請求項20に記載の方法。
  29. 前記第1および第2のトランジスタチップ内に設けられており
    前記チップをパッケージに取り付けることをさらに含み、
    前記第1または第2種類の歪みの少なくとも一部が、前記パッケージによって導入される、請求項20に記載の方法。
JP2006509191A 2003-03-07 2004-03-05 シャロートレンチアイソレーションプロセス Expired - Lifetime JP4585510B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US45279403P 2003-03-07 2003-03-07
PCT/US2004/006844 WO2004081982A2 (en) 2003-03-07 2004-03-05 Shallow trench isolation process

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010174203A Division JP5508982B2 (ja) 2003-03-07 2010-08-03 シャロートレンチアイソレーションプロセス

Publications (2)

Publication Number Publication Date
JP2006521026A JP2006521026A (ja) 2006-09-14
JP4585510B2 true JP4585510B2 (ja) 2010-11-24

Family

ID=32990685

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2006509191A Expired - Lifetime JP4585510B2 (ja) 2003-03-07 2004-03-05 シャロートレンチアイソレーションプロセス
JP2010174203A Expired - Lifetime JP5508982B2 (ja) 2003-03-07 2010-08-03 シャロートレンチアイソレーションプロセス

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010174203A Expired - Lifetime JP5508982B2 (ja) 2003-03-07 2010-08-03 シャロートレンチアイソレーションプロセス

Country Status (6)

Country Link
US (2) US6960781B2 (ja)
EP (1) EP1602125B1 (ja)
JP (2) JP4585510B2 (ja)
KR (1) KR100728173B1 (ja)
CN (1) CN100437970C (ja)
WO (1) WO2004081982A2 (ja)

Families Citing this family (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
JP4750342B2 (ja) * 2002-07-03 2011-08-17 ルネサスエレクトロニクス株式会社 Mos−fetおよびその製造方法、並びに半導体装置
KR100507344B1 (ko) 2003-04-17 2005-08-08 삼성에스디아이 주식회사 박막 트랜지스터 및 그의 제조 방법
US20050285140A1 (en) * 2004-06-23 2005-12-29 Chih-Hsin Ko Isolation structure for strained channel transistors
US6869860B2 (en) * 2003-06-03 2005-03-22 International Business Machines Corporation Filling high aspect ratio isolation structures with polysilazane based material
US6927414B2 (en) * 2003-06-17 2005-08-09 International Business Machines Corporation High speed lateral heterojunction MISFETs realized by 2-dimensional bandgap engineering and methods thereof
US6902965B2 (en) * 2003-10-31 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Strained silicon structure
US6949795B2 (en) * 2003-11-13 2005-09-27 Micron Technology, Inc. Structure and method of fabricating a transistor having a trench gate
KR100605497B1 (ko) * 2003-11-27 2006-07-28 삼성전자주식회사 에스오아이 기판들을 제조하는 방법들, 이를 사용하여반도체 소자들을 제조하는 방법들 및 그에 의해 제조된반도체 소자들
US20050116360A1 (en) * 2003-12-01 2005-06-02 Chien-Chao Huang Complementary field-effect transistors and methods of manufacture
US7482214B2 (en) * 2003-12-30 2009-01-27 Texas Instruments Incorporated Transistor design and layout for performance improvement with strain
US7138302B2 (en) * 2004-01-12 2006-11-21 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit channel region
KR100526889B1 (ko) * 2004-02-10 2005-11-09 삼성전자주식회사 핀 트랜지스터 구조
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
JP2005294360A (ja) * 2004-03-31 2005-10-20 Nec Electronics Corp 半導体装置の製造方法
US7023018B2 (en) * 2004-04-06 2006-04-04 Texas Instruments Incorporated SiGe transistor with strained layers
JP4577680B2 (ja) * 2004-04-13 2010-11-10 エルピーダメモリ株式会社 半導体装置の製造方法
US7361973B2 (en) * 2004-05-21 2008-04-22 International Business Machines Corporation Embedded stressed nitride liners for CMOS performance improvement
US7176105B2 (en) * 2004-06-01 2007-02-13 Applied Materials, Inc. Dielectric gap fill with oxide selectively deposited over silicon liner
KR100604870B1 (ko) 2004-06-16 2006-07-31 삼성전자주식회사 접합 영역의 어브럽트니스를 개선시킬 수 있는 전계 효과트랜지스터 및 그 제조방법
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US7227205B2 (en) * 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US8669145B2 (en) 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
US7521378B2 (en) * 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
FR2872626B1 (fr) * 2004-07-05 2008-05-02 Commissariat Energie Atomique Procede pour contraindre un motif mince
US7161199B2 (en) * 2004-08-24 2007-01-09 Freescale Semiconductor, Inc. Transistor structure with stress modification and capacitive reduction feature in a width direction and method thereof
JP2006066573A (ja) * 2004-08-26 2006-03-09 Seiko Epson Corp 半導体装置および半導体装置の製造方法
US7067868B2 (en) * 2004-09-29 2006-06-27 Freescale Semiconductor, Inc. Double gate device having a heterojunction source/drain and strained channel
DE102004048096A1 (de) * 2004-09-30 2006-04-27 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer verspannten Schicht auf einem Substrat und Schichtstruktur
US7883979B2 (en) * 2004-10-26 2011-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device with reduced floating body effect
US20060099763A1 (en) * 2004-10-28 2006-05-11 Yi-Cheng Liu Method of manufacturing semiconductor mos transistor device
DE102004053307B4 (de) * 2004-11-04 2010-01-07 Siltronic Ag Mehrschichtenstruktur umfassend ein Substrat und eine darauf heteroepitaktisch abgeschiedene Schicht aus Silicium und Germanium und ein Verfahren zu deren Herstellung
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7326969B1 (en) * 2004-12-02 2008-02-05 T-Ram Semiconductor, Inc. Semiconductor device incorporating thyristor-based memory and strained silicon
KR100689211B1 (ko) * 2004-12-11 2007-03-08 경북대학교 산학협력단 안장형 엠오에스 소자
US7479431B2 (en) * 2004-12-17 2009-01-20 Intel Corporation Strained NMOS transistor featuring deep carbon doped regions and raised donor doped source and drain
US7348283B2 (en) * 2004-12-27 2008-03-25 Intel Corporation Mechanically robust dielectric film and stack
US7335959B2 (en) * 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
US20060151808A1 (en) * 2005-01-12 2006-07-13 Chien-Hao Chen MOSFET device with localized stressor
US7282415B2 (en) * 2005-03-29 2007-10-16 Freescale Semiconductor, Inc. Method for making a semiconductor device with strain enhancement
US20060234467A1 (en) * 2005-04-15 2006-10-19 Van Gompel Toni D Method of forming trench isolation in a semiconductor device
US7271069B2 (en) * 2005-04-21 2007-09-18 Freescale Semiconductor, Inc. Semiconductor device having a plurality of different layers and method therefor
US7205202B2 (en) * 2005-04-21 2007-04-17 Freescale Semiconductor, Inc. Semiconductor device and method for regional stress control
US7465992B2 (en) * 2005-04-27 2008-12-16 International Business Machines Corporation Field effect transistor with mixed-crystal-orientation channel and source/drain regions
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8866190B2 (en) * 2005-06-14 2014-10-21 International Rectifler Corporation Methods of combining silicon and III-nitride material on a single wafer
FR2887367B1 (fr) * 2005-06-15 2008-06-27 Soitec Silicon On Insulator Procede de maintien de la contrainte dans un ilot grave dans une couche mince contrainte et structure obtenue par la mise en oeuvre du procede
US7528028B2 (en) * 2005-06-17 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Super anneal for process induced strain modulation
US20070010073A1 (en) * 2005-07-06 2007-01-11 Chien-Hao Chen Method of forming a MOS device having a strained channel region
US20070023795A1 (en) * 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
JP5481067B2 (ja) 2005-07-26 2014-04-23 台湾積體電路製造股▲ふん▼有限公司 代替活性エリア材料の集積回路への組み込みのための解決策
US7358101B2 (en) * 2005-09-06 2008-04-15 Institute Of Nuclear Energy Research Method for preparing an optical active layer with 1˜10 nm distributed silicon quantum dots
US7638842B2 (en) 2005-09-07 2009-12-29 Amberwave Systems Corporation Lattice-mismatched semiconductor structures on insulators
WO2007036998A1 (ja) * 2005-09-28 2007-04-05 Fujitsu Limited 半導体装置及びその製造方法
DE102005047081B4 (de) * 2005-09-30 2019-01-31 Robert Bosch Gmbh Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2
CN1959958B (zh) * 2005-10-31 2010-05-05 中芯国际集成电路制造(上海)有限公司 用于应变硅mos晶体管的多晶硅栅极掺杂方法和结构
US7307320B2 (en) * 2005-11-07 2007-12-11 Samsung Electronics Co., Ltd. Differential mechanical stress-producing regions for integrated circuit field effect transistors
US20070108529A1 (en) * 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strained gate electrodes in semiconductor devices
DE102005054219B4 (de) 2005-11-14 2011-06-22 Infineon Technologies AG, 81669 Verfahren zum Herstellen eines Feldeffekttransistors und Feldeffekttransistor
JP2007141977A (ja) 2005-11-16 2007-06-07 Matsushita Electric Ind Co Ltd 半導体装置
JP2007157788A (ja) * 2005-11-30 2007-06-21 Toshiba Corp 半導体装置
DE102005059231B4 (de) * 2005-12-12 2011-01-13 Infineon Technologies Ag Verfahren zum Herstellen eines Verbindungshalbleiter-Feldeffekttransistors mit einer Fin-Struktur und Verbindungshalbleiter-Feldeffekttransistor mit einer Fin-Struktur
KR100713924B1 (ko) * 2005-12-23 2007-05-07 주식회사 하이닉스반도체 돌기형 트랜지스터 및 그의 형성방법
US7525160B2 (en) * 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
JP2007184418A (ja) * 2006-01-06 2007-07-19 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP4951978B2 (ja) * 2006-01-13 2012-06-13 ソニー株式会社 半導体装置及びその製造方法
US8470685B2 (en) * 2006-01-18 2013-06-25 Stmicroelectronics (Crolles 2) Sas Integration of self-aligned trenches in-between metal lines
US7368394B2 (en) * 2006-02-27 2008-05-06 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
US7709345B2 (en) * 2006-03-07 2010-05-04 Micron Technology, Inc. Trench isolation implantation
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
JP4984600B2 (ja) * 2006-03-30 2012-07-25 富士通株式会社 半導体装置及びその製造方法
DE102006015087B4 (de) * 2006-03-31 2011-03-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Transistoren
JP2007317796A (ja) * 2006-05-24 2007-12-06 Toshiba Corp 半導体装置および半導体装置の製造方法
US7678631B2 (en) * 2006-06-06 2010-03-16 Intel Corporation Formation of strain-inducing films
US7825400B2 (en) 2006-06-09 2010-11-02 Intel Corporation Strain-inducing semiconductor regions
US7629603B2 (en) * 2006-06-09 2009-12-08 Intel Corporation Strain-inducing semiconductor regions
US8853746B2 (en) 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same
DE102006035669B4 (de) * 2006-07-31 2014-07-10 Globalfoundries Inc. Transistor mit einem verformten Kanalgebiet, das eine leistungssteigernde Materialzusammensetzung aufweist und Verfahren zur Herstellung
JP2008041734A (ja) * 2006-08-02 2008-02-21 Sony Corp 半導体装置および半導体装置の製造方法
CN100483667C (zh) * 2006-08-10 2009-04-29 中芯国际集成电路制造(上海)有限公司 形成浅沟槽隔离结构的方法和浅沟槽隔离结构
US7598517B2 (en) * 2006-08-25 2009-10-06 Freescale Semiconductor, Inc. Superjunction trench device and method
US8154051B2 (en) * 2006-08-29 2012-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. MOS transistor with in-channel and laterally positioned stressors
EP2062290B1 (en) 2006-09-07 2019-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US8642413B2 (en) * 2006-09-14 2014-02-04 Intel Corporation Formation of strain-inducing films using hydrogenated amorphous silicon
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US7875958B2 (en) 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US8168548B2 (en) * 2006-09-29 2012-05-01 Tokyo Electron Limited UV-assisted dielectric formation for devices with strained germanium-containing layers
US7709312B2 (en) * 2006-09-29 2010-05-04 Intel Corporation Methods for inducing strain in non-planar transistor structures
US8502263B2 (en) 2006-10-19 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
US7943469B2 (en) * 2006-11-28 2011-05-17 Intel Corporation Multi-component strain-inducing semiconductor regions
US20080142897A1 (en) * 2006-12-19 2008-06-19 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system having strained transistor
JP5132928B2 (ja) * 2006-12-25 2013-01-30 パナソニック株式会社 半導体装置
US20080157200A1 (en) * 2006-12-27 2008-07-03 International Business Machines Corporation Stress liner surrounded facetless embedded stressor mosfet
KR101026479B1 (ko) * 2006-12-28 2011-04-01 주식회사 하이닉스반도체 반도체 소자 및 그의 제조 방법
KR100831682B1 (ko) * 2006-12-29 2008-05-22 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
DE102007004861B4 (de) * 2007-01-31 2010-02-18 Advanced Micro Devices, Inc., Sunnyvale Transistor mit eingebettetem Si/Ge-Material auf einem verspannten Halbleiter-auf-Isolator-Substrat und Verfahren zum Herstellen des Transistors
JP5239183B2 (ja) * 2007-03-20 2013-07-17 株式会社Sumco Soiウェーハ及びその製造方法
WO2008117430A1 (ja) * 2007-03-27 2008-10-02 Fujitsu Microelectronics Limited 半導体装置の製造方法、半導体装置
US7833883B2 (en) * 2007-03-28 2010-11-16 Intel Corporation Precursor gas mixture for depositing an epitaxial carbon-doped silicon film
US9034102B2 (en) * 2007-03-29 2015-05-19 United Microelectronics Corp. Method of fabricating hybrid orientation substrate and structure of the same
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
US20080290414A1 (en) * 2007-05-24 2008-11-27 Texas Instruments Incorporated Integrating strain engineering to maximize system-on-a-chip performance
US7960243B2 (en) 2007-05-31 2011-06-14 Freescale Semiconductor, Inc. Method of forming a semiconductor device featuring a gate stressor and semiconductor device
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US7795119B2 (en) * 2007-07-17 2010-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Flash anneal for a PAI, NiSi process
US7652336B2 (en) * 2007-08-06 2010-01-26 International Business Machines Corporation Semiconductor devices and methods of manufacture thereof
DE112008002387B4 (de) 2007-09-07 2022-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur einer Mehrfachübergangs-Solarzelle, Verfahren zur Bildung einer photonischenVorrichtung, Photovoltaische Mehrfachübergangs-Zelle und Photovoltaische Mehrfachübergangs-Zellenvorrichtung,
US7932542B2 (en) * 2007-09-24 2011-04-26 Infineon Technologies Ag Method of fabricating an integrated circuit with stress enhancement
JP5069531B2 (ja) * 2007-09-28 2012-11-07 富士フイルム株式会社 窒化シリコン膜の形成方法
US7964910B2 (en) 2007-10-17 2011-06-21 International Business Machines Corporation Planar field effect transistor structure having an angled crystallographic etch-defined source/drain recess and a method of forming the transistor structure
US7759702B2 (en) * 2008-01-04 2010-07-20 International Business Machines Corporation Hetero-junction bipolar transistor (HBT) and structure thereof
US7705386B2 (en) * 2008-01-07 2010-04-27 International Business Machines Corporation Providing isolation for wordline passing over deep trench capacitor
JP2009164364A (ja) * 2008-01-08 2009-07-23 Renesas Technology Corp 半導体装置およびその製造方法
US7678634B2 (en) * 2008-01-28 2010-03-16 International Business Machines Corporation Local stress engineering for CMOS devices
US9368410B2 (en) * 2008-02-19 2016-06-14 Globalfoundries Inc. Semiconductor devices having tensile and/or compressive stress and methods of manufacturing
US8624295B2 (en) * 2008-03-20 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM devices utilizing strained-channel transistors and methods of manufacture
US8361879B2 (en) 2008-05-19 2013-01-29 Infineon Technologies Ag Stress-inducing structures, methods, and materials
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US7979836B2 (en) * 2008-08-15 2011-07-12 International Business Machines Corporation Split-gate DRAM with MuGFET, design structure, and method of manufacture
DE102008044983B4 (de) * 2008-08-29 2014-08-21 Advanced Micro Devices, Inc. Verfahren zum Herstellen eines strukturierten verformten Substrats, insbesondere zur Herstellung verformter Transistoren mit geringerer Dicke der aktiven Schicht
US20110306170A1 (en) * 2008-08-29 2011-12-15 Texas Instruments Incorporated Novel Method to Improve Performance by Enhancing Poly Gate Doping Concentration in an Embedded SiGe PMOS Process
JP4859896B2 (ja) * 2008-09-12 2012-01-25 富士通セミコンダクター株式会社 半導体装置
JP4854719B2 (ja) * 2008-09-12 2012-01-18 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5416212B2 (ja) 2008-09-19 2014-02-12 台湾積體電路製造股▲ふん▼有限公司 エピタキシャル層の成長によるデバイス形成
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US7994002B2 (en) 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US7772083B2 (en) * 2008-12-29 2010-08-10 International Business Machines Corporation Trench forming method and structure
US8816391B2 (en) * 2009-04-01 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain engineering of devices with high-mobility channels
CN101853882B (zh) 2009-04-01 2016-03-23 台湾积体电路制造股份有限公司 具有改进的开关电流比的高迁移率多面栅晶体管
CN102379046B (zh) 2009-04-02 2015-06-17 台湾积体电路制造股份有限公司 从晶体材料的非极性平面形成的器件及其制作方法
US8455860B2 (en) 2009-04-30 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing source/drain resistance of III-V based transistors
US9768305B2 (en) 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
US8617976B2 (en) 2009-06-01 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain re-growth for manufacturing III-V based transistors
JP2011023534A (ja) 2009-07-15 2011-02-03 Sumitomo Electric Ind Ltd 窒化物系半導体発光素子
US8236709B2 (en) * 2009-07-29 2012-08-07 International Business Machines Corporation Method of fabricating a device using low temperature anneal processes, a device and design structure
US20110147804A1 (en) * 2009-12-23 2011-06-23 Rishabh Mehandru Drive current enhancement in tri-gate MOSFETS by introduction of compressive metal gate stress using ion implantation
US8558279B2 (en) * 2010-09-23 2013-10-15 Intel Corporation Non-planar device having uniaxially strained semiconductor body and method of making same
CN102456735B (zh) * 2010-10-27 2013-11-13 中国科学院微电子研究所 半导体器件制造方法
US20120119302A1 (en) 2010-11-11 2012-05-17 International Business Machines Corporation Trench Silicide Contact With Low Interface Resistance
JP2012134395A (ja) * 2010-12-22 2012-07-12 Elpida Memory Inc 半導体装置および半導体装置の製造方法
US8470674B2 (en) 2011-01-03 2013-06-25 International Business Machines Corporation Structure, method and system for complementary strain fill for integrated circuit chips
DE102011011157B4 (de) * 2011-02-14 2017-11-09 Texas Instruments Deutschland Gmbh Elektronische Halbleitervorrichtung und Verfahren zu deren Herstellung
US8394712B2 (en) 2011-05-05 2013-03-12 International Business Machines Corporation Cavity-free interface between extension regions and embedded silicon-carbon alloy source/drain regions
US20120292735A1 (en) 2011-05-20 2012-11-22 GLOBALFOUNDRIES Singapore Pte.Ltd. Corner transistor suppression
US8598660B2 (en) 2011-06-01 2013-12-03 International Business Machines Corporation Stress enhanced LDMOS transistor to minimize on-resistance and maintain high breakdown voltage
CN102881625B (zh) * 2011-07-13 2015-07-15 中国科学院微电子研究所 隔离结构以及半导体结构的形成方法
US9064808B2 (en) 2011-07-25 2015-06-23 Synopsys, Inc. Integrated circuit devices having features with reduced edge curvature and methods for manufacturing the same
US9318370B2 (en) * 2011-08-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. High-k dielectric liners in shallow trench isolations
US8609550B2 (en) 2011-09-08 2013-12-17 Synopsys, Inc. Methods for manufacturing integrated circuit devices having features with reduced edge curvature
US8785291B2 (en) 2011-10-20 2014-07-22 International Business Machines Corporation Post-gate shallow trench isolation structure formation
US9601594B2 (en) * 2011-11-14 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with enhanced strain
US8466496B2 (en) 2011-11-17 2013-06-18 International Business Machines Corporation Selective partial gate stack for improved device isolation
FR2986369B1 (fr) * 2012-01-30 2016-12-02 Commissariat Energie Atomique Procede pour contraindre un motif mince et procede de fabrication de transistor integrant ledit procede
US9219129B2 (en) 2012-05-10 2015-12-22 International Business Machines Corporation Inverted thin channel mosfet with self-aligned expanded source/drain
US8652917B2 (en) * 2012-05-23 2014-02-18 GlobalFoundries, Inc. Superior stability of characteristics of transistors having an early formed high-K metal gate
US9136343B2 (en) 2013-01-24 2015-09-15 Intel Corporation Deep gate-all-around semiconductor device having germanium or group III-V active layer
US8796098B1 (en) * 2013-02-26 2014-08-05 Cypress Semiconductor Corporation Embedded SONOS based memory cells
US9209066B2 (en) * 2013-03-01 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure of semiconductor device
US9012336B2 (en) * 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
CN105409005B (zh) * 2013-08-23 2019-04-02 英特尔公司 在mos晶体管的iv族衬底上沉积的iii-v沟道的高阻层
US20150064929A1 (en) * 2013-09-05 2015-03-05 United Microelectronics Corp. Method of gap filling
US9553149B2 (en) * 2013-11-08 2017-01-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with a strained region and method of making
CN104737293B (zh) * 2013-12-23 2017-05-03 伍震威 用于功率半导体装置的场板结构及其制造方法
US9178068B1 (en) 2014-06-05 2015-11-03 International Business Machines Corporation FinFET with oxidation-induced stress
KR102155327B1 (ko) * 2014-07-07 2020-09-11 삼성전자주식회사 전계 효과 트랜지스터 및 그 제조 방법
US9401410B2 (en) * 2014-11-26 2016-07-26 Texas Instruments Incorporated Poly sandwich for deep trench fill
US9871100B2 (en) 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner
US9530669B1 (en) 2015-11-30 2016-12-27 International Business Machines Corporation Method of making a semiconductor device having a semiconductor material on a relaxed semiconductor including replacing a strained, selective etchable material, with a low density dielectric in a cavity
CN109313157B (zh) * 2016-04-19 2024-03-29 巴拉什·塔库拉帕里 纳米孔传感器、包括传感器的结构和装置、以及形成和使用它的方法
US9847245B1 (en) * 2016-06-16 2017-12-19 Samsung Electronics Co., Ltd. Filling processes
US11139402B2 (en) 2018-05-14 2021-10-05 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US11264458B2 (en) 2019-05-20 2022-03-01 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US11805645B2 (en) 2019-08-16 2023-10-31 Micron Technology, Inc. Integrated assemblies having rugged material fill, and methods of forming integrated assemblies
US11296209B2 (en) 2019-08-27 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. RF switch device with a sidewall spacer having a low dielectric constant
CN111239224A (zh) * 2020-03-03 2020-06-05 南方科技大学 一种气体传感器及其制备方法

Family Cites Families (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US669004A (en) * 1900-10-23 1901-02-26 John S Tilley Combined trestle and extension-ladder.
US4010045A (en) * 1973-12-13 1977-03-01 Ruehrwein Robert A Process for production of III-V compound crystals
US4354898A (en) 1981-06-24 1982-10-19 Bell Telephone Laboratories, Incorporated Method of preferentially etching optically flat mirror facets in InGaAsP/InP heterostructures
JPH0656887B2 (ja) 1982-02-03 1994-07-27 株式会社日立製作所 半導体装置およびその製法
FR2525033B1 (fr) 1982-04-08 1986-01-17 Bouadma Noureddine Laser a semi-conducteur a plusieurs longueurs d'onde independantes et son procede de realisation
US4411734A (en) 1982-12-09 1983-10-25 Rca Corporation Etching of tantalum silicide/doped polysilicon structures
US4522662A (en) 1983-08-12 1985-06-11 Hewlett-Packard Company CVD lateral epitaxial growth of silicon over insulators
CA1247947A (en) 1984-07-31 1989-01-03 Masaru Wada Method of manufacturing semiconductor device
US4777517A (en) * 1984-11-29 1988-10-11 Fujitsu Limited Compound semiconductor integrated circuit device
US4649859A (en) * 1985-02-19 1987-03-17 The United States Of America As Represented By The United States Department Of Energy Reactor design for uniform chemical vapor deposition-grown films without substrate rotation
US4803539A (en) * 1985-03-29 1989-02-07 International Business Machines Corporation Dopant control of metal silicide formation
US4764246A (en) 1985-08-06 1988-08-16 American Telephone And Telegraph Company, At&T Bell Laboratories Buried undercut mesa-like waveguide and method of making same
JPS6292361A (ja) 1985-10-17 1987-04-27 Toshiba Corp 相補型半導体装置
DE3542482A1 (de) 1985-11-30 1987-06-04 Licentia Gmbh Modulationsdotierter feldeffekttransistor
US4717681A (en) * 1986-05-19 1988-01-05 Texas Instruments Incorporated Method of making a heterojunction bipolar transistor with SIPOS
US5298452A (en) * 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US4767246A (en) 1986-12-05 1988-08-30 Camloh Industries, Inc. Quick-release tool holding device
US4749441A (en) 1986-12-11 1988-06-07 General Motors Corporation Semiconductor mushroom structure fabrication
US4987462A (en) * 1987-01-06 1991-01-22 Texas Instruments Incorporated Power MISFET
US4755478A (en) 1987-08-13 1988-07-05 International Business Machines Corporation Method of forming metal-strapped polysilicon gate electrode for FET device
US4786615A (en) 1987-08-31 1988-11-22 Motorola Inc. Method for improved surface planarity in selective epitaxial silicon
US4982263A (en) * 1987-12-21 1991-01-01 Texas Instruments Incorporated Anodizable strain layer for SOI semiconductor structures
US5130269A (en) * 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
DE3816358A1 (de) * 1988-05-13 1989-11-23 Eurosil Electronic Gmbh Nichtfluechtige speicherzelle und verfahren zur herstellung
US5198689A (en) * 1988-11-30 1993-03-30 Fujitsu Limited Heterojunction bipolar transistor
US5250445A (en) 1988-12-20 1993-10-05 Texas Instruments Incorporated Discretionary gettering of semiconductor circuits
US5241197A (en) 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
US5217923A (en) 1989-02-13 1993-06-08 Kabushiki Kaisha Toshiba Method of fabricating a semiconductor device having silicided source/drain regions
US4997776A (en) * 1989-03-06 1991-03-05 International Business Machines Corp. Complementary bipolar transistor structure and method for manufacture
FR2645345A1 (fr) * 1989-03-31 1990-10-05 Thomson Csf Procede de modulation dirigee de la composition ou du dopage de semi-conducteurs, notamment pour la realisation de composants electroniques monolithiques de type planar, utilisation et produits correspondants
US4963506A (en) 1989-04-24 1990-10-16 Motorola Inc. Selective deposition of amorphous and polycrystalline silicon
US5108946A (en) 1989-05-19 1992-04-28 Motorola, Inc. Method of forming planar isolation regions
US5013681A (en) 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5202284A (en) 1989-12-01 1993-04-13 Hewlett-Packard Company Selective and non-selective deposition of Si1-x Gex on a Si subsrate that is partially masked with SiO2
DE69032597T2 (de) * 1990-02-20 1999-03-25 Toshiba Kawasaki Kk Bipolartransistor mit Heteroübergang
US5089872A (en) 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
US5316958A (en) 1990-05-31 1994-05-31 International Business Machines Corporation Method of dopant enhancement in an epitaxial silicon layer by using germanium
JPH0444328A (ja) 1990-06-11 1992-02-14 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5158907A (en) * 1990-08-02 1992-10-27 At&T Bell Laboratories Method for making semiconductor devices with low dislocation defects
US5155571A (en) 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
US5034348A (en) 1990-08-16 1991-07-23 International Business Machines Corp. Process for forming refractory metal silicide layers of different thicknesses in an integrated circuit
JPH0691249B2 (ja) 1991-01-10 1994-11-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 変調ドープ形misfet及びその製造方法
US5240876A (en) 1991-02-22 1993-08-31 Harris Corporation Method of fabricating SOI wafer with SiGe as an etchback film in a BESOI process
US5243207A (en) 1991-03-15 1993-09-07 Texas Instruments Incorporated Method to integrate HBTs and FETs
US5091767A (en) * 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
US5221413A (en) 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
US5442205A (en) 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5212112A (en) 1991-05-23 1993-05-18 At&T Bell Laboratories Selective epitaxy of silicon in silicon dioxide apertures with suppression of unwanted formation of facets
CA2062134C (en) 1991-05-31 1997-03-25 Ibm Heteroepitaxial layers with low defect density and arbitrary network parameter
JPH07187892A (ja) 1991-06-28 1995-07-25 Internatl Business Mach Corp <Ibm> シリコン及びその形成方法
US5166084A (en) 1991-09-03 1992-11-24 Motorola, Inc. Process for fabricating a silicon on insulator field effect transistor
US5291439A (en) * 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
FR2681472B1 (fr) 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
US5208182A (en) 1991-11-12 1993-05-04 Kopin Corporation Dislocation density reduction in gallium arsenide on silicon heterostructures
US5254873A (en) * 1991-12-09 1993-10-19 Motorola, Inc. Trench structure having a germanium silicate region
US5207864A (en) 1991-12-30 1993-05-04 Bell Communications Research Low-temperature fusion of dissimilar semiconductors
US5266813A (en) 1992-01-24 1993-11-30 International Business Machines Corporation Isolation technique for silicon germanium devices
JP3191972B2 (ja) 1992-01-31 2001-07-23 キヤノン株式会社 半導体基板の作製方法及び半導体基板
US5393375A (en) 1992-02-03 1995-02-28 Cornell Research Foundation, Inc. Process for fabricating submicron single crystal electromechanical structures
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5426069A (en) 1992-04-09 1995-06-20 Dalsa Inc. Method for making silicon-germanium devices using germanium implantation
US5334861A (en) 1992-05-19 1994-08-02 Motorola Inc. Semiconductor memory cell
US5212110A (en) 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5242847A (en) 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
JPH06140624A (ja) 1992-10-22 1994-05-20 Furukawa Electric Co Ltd:The ショットキー接合素子
US5386132A (en) 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
US5418743A (en) * 1992-12-07 1995-05-23 Nippon Steel Corporation Method of writing into non-volatile semiconductor memory
US5426316A (en) 1992-12-21 1995-06-20 International Business Machines Corporation Triple heterojunction bipolar transistor
US5523592A (en) 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
JP3093904B2 (ja) * 1993-02-16 2000-10-03 富士通株式会社 化合物半導体結晶の成長方法
US5346848A (en) 1993-06-01 1994-09-13 Motorola, Inc. Method of bonding silicon and III-V semiconductor materials
US5413679A (en) 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
US5310451A (en) 1993-08-19 1994-05-10 International Business Machines Corporation Method of forming an ultra-uniform silicon-on-insulator layer
US5792679A (en) 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
JPH0794420A (ja) 1993-09-20 1995-04-07 Fujitsu Ltd 化合物半導体結晶基板の製造方法
US5461243A (en) 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
JP2980497B2 (ja) 1993-11-15 1999-11-22 株式会社東芝 誘電体分離型バイポーラトランジスタの製造方法
CA2131668C (en) 1993-12-23 1999-03-02 Carol Galli Isolation structure using liquid phase oxide deposition
JP3514500B2 (ja) 1994-01-28 2004-03-31 株式会社ルネサステクノロジ 半導体装置及びその製造方法
JP2669368B2 (ja) * 1994-03-16 1997-10-27 日本電気株式会社 Si基板上化合物半導体積層構造の製造方法
US5571373A (en) 1994-05-18 1996-11-05 Memc Electronic Materials, Inc. Method of rough polishing semiconductor wafers to reduce surface roughness
US5496771A (en) * 1994-05-19 1996-03-05 International Business Machines Corporation Method of making overpass mask/insulator for local interconnects
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
CA2135508C (en) * 1994-11-09 1998-11-03 Robert J. Lyn Method for forming solder balls on a semiconductor substrate
JP3361922B2 (ja) * 1994-09-13 2003-01-07 株式会社東芝 半導体装置
JP3761918B2 (ja) * 1994-09-13 2006-03-29 株式会社東芝 半導体装置の製造方法
US5496750A (en) * 1994-09-19 1996-03-05 Texas Instruments Incorporated Elevated source/drain junction metal oxide semiconductor field-effect transistor using blanket silicon deposition
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5633202A (en) 1994-09-30 1997-05-27 Intel Corporation High tensile nitride layer
US5710450A (en) 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US5539214A (en) 1995-02-06 1996-07-23 Regents Of The University Of California Quantum bridges fabricated by selective etching of superlattice structures
US5777347A (en) 1995-03-07 1998-07-07 Hewlett-Packard Company Vertical CMOS digital multi-valued restoring logic device
US5624529A (en) 1995-05-10 1997-04-29 Sandia Corporation Dry etching method for compound semiconductors
US5920088A (en) * 1995-06-16 1999-07-06 Interuniversitair Micro-Electronica Centrum (Imec Vzw) Vertical MISFET devices
JP3403877B2 (ja) 1995-10-25 2003-05-06 三菱電機株式会社 半導体記憶装置とその製造方法
US5714413A (en) * 1995-12-11 1998-02-03 Intel Corporation Method of making a transistor having a deposited dual-layer spacer structure
FR2742924B1 (fr) * 1995-12-22 1998-03-20 Jorge Luis Regolini Procede de depot selectif d'un siliciure de metal refractaire sur du silicium et plaquette de silicium metallisee par ce procede
JPH09205152A (ja) * 1996-01-25 1997-08-05 Sony Corp 2層ゲート電極構造を有するcmos半導体装置及びその製造方法
US6191432B1 (en) 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5808344A (en) 1996-12-13 1998-09-15 International Business Machines Corporation Single-transistor logic and CMOS inverters
US20020019127A1 (en) * 1997-02-14 2002-02-14 Micron Technology, Inc. Interconnect structure and method of making
US5714777A (en) * 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
JPH10270685A (ja) * 1997-03-27 1998-10-09 Sony Corp 電界効果トランジスタとその製造方法、半導体装置とその製造方法、その半導体装置を含む論理回路および半導体基板
EP0867701A1 (en) * 1997-03-28 1998-09-30 Interuniversitair Microelektronica Centrum Vzw Method of fabrication of an infrared radiation detector and more particularly an infrared sensitive bolometer
US6030887A (en) * 1998-02-26 2000-02-29 Memc Electronic Materials, Inc. Flattening process for epitaxial semiconductor wafers
US5786614A (en) 1997-04-08 1998-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Separated floating gate for EEPROM application
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US6033974A (en) * 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US6051511A (en) 1997-07-31 2000-04-18 Micron Technology, Inc. Method and apparatus for reducing isolation stress in integrated circuits
US5869359A (en) * 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US6033995A (en) * 1997-09-16 2000-03-07 Trw Inc. Inverted layer epitaxial liftoff process
TW343364B (en) 1997-09-26 1998-10-21 United Microelectronics Corp Process for producing twin gate oxide elements
US6051478A (en) 1997-12-18 2000-04-18 Advanced Micro Devices, Inc. Method of enhancing trench edge oxide quality
JP3519589B2 (ja) * 1997-12-24 2004-04-19 株式会社ルネサステクノロジ 半導体集積回路の製造方法
US6069091A (en) 1997-12-29 2000-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ sequential silicon containing hard mask layer/silicon layer plasma etch method
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
TW415103B (en) 1998-03-02 2000-12-11 Ibm Si/SiGe optoelectronic integrated circuits
US6245684B1 (en) 1998-03-13 2001-06-12 Applied Materials, Inc. Method of obtaining a rounded top trench corner for semiconductor trench etch applications
WO1999053539A1 (en) * 1998-04-10 1999-10-21 Massachusetts Institute Of Technology Silicon-germanium etch stop layer system
US6245691B1 (en) 1998-05-29 2001-06-12 Taiwan Semiconductor Manufacturing Company Ozone-teos method for forming with attenuated surface sensitivity a silicon oxide dielectric layer upon a thermally oxidized silicon substrate layer
US6207530B1 (en) 1998-06-19 2001-03-27 International Business Machines Corporation Dual gate FET and process
US6291326B1 (en) * 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6344375B1 (en) * 1998-07-28 2002-02-05 Matsushita Electric Industrial Co., Ltd Substrate containing compound semiconductor, method for manufacturing the same and semiconductor device using the same
US6335546B1 (en) * 1998-07-31 2002-01-01 Sharp Kabushiki Kaisha Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device
US6222218B1 (en) 1998-09-14 2001-04-24 International Business Machines Corporation DRAM trench
US6239002B1 (en) 1998-10-19 2001-05-29 Taiwan Semiconductor Manufacturing Company Thermal oxidizing method for forming with attenuated surface sensitivity ozone-teos silicon oxide dielectric layer upon a thermally oxidized silicon substrate layer
JP2000174148A (ja) * 1998-12-09 2000-06-23 Mitsubishi Electric Corp 不揮発性半導体記憶装置およびその製造方法
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6297128B1 (en) 1999-01-29 2001-10-02 Vantis Corporation Process for manufacturing shallow trenches filled with dielectric material having low mechanical stress
US6350993B1 (en) 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6187657B1 (en) * 1999-03-24 2001-02-13 Advanced Micro Devices, Inc. Dual material gate MOSFET technique
WO2000060659A1 (en) 1999-04-02 2000-10-12 Silicon Valley Group, Thermal Systems Llc Improved trench isolation process to deposit a trench fill oxide prior to sidewall liner oxidation growth
TW518650B (en) 1999-04-15 2003-01-21 Semiconductor Energy Lab Electro-optical device and electronic equipment
TW591132B (en) * 1999-06-17 2004-06-11 Taiwan Semiconductor Mfg Method of growing SiGe epitaxy
DE60042045D1 (de) * 1999-06-22 2009-06-04 Panasonic Corp Heteroübergangsbipolartransistoren und entsprechende Herstellungsverfahren
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6876053B1 (en) * 1999-08-13 2005-04-05 Intel Corporation Isolation structure configurations for modifying stresses in semiconductor devices
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6287913B1 (en) * 1999-10-26 2001-09-11 International Business Machines Corporation Double polysilicon process for providing single chip high performance logic and compact embedded memory structure
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
KR100327596B1 (ko) * 1999-12-31 2002-03-15 박종섭 Seg 공정을 이용한 반도체소자의 콘택 플러그 제조방법
US6352909B1 (en) * 2000-01-06 2002-03-05 Silicon Wafer Technologies, Inc. Process for lift-off of a layer from a substrate
US6602613B1 (en) * 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
JP2001244468A (ja) * 2000-03-02 2001-09-07 Sony Corp 半導体装置およびその製造方法
US6483156B1 (en) 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
JP4698793B2 (ja) * 2000-04-03 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置
US6362071B1 (en) * 2000-04-05 2002-03-26 Motorola, Inc. Method for forming a semiconductor device with an opening in a dielectric layer
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
KR100407684B1 (ko) * 2000-06-28 2003-12-01 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US6420937B1 (en) * 2000-08-29 2002-07-16 Matsushita Electric Industrial Co., Ltd. Voltage controlled oscillator with power amplifier
JP3998408B2 (ja) * 2000-09-29 2007-10-24 株式会社東芝 半導体装置及びその製造方法
US6524935B1 (en) * 2000-09-29 2003-02-25 International Business Machines Corporation Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique
US6503833B1 (en) * 2000-11-15 2003-01-07 International Business Machines Corporation Self-aligned silicide (salicide) process for strained silicon MOSFET ON SiGe and structure formed thereby
WO2002043151A1 (en) * 2000-11-22 2002-05-30 Hitachi, Ltd Semiconductor device and method for fabricating the same
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
JP3618319B2 (ja) * 2000-12-26 2005-02-09 松下電器産業株式会社 半導体装置及びその製造方法
US6844227B2 (en) 2000-12-26 2005-01-18 Matsushita Electric Industrial Co., Ltd. Semiconductor devices and method for manufacturing the same
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US6653200B2 (en) * 2001-01-26 2003-11-25 Applied Materials, Inc. Trench fill process for reducing stress in shallow trench isolation
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6677192B1 (en) * 2001-03-02 2004-01-13 Amberwave Systems Corporation Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits
US6646322B2 (en) 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
WO2002101818A2 (en) 2001-06-08 2002-12-19 Amberwave Systems Corporation Method for isolating semiconductor devices
US6855649B2 (en) * 2001-06-12 2005-02-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6593625B2 (en) * 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6900094B2 (en) * 2001-06-14 2005-05-31 Amberwave Systems Corporation Method of selective removal of SiGe alloys
US6717213B2 (en) * 2001-06-29 2004-04-06 Intel Corporation Creation of high mobility channels in thin-body SOI devices
US6730551B2 (en) * 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
EP1428262A2 (en) * 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6703271B2 (en) * 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US6657276B1 (en) * 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US6583000B1 (en) 2002-02-07 2003-06-24 Sharp Laboratories Of America, Inc. Process integration of Si1-xGex CMOS with Si1-xGex relaxation after STI formation
US6492216B1 (en) * 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
JP2003273206A (ja) * 2002-03-18 2003-09-26 Fujitsu Ltd 半導体装置とその製造方法
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US7494901B2 (en) * 2002-04-05 2009-02-24 Microng Technology, Inc. Methods of forming semiconductor-on-insulator constructions
JP2003347399A (ja) * 2002-05-23 2003-12-05 Sharp Corp 半導体基板の製造方法
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6764908B1 (en) * 2002-06-19 2004-07-20 Advanced Micro Devices, Inc. Narrow width CMOS devices fabricated on strained lattice semiconductor substrates with maximized NMOS and PMOS drive currents
US6982474B2 (en) * 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US7473947B2 (en) 2002-07-12 2009-01-06 Intel Corporation Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
US6812086B2 (en) 2002-07-16 2004-11-02 Intel Corporation Method of making a semiconductor transistor
US6828632B2 (en) * 2002-07-18 2004-12-07 Micron Technology, Inc. Stable PD-SOI devices and methods
JP4368095B2 (ja) * 2002-08-21 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US6699765B1 (en) * 2002-08-29 2004-03-02 Micrel, Inc. Method of fabricating a bipolar transistor using selective epitaxially grown SiGe base layer
US6743684B2 (en) 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US6703648B1 (en) 2002-10-29 2004-03-09 Advanced Micro Devices, Inc. Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication
US6657223B1 (en) 2002-10-29 2003-12-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6696348B1 (en) * 2002-12-09 2004-02-24 Advanced Micro Devices, Inc. Wide neck shallow trench isolation region to prevent strain relaxation at shallow trench isolation region edges
US20040119101A1 (en) 2002-12-23 2004-06-24 Gerhard Schrom Contact layout for MOSFETs under tensile strain
US6730576B1 (en) * 2002-12-31 2004-05-04 Advanced Micro Devices, Inc. Method of forming a thick strained silicon layer and semiconductor structures incorporating a thick strained silicon layer
US7001837B2 (en) 2003-01-17 2006-02-21 Advanced Micro Devices, Inc. Semiconductor with tensile strained substrate and method of making the same
US6825086B2 (en) * 2003-01-17 2004-11-30 Sharp Laboratories Of America, Inc. Strained-silicon channel CMOS with sacrificial shallow trench isolation oxide liner
US6921913B2 (en) 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6982433B2 (en) * 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US6929992B1 (en) * 2003-12-17 2005-08-16 Advanced Micro Devices, Inc. Strained silicon MOSFETs having NMOS gates with work functions for compensating NMOS threshold voltage shift
US7172933B2 (en) * 2004-06-10 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Recessed polysilicon gate structure for a strained silicon MOSFET device

Also Published As

Publication number Publication date
US20050205859A1 (en) 2005-09-22
JP2011009760A (ja) 2011-01-13
KR100728173B1 (ko) 2007-06-13
US20040173812A1 (en) 2004-09-09
EP1602125A2 (en) 2005-12-07
JP5508982B2 (ja) 2014-06-04
CN1774799A (zh) 2006-05-17
JP2006521026A (ja) 2006-09-14
KR20050115894A (ko) 2005-12-08
US6960781B2 (en) 2005-11-01
WO2004081982A2 (en) 2004-09-23
WO2004081982A3 (en) 2004-12-16
EP1602125B1 (en) 2019-06-26
US7504704B2 (en) 2009-03-17
CN100437970C (zh) 2008-11-26

Similar Documents

Publication Publication Date Title
JP4585510B2 (ja) シャロートレンチアイソレーションプロセス
US7335545B2 (en) Control of strain in device layers by prevention of relaxation
US7307273B2 (en) Control of strain in device layers by selective relaxation
KR102370595B1 (ko) 자기 정렬식 대체 핀 형성
EP2317554B1 (en) Integrated semiconductor substrate structure and method of manufacturing an integrated semiconductor substrate structure
TWI409861B (zh) 應變半導體裝置用的梯度高鍺化合物膜
JP4949628B2 (ja) Cmosプロセス中に歪み半導基板層を保護する方法
CN111799173B (zh) 半导体元件的制造方法以及等离子体处理装置
US7411274B2 (en) Silicon semiconductor substrate and its manufacturing method
KR20140125376A (ko) 반도체 장치 및 그 제조 방법
US11233123B2 (en) Fully strained channel
US7713834B2 (en) Method of forming isolation regions for integrated circuits
US7485929B2 (en) Semiconductor-on-insulator (SOI) strained active areas
JP2007123875A (ja) 多孔質層を用いてゲルマニウム・オン・インシュレータ半導体構造を形成するための方法及びこれらの方法によって形成される半導体構造
US7033869B1 (en) Strained silicon semiconductor on insulator MOSFET
US20050095807A1 (en) Silicon buffered shallow trench isolation for strained silicon processes
US10879124B2 (en) Method to form a fully strained channel region
JP2006516362A (ja) 歪みシリコンプロセス用にシャロートレンチ絶縁体を形成するプロセス
CN110047753B (zh) 一种半导体器件的形成方法和半导体器件

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090812

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090929

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091228

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100329

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100406

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100727

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100803

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100831

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100903

R150 Certificate of patent or registration of utility model

Ref document number: 4585510

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130910

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250