KR100604870B1 - 접합 영역의 어브럽트니스를 개선시킬 수 있는 전계 효과트랜지스터 및 그 제조방법 - Google Patents

접합 영역의 어브럽트니스를 개선시킬 수 있는 전계 효과트랜지스터 및 그 제조방법 Download PDF

Info

Publication number
KR100604870B1
KR100604870B1 KR1020040044512A KR20040044512A KR100604870B1 KR 100604870 B1 KR100604870 B1 KR 100604870B1 KR 1020040044512 A KR1020040044512 A KR 1020040044512A KR 20040044512 A KR20040044512 A KR 20040044512A KR 100604870 B1 KR100604870 B1 KR 100604870B1
Authority
KR
South Korea
Prior art keywords
layer
gate electrode
forming
channel layer
source
Prior art date
Application number
KR1020040044512A
Other languages
English (en)
Other versions
KR20050119424A (ko
Inventor
오창우
박동건
김동원
최동욱
여경환
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020040044512A priority Critical patent/KR100604870B1/ko
Priority to US11/089,371 priority patent/US20050280102A1/en
Publication of KR20050119424A publication Critical patent/KR20050119424A/ko
Application granted granted Critical
Publication of KR100604870B1 publication Critical patent/KR100604870B1/ko
Priority to US12/588,193 priority patent/US8101475B2/en
Priority to US13/284,889 priority patent/US8415210B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66651Lateral single gate silicon transistors with a single crystalline channel formed on the silicon substrate after insulating device isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Abstract

단채널 효과를 방지하면서, 트랜지스터의 온 커런트를 개선할 수 있는 전계 효과 트랜지스터 및 그 제조방법을 개시한다. 개시된 본 발명의 전계 효과 트랜지스터는, 액티브 영역을 한정하기 위한 소자 분리막이 형성되어 있는 반도체 기판의 소정 부분에 게이트 전극이 형성된다. 상기 게이트 전극 저면에 채널층이 위치되며, 상기 게이트 전극과 상기 채널층 사이에 게이트 산화막이 개재된다. 이러한 채널층의 양측에는 소오스 및 드레인 영역이 형성된다. 이때, 상기 소오스 및 드레인 영역과, 상기 채널층이 이루는 경계는 상기 반도체 기판 표면에 대해서 실질적으로 수직을 이룬다.
어브럽트니스(abruptness), 스프레딩 저항, 온 커런트, 도핑 프로파일

Description

접합 영역의 어브럽트니스를 개선시킬 수 있는 전계 효과 트랜지스터 및 그 제조방법{Field effect transistor improvable junction abruptness and method for manufacturing the same}
도 1 내지 도 7은 본 발명의 일 실시예에 따른 트랜지스터의 평면도이다.
도 8 내지 도 15는 본 발명의 일 실시예에 따른 트랜지스터의 단면도이다.
도 16 내지 도 19는 본 발명의 일 실시예의 변형예를 나타낸 트랜지스터의 단면도이다.
도 20 내지 도 22는 본 발명의 다른 실시예에 따른 트랜지스터의 평면도이다.
도 23 내지 도 25는 본 발명의 다른 실시예에 따른 트랜지스터의 단면도이다.
도 26 및 도 27은 본 발명의 다른 실시예의 변형예를 나타낸 트랜지스터의 단면도이다.
도 28 내지 도 30은 본 발명의 또 다른 실시예에 따른 트랜지스터의 평면도이다.
도 31 내지 도 33은 본 발명의 또 다른 실시예에 따른 트랜지스터의 단면도이다.
도 34 및 도 35는 본 발명의 또 다른 실시예의 변형예를 나타낸 트랜지스터의 단면도이다.
본 발명은 전계 효과 트랜지스터(Field Effect Transistor: 이하 FET) 및 그 제조방법에 관한 것으로, 보다 구체적으로는 접합 영역의 어브럽트니스(junction abruptness)를 개선할 수 있는 트랜지스터 및 그 제조방법에 관한 것이다.
반도체 소자의 집적 밀도가 증가함에 따라, 모스 트랜지스터의 크기 즉, 채널 길이가 스케일된다. 채널 길이가 감소되면 반도체 소자의 집적 밀도는 개선되나, 드레인 유기 장벽 저하(DIBL:drain induced barrier lowering), 핫 캐리어 이펙트(hot carrier effect) 및 펀치 스루(punch through)와 같은 단채널 효과(short channel effect)가 발생된다. 이러한 단채널 효과를 조금이라도 줄일 수 있도록, 채널 길이의 감소와 비례하여 접합 영역의 깊이 및 게이트 산화막의 두께를 감소시켜야 한다.
그러나, 접합 영역의 깊이를 감소시키면, 접합 저항(junction resistance, RS,RD)이 감소된다(이에 대하여 Silicon Processing for the VLSI, Vol.4, page 507에 개시되어 있다.).
상기한 접합 저항은 장채널 트랜지스터의 경우, 온 커런트(on current)에 큰 영향을 미치지 않았으나, 현재와 같은 단채널 트랜지스터의 경우, 온 커런트를 현격히 감소시킨다. 그러므로, 트랜지스터의 접합 저항을 개선시켜야 한다.
S. Wolf씨에 의해 발간된 "Silcon Processing for the VLSI Era" Vol.4의 페이지 507 내지 508페이지에 의하면, 접합 저항은 채널 가장 자리(접합 영역의 가장자리)에 발생되는 스프레딩(spreading) 저항의 함수로 알려져 있다. 즉, 스프레딩 저항을 감소시키면 접합 저항을 감소시킬 수 있다. 상기 스프레딩 저항은 접합 영역의 도핑 프로파일에 관계되고, 접합 영역의 도핑 프로파일이 채널의 가장자리에서 급격히 감소되면, 스프레딩 저항이 감소된다. 이에따라, 접합 영역의 어브럽트니스를 개선시켜야 한다.
하지만, 현재 접합 영역은 불순물의 이온 주입 및 어닐링 공정에 의해 형성되고 있으며, 불순물 이온 주입 및 어닐링 공정에 의해 형성된 접합 영역의 측부 도핑 프로파일은 약 3nm/decade 이상의 경사를 갖는다. 그러므로, 이온 주입 및 어닐링 공정에 의해 제작되는 접합 영역은 필연적으로 경사를 갖게 되고, 이로 인해 스프레딩 저항을 감소시키는 데 한계가 있다.
따라서, 본 발명이 이루고자 하는 기술적 과제는 단채널 효과를 방지하면서, 트랜지스터의 온 커런트를 개선할 수 있는 트랜지스터를 제공하는 것이다.
또한, 본 발명이 이루고자 하는 다른 기술적 과제는 접합 영역의 어브럽트니스를 개선할 수 있는 트랜지스터를 제공하는 것이다.
또한, 본 발명이 이루고자 하는 다른 기술적 과제는 상기한 FET의 제조방법 을 제공하는 것이다.
상기한 본 발명의 기술적 과제를 달성하기 위한 본 발명은, 액티브 영역을 한정하기 위한 소자 분리막이 형성되어 있는 반도체 기판상의 소정 부분에 게이트 전극이 형성된다. 상기 게이트 전극의 하부 반도체 기판에 채널층이 형성되어 있고, 상기 채널층 양측에 소오스 및 드레인 영역이 위치된다. 상기 소오스 및 드레인 영역과 상기 채널층이 이루는 경계는 상기 반도체 기판 표면에 대해서 실질적으로 수직을 이룬다.
또한, 본 발명의 다른 실시예에 따른 전계 효과 트랜지스터는, 액티브 영역을 제공하기 위한 소자 분리막이 형성되어 있는 반도체 기판의 소정 부분, 즉 액티브 영역의 소정 부분에 채널층이 형성된다. 채널층 상부에 채널층의 폭 방향으로 게이트 전극이 연장된다. 상기 채널층의 길이 방향 양측 액티브 영역에 소오스 및 드레인 영역이 융기되어 있다. 상기 게이트 전극 양측벽에 절연막 스페이서가 형성되어 있다. 상기 소오스 및 드레인 영역과, 상기 채널층과의 경계는 상기 반도체 기판 표면과 수직을 이루는 것이 바람직하다.
이때, 상기 채널층의 폭방향(게이트 전극의 연장 방향)에서, 상기 채널층 양측의 소자 분리막은 그 표면이 상기 채널층 저면 하부에 위치하고, 상기 게이트 전극은 상기 채널층의 상면 및 측면을 감싸도록 형성될 수 있다.
본 발명의 다른 견지에 따른 전계 효과 트랜지스터의 제조방법은, 반도체 기판 표면에 접합층을 형성한다음, 반도체 기판의 소정 부분에 소자 분리막을 형성한 다. 그후, 상기 접합층의 소정 부분을 비등방성 식각하여, 소오스 및 드레인 영역을 한정하고, 상기 소오스 및 드레인 영역 사이의 공간에 채널층을 형성한다. 그후, 채널층 상부에 게이트 전극을 형성한다.
또한, 본 발명의 다른 실시예에 따른 전계 효과 트랜지스터의 제조방법은, 반도체 기판 표면에 채널층을 형성한다. 그후, 상기 반도체 기판의 소정 부분에 소자 분리막을 형성하고, 상기 채널층 상부에 게이트 전극을 형성한다. 다음, 상기 게이트 전극 양측벽에 측벽 스페이서를 형성하고, 상기 게이트 전극 및 측벽 스페이서의 형태로 상기 채널층을 비등방성 식각하여, 채널층, 및 소오스, 드레인 예정 영역을 한정한다. 그후, 상기 소오스 및 드레인 예정 영역에 불순물이 포함된 에피택셜층을 형성하여, 소오스 및 드레인 영역을 형성한다.
이하, 첨부한 도면에 의거하여 본 발명의 바람직한 실시예들을 설명하기로 한다. 그러나, 본 발명의 실시예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 상술하는 실시예들로 인해 한정되어지는 것으로 해석되어져서는 안 된다. 본 발명의 실시예들은 당업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위해서 제공되어지는 것이다. 따라서, 도면에서의 요소의 형상 등은 보다 명확한 설명을 강조하기 위해서 과장되어진 것이며, 도면상에서 동일한 부호로 표시된 요소는 동일한 요소를 의미한다.
본 발명은 접합 영역의 어브럽트니스를 개선하기 위하여, 채널층 또는 접합 영역을 비등방성 식각에 의해 한정하고, 채널층 양측의 접합 영역 또는 접합 영역 사이의 채널층을 에피택셜 방식으로 성장시킨다. 이에따라, 채널층과 접합 영역의 경계는 기판 표면에 거의 수직을 이루게 되고, 접합 영역의 도핑 프로파일 역시 급준성을 갖게 된다. 그러므로, 접합 스프래딩 저항을 감축시킬 수 있어, 낮은 접합 두께를 갖는다하더라도, 접합 저항이 감소되는 것을 방지할 수 있다.
이와같은 특징을 갖는 본 발명의 트랜지스터에 대하여 보다 구체적으로 설명하도록 한다.
도 1 내지 도 7은 본 발명의 일실시예에 따른 트랜지스터의 평면도이다. 도 8 내지 도 15는 본 발명의 일실시예에 따른 트랜지스터의 단면도이다. 참고로, 도 8, 도 9a, 도 10, 도 11a, 도 12, 도 13, 도 14 및 도 15a 상기 도 1 내지 도 7의 x-x'선을 따라 절단한 단면도들이고, 도 9b, 도 11b, 도 13b 및 도 15b는 상기 도 1 내지 도 7의 y-y'선을 따라 절단한 단면도들이다.
먼저, 도 1 및 도 8을 참조하여, 반도체 기판(100) 상부에 소정의 불순물을 포함하는 접합층(105)을 형성한다. 접합층(105)은 반도체 기판(100) 전면에 소정의 불순물을 주입한 후, 어닐링하여 얻을 수 있다. 또한, 접합층(105)은 불순물이 도핑된 실리콘층으로서, 증착 방식 또는 SEG(selective epitaxila growth) 방식으로 형성될 수 있다. 접합층(105)의 불순물은 반도체 기판(100)과 반대 타입의 불순물일 수 있고, 예컨대, 소오스 및 드레인의 불순물 농도 정도를 가질 수 있으며, 단채널 트랜지스터에 적합한 얕은 깊이(두께)를 갖는다. 접합층(105)이 형성된 반도체 기판(100) 상부에 소자 분리막을 한정하기 위한 마스크 패턴(110), 예컨대, 실리콘 질화막 패턴을 형성한다. 소자 분리막용 마스크 패턴(110)을 마스크로 하여, 노출된 반도체 기판(100)을 소정 깊이 만큼 식각하여, 트렌치를 형성한다. 그후, 트렌치 내부에 산화막을 매립하여 소자 분리막(115)을 형성한다. 이때, 본 실시예에서는 접합층(105)을 형성한다음 소자 분리막(115)을 형성하였지만, 소자 분리막(115)을 먼저 형성하고 마스크 패턴(110)을 제거한다음 접합층(105)을 형성할 수 있다.
도 2, 도 9a, 및 도 9b를 참조하여, 소자 분리막용 마스크 패턴(110)을 공지의 방식으로 제거한다. 반도체 기판(100) 결과물 상부에 하드 마스크막(120)을 증착한다. 하드 마스크막(120)은 예컨대, 실리콘 질화막일 수 있으며, 이후 포토리소그라피 공정시 난반사를 방지하기 위하여 제공될 수 있다. 하드 마스크막(120) 상부에 게이트 전극 예정 영역이 노출되도록 포토레지스트 패턴(125)을 형성한다. 포토레지스트 패턴(125)에 의하여 게이트 전극 예정 영역에 해당하는 하드 마스크막(120)이 노출된다. 도 2의 점선은 소자 분리막과 액티브 영역의 경계면을 나타낸다.
도 3 및 도 10에 도시된 바와 같이, 포토레지스트 패턴(125)의 형태로 하드 마스크막(120) 및 접합층(105)을 비등방성 식각하여, 접합층(105) 하부의 반도체 기판(100) 및 소자 분리막(115)을 노출시킨다. 상기 비등방성 식각에 의하여, 접합층(130)내에는 홈(130)이 형성되고, 홈(130)에 의하여 트랜지스터의 소오스 및 드레인 영역(105a,105b)이 분리, 한정된다. 이때, 소오스 및 드레인 영역(105a,105b)이 비등방성 식각에 의해 한정되므로, 그 측벽면은 기판 표면에 거의 수직을 이루게 된다.
도 4, 도 11a 및 도 11b를 참조하여, 포토레지스트 패턴(120)을 공지의 방식으로 제거한다. 그리고 나서, 홈(130) 부분에 의해 노출된 반도체 기판(100)을 에피택셜 성장시켜, SEG 채널층(135)을 형성한다. SEG 채널층(135)은 예를 들어, 불순물이 도핑되지 않을 수도 있고, 혹은 불순물이 도핑되어 있을 수 있다. 아울러, SEG 채널층(135)에 불순물이 도핑되어 있는 경우, n형 또는 p형 불순물 어느 것이든지 상관없다. SEG 채널층(135)을 형성한 후에, 반도체 기판(100) 표면을 CMP(chemical mechanical polishing) 또는 에치백하여, 반도체 기판(100) 표면을 평탄화시킨다.
도 12에 도시된 바와 같이, 노출된 SEG 채널층(135) 표면을 산화하여, 게이트 산화막(140)을 형성한다.
그 다음, 도 5, 도 13a 및 도 13b에 도시된 바와 같이, 하드 마스크막(120) 사이의 공간이 충분히 매립될 수 있도록 게이트 전극용 도전층(145)을 증착한다. 게이트 전극용 도전층(145)은 예컨대, 도핑된 폴리실리콘막일 수 있다.
도 6 및 도 14를 참조하여, 게이트 전극용 도전층(145)을 하드 마스크막(120) 표면이 노출되도록 화학적 기계적 연마한다. 그후, 하드 마스크막(120)을 제거하여, 게이트 전극(150)을 형성한다. 이때, 게이트 전극(150)의 측벽은 상기 소오스 및 드레인 영역(105a,105b)과, 채널층(135)의 경계와 거의 일치한다. 이때, 게이트 전극(160)과, 소오스 및 드레인 영역(105a,105b)과의 오버랩(overlap) 길이를 조절하기 위하여 열적 어닐링을 추가로 진행할 수 있다.
도 7, 도 15a, 및 도 15b에 도시된 바와 같이, 게이트 전극(150) 양측에 공 지의 방법으로 스페이서(155)를 형성한다. 그 다음, 반도체 기판(100) 결과물 상부에 전이 금속막을 증착한다음 열처리를 진행하여, 게이트 전극(150), 소오스 영역(105a) 및 드레인 영역(105b) 표면에 실리사이드막(160)을 형성한다.
여기서, 도 16에 도시된 바와 같이, 실리사이드막(160)을 형성하기 전에, 소오스 및 드레인 영역(105a,105b) 일측의 소자 분리막(115)을 소정 깊이만큼 제거할 수 있다. 소자 분리막(115)은 소오스 및 드레인 영역(105a,105b)의 깊이만큼 제거됨이 바람직하다. 소자 분리막(115)을 소정 깊이만큼 제거하므로써, 실리사이드막(160)이 형성되는 면적을 확장시킬 수 있다.
또한, 도 17에 도시된 바와 같이, SEG 채널층(135)을 형성한다음, SEG 채널층(135)의 폭 방향으로 배치된 소자 분리막(115)을 소정 깊이만큼 제거할 수 있다. 소자 분리막(115)을 소정 깊이만큼 제거함에 따라, SEG 채널층(135)의 상면 및 폭방향의 측면이 노출된다. 노출된 SEG 채널층(135) 표면에 게이트 산화막(140)을 형성하고, 게이트 전극(150) 및 실리사이드막(160)을 형성한다. 이때, 게이트 전극(150)은 SEG 채널층(135)의 3면을 감싸도록 형성되어, 3면이 채널로 이용되는 핀펫(FinFET)을 제작할 수 있다. FinFET을 형성함에 따라, 트랜지스터의 단채널 효과를 보다 개선할 수 있으며, 차세대 반도체 소자에 적용할 수 있다.
또한, 도 18에 도시된 바와 같이, 게이트 산화막(140)을 형성하는 단계와, 게이트 전극용 도전층(145)을 형성하는 단계 사이에, 스토리지 노드를 형성하는 단계를 더 포함할 수 있다. 스토리지 노드는 예컨대, 폴리실리콘막으로 된 플로팅 게이트 전극(170) 및 예컨대 ONO(oxide-nitride-oxide)로 구성된 게이트 전극간 절연 막일 수 있다. 또한, 스토리지 노드는 단일의 ONO막 또는 나노 크리스탈(nano-crystal)과 같은 전하 저장 수단일 수 있다. 이때, 스토리지 노드가 단일 ONO막인 경우, 게이트 산화막(140)을 생략할 수 있다. 이와 같이, 게이트 전극(145) 저부에 스토리지 노드를 형성하므로써, 플래쉬 메모리 소자를 제작할 수 있다. 또한, 상기 도 17과 같이, 소자 분리막(115)을 소정 깊이만큼 제거한 상태에서, 플로팅 게이트 전극(170) 및 게이트 전극간 절연막(175)을 형성하여 플래쉬 FinFET을 형성할 수도 있다.
또한, 도 19에서와 같이, SEG 채널층(135) 표면에 고 이동도 물질(136)을 더 형성할 수 있다. 고 이동도 물질(136)은 예를 들어, C, Si, Ge의 단일 혹은 조합으로 이루어진 물질층 또는 이들의 적층 구조물로 이루어질 수 있으며, 이들 고 이동도 물질(136)은 SEG 채널층(135)과 마찬가지로 SEG 방식으로 형성할 수 있다. 이와같은 SEG 채널층(135) 표면에 고 이동도 물질(136)을 형성하므로써, 트랜지스터의 이동도를 개선할 수 있다.
이와같은 본 실시예에 따르면, 반도체 기판(100) 상부에 도핑된 실리콘층으로 접합층(105)을 형성한다음, 접합층(105)을 비등방성 식각하여 소오스 및 드레인 영역(105a,105b)을 형성한다. 그후, 소오스 및 드레인 영역(105a,105) 사이의 공간을 SEG 방식으로 충전시켜, SEG 채널층(135)을 형성한다. 이에따라, 소오스 및 드레인 영역(105a,105b)과 SEG 채널층(135)과의 경계는 기판(100) 표면에 대하여 수직을 이루게 되어, 소오스 및 드레인 영역(105a,105b)의 도핑 프로파일도 급준성을 갖게 된다. 이에따라, 접합 영역의 어브럽트니스가 개선된다.
도 20 내지 도 22는 본 발명의 다른 실시예에 따른 트랜지스터의 평면도이다. 도 23 내지 도 25는 본 발명의 다른 실시예에 따른 트랜지스터의 단면도이다. 참고로, 도 23a, 도 24 및 도 25a는 상기 도 20 내지 도 22의 x-x'선을 따라 절단한 단면도이고, 도 23b 및 도 25b는 도 20 및 도 22의 y-y'선을 따라 절단한 단면도이다.
도 20, 도 23a 및 도 23b를 참조하여, 반도체 기판(200) 상에 채널층(205)을 형성한다. 채널층(205)은 마스크 없이 반도체 기판(200) 전면에 형성될 수 있고, 예컨대 불순물이 도핑된 실리콘층일 수 있으며, 상기 불순물 농도는 전계 효과 트랜지스터의 문턱 전압 조절 이온이 주입된 정도의 농도이다. 채널층(205)은 반도체 기판(200)에 불순물을 주입하고, 이 불순물들을 활성화시켜 얻을 수 있다. 또한, 채널층(205)은 증착 방식 및 SEG 방식으로 얻을 수 있다. 상기 채널층(205)이 증착 방식 또는 SEG 방식으로 형성되는 경우, 채널층(205)내의 불순물은 증착(성장)과 동시에 채널층(205)내에 도입되거나 혹은 채널층(205)을 형성한다음 이온 주입 방식에 의해 추후로 도입될 수 있다. 이때, 채널층(205)은 단채널 트랜지스터의 접합 깊이에 적합한 두께를 가짐이 바람직하다.
채널층(205) 상부에 소자 분리막 예정 영역이 노출되도록 소자 분리막용 마스크 패턴(도시되지 않음, 상기 도 1 참조)을 형성한다. 소자 분리막용 마스크 패턴은 예컨대 실리콘 질화막일 수 있으며, 이 마스크 패턴의 형태로, 채널층(205) 및 반도체 기판(200)을 소정 부분 식각하여 트랜치를 형성한다. 트렌치내에 절연물을 매립하여, 소자 분리막(210)을 형성한다. 이때, 소자 분리막(210)을 먼저 형성하고, 후속으로 채널층(205)을 형성할 수 있다.
소자 분리막(210) 및 채널층(205) 상부에 게이트 산화막(215), 게이트 전극 물질(220) 및 하드 마스크막(225)을 순차적으로 적층한다. 게이트 산화막(215)은 상기 일 실시예에서 설명된 바와 같이 열 산화 방식으로 형성될 수 있다. 또한 게이트 전극 물질(220)은 예컨대, 도핑된 폴리실리콘막일 수 있고, 하드 마스크막(225)은 예컨대, 실리콘 질화막일 수 있다. 하드 마스크막(225)은 상부에 게이트 전극(230)을 한정하기 위한 포토레지스트 패턴(230)을 형성한다.
도 21 및 도 24를 참조하여, 포토레지스트 패턴(230)을 마스크로 하여, 하드 마스크막(230) 및 게이트 전극 물질(220)을 식각하여, 게이트 전극(222)을 한정한다. 그후, 게이트 전극(222)의 측벽에 스페이서(222)를 형성한다. 스페이서(235)는 공지의 절연막의 블랭킷 식각에 의하여 형성하거나, 혹은 게이트 전극(222) 측벽을 소정 두께만큼 산화시켜 형성할 수 있다. 스페이서(235)는 게이트 전극(222)과 이후 형성될 소오스 및 드레인 영역(도시되지 않음)과의 절연을 위하여 제공된다. 게이트 전극(222) 및 스페이서(235)를 마스크로 하여 노출된 게이트 산화막(215) 및 채널층(205)을 비등방성 식각하여, 소오스 및 드레인 예정 영역(240a,240b)에 해당하는 반도체 기판(200)을 오픈시킨다. 이때, 상기 채널층(205)은 비등방성 식각 방식에 의하여 패터닝되었으므로, 그 측벽면이 반도체 기판(200) 표면과 거의 수직을 이루게 된다.
다음, 도 22, 도 25a 및 도 25b를 참조하여, 노출된 소오스, 드레인 예정 영역(240a,240b)의 반도체 기판(200)을 SEG 방식으로 성장시켜, SEG 소오스 및 SEG 드레인 영역(245a,245b)을 형성한다. 이때, SEG 소오스 및 SEG 드레인 영역(245a,245b)은 불순물이 도핑된 상태로 성장시킴이 바람직하다. 그 후, 경우에 따라, SEG 소오스 및 SEG 드레인 영역(245a,245b)을 상기 하드 마스크막(220) 표면이 노출되도록 평탄화시킬 수 있다. 이와같은 소오스 및 드레인 영역(245a,245b)은 상기 채널층(205)와의 경계면이 기판 표면에 대해 수직을 이룸에 따라, 소오스 및 드레인 영역(245a,245b)의 도핑 프로파일도 급준성을 갖게 된다. 따라서, 접합 저항을 개선시킬 수 있다. 덧붙여, 본 실시예의 소오스 및 드레인 영역(245a,245b)은 기판 표면 상부로 융기된 상태이므로, 게이트 전극(222)의 저면으로부터 소오스 및 드레인 영역(245a,245b)의 저면까지의 두께는 얕지만, 실질적인 소오스 및 드레인 영역(245a,245b)의 전체 두께는 오히려 증대되었다. 그러므로, 접합 저항을 보다 개선할 수 있다.
또한, 도 26에 도시된 바와 같이, 게이트 전극(222)을 형성하는 단계전에 스토리지 노드를 형성하는 단계를 더 포함할 수 있다. 스토리지 노드는 예컨대, 플로팅 게이트 전극(250)과 게이트 전극간 절연막이거나, 단일의 ONO막 혹은 나노 크리스탈 막일 수 있다. 이때, 플로팅 게이트 전극(250)은 예컨대, 도핑된 폴리실리콘막일 수 있고, 게이트 전극간 절연막(255)은 ONO막 일 수 있다. 또한, 스토리지 노드로 단일 ONO막이 이용되는 경우, 게이트 산화막을 형성하는 단계를 생략할 수 있다. 이와같이, 게이트 전극(222) 저부에 스토리지 노드를 형성하므로써, 플래쉬 메 모리 소자를 형성할 수도 있다.
또한, 도 27에 도시된 바와 같이, 게이트 산화막(215)을 형성하기 전에, 채널층(205) 표면에 고 이동도 물질(212)을 더 형성할 수 있다. 고 이동도 물질(212)은 상술한 일 실시예와 마찬가지로, C, Si, Ge의 단일 혹은 조합으로 이루어진 물질층 또는 이들의 적층 구조물로 이루어질 수 있으며, 이들 고 이동도 물질(212)은 SEG 방식으로 형성할 수 있다. 이와같은 SEG 채널층(205) 표면에 고 이동도 물질(212)을 형성하므로써, 트랜지스터의 이동도를 개선할 수 있다.
본 실시예에 따르면, 게이트 전극(222) 및 채널층(205)을 비등방성 식각에 의하여 한정한다음, 채널층(205) 양측의 소오스, 드레인 영역(245a,245b)을 SEG 방식으로 형성한다. 이에따라, 소오스, 드레인 영역(245a,245b)과 채널층(205)과의 경계가 반도체 기판(200) 표면에 대하여 수직을 이루게 된다. 이에따라, 소오스 및 드레인 영역(245a,245b)의 도핑 프로파일도 급준성을 갖게되어, 접합 영역의 어브럽트니스가 개선된다.
도 28 내지 도 30은 본 발명의 또 다른 실시예에 따른 트랜지스터의 평면도이다. 도 31 내지 도 33은 본 발명의 또 다른 실시예에 따른 트랜지스터의 단면도이다. 참고로, 도 31a, 도 32 및 도 33은 상기 도 28 내지 도 30의 x-x'선을 따라 절단한 단면도이고, 도 31b는 도 2을 y-y'선을 따라 절단한 단면도이다.
도 28, 도 31a 및 도 31b를 참조하여, 반도체 기판(300) 상에 채널층(305)을 형성한다. 채널층(305)은 상술한 실시예와 동일한 방식으로 형성된다. 아울러 채널 층(305)은 단채널 트랜지스터의 적합한 접합 영역의 두께 정도를 갖는다. 채널층(305) 상부에 산화막(315) 및 실리콘 질화막(320)을 순차적으로 적층한다음, 소자 분리 예정 영역이 노출되도록, 상기 실리콘 질화막(320) 및 산화막(315)을 소정 부분 패터닝하여, 소자 분리막용 마스크 패턴을 형성한다. 그후, 마스크 패턴의 형태로 채널층(305) 및 반도체 기판(300)을 소정 부분 식각하여 트랜치를 형성한다. 트렌치내에 절연물을 매립하여, 소자 분리막(310)을 형성한다. 이때, 소자 분리막(310)을 먼저 형성하고, 후속으로 채널층(305)을 형성할 수도 있다.
그후, 다마신 형태의 게이트 전극을 형성하기 위하여, 게이트 전극 예정 영역을 오픈시키기 위한 포토레지스트 패턴(도시되지 않음)을 반도체 기판(300) 결과물 상부에 형성한다. 포토레지스트 패턴(도시되지 않음)의 형태로, 노출된 실리콘 질화막(320)을 식각한다. 아울러, FinFET을 형성할 수 있도록, 노출된 소자 분리막(310)을 식각한다. 이때 도 31b에서 리세스된 소자 분리막(310)을 310a로 표시하였으며, 게이트 전극 예정 영역의 소자 분리막(310a)은 채널층(305)의 측벽면이 노출될 수 있도록 소자 분리막(310a)의 표면이 채널층(305) 저부에 위치함이 바람직하다. 그후 포토레지스트 패턴이 제거된다.
다음, 반도체 기판(300) 결과물 상부에 게이트 전극용 도전층을 증착한다음, 실리콘 질화막(320) 표면이 노출되도록 상기 도전층을 화학적 기계적 연마하여, 다마신 형태의 게이트 전극(325)을 형성한다. 그후, 게이트 전극(325)을 보호하기 위하여 그 표면을 산화시켜, 게이트 전극(325) 표면에 하드 마스크막(330)을 형성한다.
이때, 상기 게이트 전극 예정 영역 한정시, 상기 실리콘 질화막(320) 뿐만 아니라 상기 산화막(315)을 제거한다음, 게이트 전극용 도전층을 증착하기 전에 게이트 산화막을 새롭게 증착할 수 있다.
도 29 및 도 32에 도시된 바와 같이, 하드 마스크막(330)을 마스크로 하여, 게이트 전극용 도전층(325)을 식각하여, 게이트 전극(325a)을 형성한다. 게이트 전극(325a) 양측벽에 스페이서(335)를 형성한다. 스페이서(335)는 절연막으로서, 공지의 절연막의 블랭킷 식각에 의하여 형성하거나, 혹은 게이트 전극(325)의 측벽을 소정 두께만큼 산화시켜 형성할 수 있다. 스페이서(335)는 게이트 전극(325)과 이후 형성될 소오스, 드레인 영역(도시되지 않음)과의 절연을 위하여 제공된다. 게이트 전극(325) 및 스페이서(335)를 마스크로 하여 노출된 게이트 산화막(315) 및 채널층(305)을 비등방성 식각한다. 이에 따라, 소오스 및 드레인 예정 영역(340a,340b)이 오픈된다. 이때, 상기 채널층(305)은 비등방성 식각 방식에 의하여 패터닝되었으므로, 그 측벽면이 반도체 기판(300) 표면과 거의 수직을 이루게 된다.
다음, 도 30 및 도 33에 도시된 바와 같이, 노출된 소오스, 드레인 예정 영역(340a,340b)의 반도체 기판(300)을 SEG 방식으로 성장시켜, SEG 소오스 및 SEG 드레인 영역(345a,345b)을 형성한다. SEG 소오스 및 SEG 드레인 영역(345a,345b)은 채널층(305)의 길이 방향 측부에 형성된다. 또한, SEG 소오스 및 SEG 드레인 영역(345a,345b)은 불순물이 도핑된 상태로 성장됨이 바람직하다. 그후, 경우에 따라, SEG 소오스 및 SEG 드레인 영역(345a,345b)을 상기 하드 마스크막(320) 표면이 노출되도록 평탄화시킬 수 있다. 또한, 상술한 바와 같이, 소오스 및 드레인 영역(345a,345b)이 융기된 상태로 형성됨에 따라, 접합 저항을 추가적으로 개선할 수 있다. SEG 소오스 및 SEG 드레인 영역(345a,345b)을 형성한다음, 상기 일 실시예의 도 15a 및 도 15b와 같이, SEG 소오스 및 SEG 드레인 영역(345a,345b) 표면에 실리사이드막을 형성할 수 있다.
한편, 도 34에 도시된 바와 같이, 게이트 전극(325a)을 형성하는 단계 전에, 스토리지 노드를 형성하는 단계를 더 포함할 수 있다. 상기 스토리지 노드는 상술한 바와 같이, 플로팅 게이트 전극(350) 및 게이트 전극간 절연막(355)의 적층 구조물일 수 있으며, 혹은 ONO막 또는 나노 크리스탈막일 수 있다. 이때, 스토리지 노드로 ONO막을 형성하는 경우, 게이트 산화막을 생략할 수 있다. 이와같이, 게이트 전극(325) 저부에 스토리지 노드를 형성하므로써, 플래쉬 FinFET을 형성할 수도 있다.
또한, 도 35에 도시된 바와 같이, 게이트 산화막(315)을 형성하기 전에, 채널층(305) 표면에 고 이동도 물질(312)을 더 형성할 수 있다. 고 이동도 물질(312)은 상술한 일 실시예와 마찬가지로, C, Si, Ge의 단일 혹은 조합으로 이루어진 물질층 또는 이들의 적층 구조물로 이루어질 수 있으며, 이들 고 이동도 물질(312)은 SEG 방식으로 형성할 수 있다. 이와같은 SEG 채널층(305) 표면에 고 이동도 물질(312)을 형성하므로써, 이동도가 개선된 FinFET을 형성할 수 있다.
본 실시예에 따르면, 상술한 실시예들과 마찬가지로, 채널층(305)을 비등방성 식각 방식으로 한정하므로써, 소오스, 드레인 영역(345a,345b)과 채널층(305)과 의 경계가 반도체 기판(200) 표면에 대하여 수직을 이루게 된다. 이에따라, 소오스 및 드레인 영역(245a,245b)의 도핑 프로파일도 급준성을 갖게되어, 접합 영역의 어브럽트니스가 개선된다. 또한, 본 실시예의 트랜지스터는 게이트 전극(325) 혹은 플로팅 게이트 전극(350)이 채널층(305)의 상면 및 측면과 오버랩되는 FinFET 구조를 갖는다. 이에따라, 트랜지스터의 단채널 현상을 개선할 수 있다.
이상에서 자세히 설명한 바와 같이, 본 발명에 의하면, 소오스 및 드레인 영역 혹은 채널층을 비등방성 식각에 의하여 한정하고, 소오스 및 드레인 영역 사이의 채널층 혹은 채널층 양측의 소오스 및 드레인 영역을 SEG 방식으로 형성한다. 이에따라, 소오스 및 드레인 영역과 채널층 사이의 경계면이 기판 표면에 대해 수직을 이루게 되어, 소오스, 드레인 영역의 도핑 프로파일 역시 급준성을 갖게된다. 그러므로, 접합 영역의 어브럽트니스가 개선되어, 접합 영역의 경계면에서 발생하는 스프레딩 저항을 감소시킬 수 있다.
따라서, 소오스 및 드레인 영역(접합 영역)의 접합 깊이를 감축시키더라도, 접합 저항이 증가되는 것을 방지할 수 있어, 단채널 효과를 개선하면서, 트랜지스터의 온 커런트를 개선할 수 있다.
이상 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상의 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러가지 변형이 가능하다.

Claims (57)

  1. 액티브 영역을 한정하기 위한 소자 분리막이 형성되어 있는 반도체 기판;
    상기 반도체 기판의 소정 부분에 형성되는 게이트 전극;
    상기 게이트 전극 양측 반도체 기판에 형성되는 소오스 및 드레인 영역; 및
    상기 소오스 및 드레인 영역 사이의 게이트 전극 하부에 형성되는 채널층을 포함하며,
    상기 소오스 및 드레인 영역 사이에 위치되는 채널층은 에피택셜 성장층으로 형성되어, 상기 소오스 및 드레인 영역과 채널층 사이의 경계가 상기 반도체 기판 표면에 대해 실질적으로 수직을 이루는 것을 특징으로 하는 전계 효과 트랜지스터.
  2. 제 1 항에 있어서, 상기 채널층은 불순물이 도핑되지 않은 에피택셜층인 것을 특징으로 하는 전계 효과 트랜지스터.
  3. 제 1 항에 있어서, 상기 채널층은 N형 또는 P형의 불순물이 도핑되어 있는 에피택셜층인 것을 특징으로 하는 전계 효과 트랜지스터.
  4. 제 1 항에 있어서, 상기 소오스 및 드레인 영역과, 상기 채널층의 좌우측 경계는 상기 게이트 전극의 측벽면과 일치하는 것을 특징으로 하는 전계 효과 트랜지스터.
  5. 제 1 항에 있어서, 상기 소오스 및 드레인 영역은 불순물이 도핑된 에피택셜층인 것을 특징으로 하는 전계 효과 트랜지스터.
  6. 제 1 항에 있어서, 상기 소오스 및 드레인 영역의 표면은 채널층 표면 상부로 융기되어 있는 것을 특징으로 하는 전계 효과 트랜지스터.
  7. 제 6 항에 있어서, 상기 게이트 전극 양측벽 사이에 절연막 스페이서가 더 개재되어 있는 것을 특징으로 하는 전계 효과 트랜지스터.
  8. 제 1 항에 있어서, 상기 채널층과 게이트 전극 사이에 게이트 산화막이 더 개재되어 있는 것을 특징으로 하는 전계 효과 트랜지스터.
  9. 제 1 항에 있어서, 상기 채널층과 상기 게이트 전극 사이에 스토리지 노드가 개재되어 있는 것을 특징으로 하는 전계 효과 트랜지스터.
  10. 제 9 항에 있어서, 상기 스토리지 노드는 게이트 산화막, 플로팅 게이트 및 게이트 전극간 절연막의 적층물인 것을 특징으로 하는 전계 효과 트랜지스터.
  11. 제 9 항에 있어서, 상기 스토리지 노드는 ONO(oxide nitride oxide)막 또는 나노 크리스탈막인 것을 특징으로 하는 전계 효과 트랜지스터.
  12. 제 1 항에 있어서, 상기 채널층과 게이트 전극 사이에 고이동도 물질이 더 개재되어 있는 것을 특징으로 하는 전계 효과 트랜지스터.
  13. 제 12 항에 있어서, 상기 고 이동도 물질은 C, Si, Ge의 단일 혹은 조합으로 이루어진 물질층 또는 이들의 적층 구조물인 것을 특징으로 하는 전계 효과 트랜지스터.
  14. 제 1 항에 있어서, 상기 채널층의 폭 방향(게이트 전극의 연장 방향) 양측의 소자 분리막의 표면은 상기 채널층의 저면보다 낮게 위치하고, 상기 게이트 전극은 상기 채널층의 상면 및 측면을 감싸도록 형성되는 것을 특징으로 하는 전계 효과 트랜지스터.
  15. 제 1 항에 있어서, 상기 게이트 전극 표면, 상기 소오스 및 드레인 영역 표면에 실리사이드막이 더 형성되어 있는 것을 특징으로 하는 전계 효과 트랜지스터.
  16. 제 15 항에 있어서, 상기 소오스, 드레인 영역 측부의 소자 분리막의 표면은 상기 소오스, 드레인 영역의 상부 표면과 저면 사이에 위치되고,
    상기 실리사이드막은 상기 소오스, 드레인 영역 상면 및 측면에 형성되어 있는 것을 특징으로 하는 전계 효과 트랜지스터.
  17. 액티브 영역을 제공하기 위한 소자 분리막이 형성되어 있는 반도체 기판;
    상기 액티브 영역 상부의 소정 부분에 형성되는 채널층;
    상기 채널층 상부에 형성되며, 상기 채널층의 폭 방향으로 연장되는 게이트 전극;
    상기 채널층의 길이 방향 양측 액티브 영역에 융기되어 있는 소오스 및 드레인 영역; 및
    상기 게이트 전극 양측벽에 형성되는 절연막 스페이서를 포함하며,
    상기 소오스 및 드레인 영역과, 상기 채널층과의 경계는 상기 반도체 기판 표면과 수직을 이루는 것을 특징으로 하는 전계 효과 트랜지스터.
  18. 제 17 항에 있어서, 상기 채널층의 폭방향(게이트 전극의 연장 방향)에서, 상기 채널층 양측의 소자 분리막은 그 표면이 상기 채널층 저면 하부에 위치하고, 상기 게이트 전극은 상기 채널층의 상면 및 측면을 감싸도록 형성되는 것을 특징으로 하는 전계 효과 트랜지스터.
  19. 제 17 항에 있어서, 상기 소오스 및 드레인 영역은 불순물이 도핑된 에피택셜층인 것을 특징으로 하는 전계 효과 트랜지스터.
  20. 제 17 항에 있어서, 상기 소오스 및 드레인 영역과 상기 채널층과의 경계는 상기 게이트 전극 양측벽의 스페이서의 외측면과 거의 직선을 이루는 것을 특징으로 하는 전계 효과 트랜지스터.
  21. 제 17 항에 있어서, 상기 채널층과 게이트 전극 사이에 고 이동도 물질이 더 개재되어 있는 것을 특징으로 하는 전계 효과 트랜지스터.
  22. 제 21 항에 있어서, 상기 고이동도 물질은 C, Si, Ge의 단일 혹은 조합으로 이루어진 물질층 또는 이들의 적층 구조물인 것을 특징으로 하는 전계 효과 트랜지스터.
  23. 제 17 항에 있어서, 상기 채널층과 상기 게이트 전극 사이에 게이트 산화막이 더 형성되어 있는 것을 특징으로 하는 전계 효과 트랜지스터.
  24. 제 17 항에 있어서, 상기 채널층과 상기 게이트 전극 사이에 스토리지 노드가 더 형성되어 있는 것을 특징으로 하는 전계 효과 트랜지스터.
  25. 제 24 항에 있어서, 상기 스토리지 노드는 게이트 산화막, 플로팅 게이트, 전극 및 게이트 전극간 절연막의 적층 구조물인 것을 특징으로 하는 전계 효과 트랜지스터.
  26. 제 24 항에 있어서, 상기 스토리지 노드는 ONO막 또는 나노 크리스탈막인 것을 특징으로 하는 전계 효과 트랜지스터.
  27. 반도체 기판 표면에 접합층을 형성하는 단계;
    반도체 기판의 소정 부분에 소자 분리막을 형성하는 단계;
    상기 접합층의 소정 부분을 비등방성 식각하여, 소정 거리 이격되는 소오스 및 드레인 영역을 한정하는 단계;
    상기 소오스 및 드레인 영역 사이의 공간을 에피택셜 성장시켜 채널층을 형성하는 단계; 및
    상기 채널층 상부에 게이트 전극을 형성하는 단계를 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  28. 제 27 항에 있어서, 상기 접합층을 형성하는 단계는,
    상기 반도체 기판 전면에 소오스, 드레인용 불순물을 주입하는 단계; 및
    상기 불순물을 활성화시키는 단계를 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  29. 제 27 항에 있어서, 상기 접합층을 형성하는 단계는,
    상기 반도체 기판 전면에 에피택셜층을 성장하는 단계; 및
    상기 에피택셜층에 소오스, 드레인용 불순물을 도핑시키는 단계를 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  30. 제 27 항에 있어서, 상기 접합층을 형성하는 단계는,
    상기 반도체 기판 전면에 소오스, 드레인용 불순물이 도핑된 상태의 에피택셜층을 성장시키는 단계를 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  31. 제 27 항에 있어서, 상기 접합층을 형성하는 단계는,
    상기 반도체 기판 전면에 소오스, 드레인용 불순물이 도핑된 실리콘층을 증착하는 단계를 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  32. 삭제
  33. 제 27 항에 있어서, 상기 노출된 반도체 기판을 에피택셜 성장시켜 채널층을 형성하는 단계 이후에, 상기 채널층 표면에 고 이동도 물질을 형성하는 단계를 더 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  34. 제 33 항에 있어서, 상기 고 이동도 물질은 C, Si, Ge의 단일 혹은 조합으로 이루어진 물질층 또는 이들의 적층 구조물로 형성하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  35. 제 34 항에 있어서, 상기 고 이동도 물질은 에피택셜 성장 방식으로 형성되는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  36. 제 27 항에 있어서, 상게 채널층을 형성하는 단계와, 상기 게이트 전극을 형성하는 단계 사이에, 게이트 산화막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  37. 제 36 항에 있어서, 상기 게이트 전극을 형성하는 단계는,
    상기 게이트 산화막 상부에 게이트 전극 예정 영역이 노출되도록 마스크 패턴을 형성하는 단계;
    상기 마스크 패턴 사이의 공간이 충진되도록 게이트 전극 물질을 증착하는 단계;
    상기 마스크 패턴 표면이 노출되도록 게이트 전극 물질을 평탄화하는 단계; 및
    상기 마스크 패턴을 제거하여 게이트 전극을 형성하는 단계를 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  38. 제 27 항에 있어서, 상기 게이트 전극을 형성하는 단계 이후에,
    상기 게이트 전극 양측벽에 스페이서를 형성하는 단계; 및
    상기 게이트 전극 상부, 소오스 및 드레인 영역 상부에 실리사이드막을 형성하는 단계를 더 포함하는 하는 전계 효과 트랜지스터의 제조방법.
  39. 제 38 항에 있어서, 상기 스페이서를 형성하는 단계와 상기 실리사이드막을 형성하는 단계 사이에, 상기 소오스 및 드레인 영역의 측벽 부분이 노출되도록 상기 소자 분리막을 소정 깊이만큼 제거하는 단계를 더 포함하는 전계 효과 트랜지스터의 제조방법.
  40. 제 27 항에 있어서, 상기 채널층을 형성하는 단계와, 상기 게이트 산화막을 형성하는 단계 사이에 상기 소자 분리막을 상기 채널층의 측벽 부분이 노출될 수 있도록 소정 깊이만큼 제거하는 단계를 더 포함하는 전계 효과 트랜지스터의 제조방법.
  41. 제 27 항에 있어서, 상기 채널층을 형성하는 단계와, 상기 게이트 전극을 형성하는 단계 사이에,
    상기 채널층 상부에 스토리지 노드를 형성하는 단계를 더 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  42. 반도체 기판 표면에 채널층을 형성하는 단계;
    상기 반도체 기판의 소정 부분에 소자 분리막을 형성하는 단계;
    상기 채널층 상부에 게이트 전극을 형성하는 단계;
    상기 게이트 전극 양측벽에 측벽 스페이서를 형성하는 단계;
    상기 게이트 전극 및 측벽 스페이서의 형태로 상기 채널층을 비등방성 식각하여, 채널층, 및 소오스, 드레인 예정 영역을 한정하는 단계; 및
    상기 소오스 및 드레인 예정 영역에 불순물이 포함된 에피택셜층을 형성하여, 소오스 및 드레인 영역을 형성하는 단계를 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  43. 제 42 항에 있어서, 상기 채널층을 형성하는 단계는,
    상기 반도체 기판 전면에 소정의 불순물을 주입하는 단계; 및
    상기 불순물을 활성화시키는 단계를 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  44. 제 42 항에 있어서, 상기 채널층을 형성하는 단계는,
    상기 반도체 기판 전면에 에피택셜층을 성장하는 단계; 및
    상기 에피택셜층에 소정의 불순물을 도핑시키는 단계를 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  45. 제 42 항에 있어서, 상기 채널층을 형성하는 단계는,
    상기 반도체 기판 전면에 소정의 불순물이 도핑된 상태의 에피택셜층을 성장시키는 단계를 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  46. 제 42 항에 있어서, 상기 채널층을 형성하는 단계는,
    상기 반도체 기판 전면에 소정의 불순물이 도핑된 실리콘층을 증착하는 단계를 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  47. 제 42 항에 있어서, 상기 게이트 전극을 형성하는 단계는,
    상기 채널층 표면에 게이트 산화막을 형성하는 단계;
    상기 게이트 산화막 표면에 게이트 전극용 물질을 형성하는 단계;
    상기 게이트 전극용 물질 상부에 하드 마스크막을 형성하는 단계;
    상기 하드 마스크막 상부에 게이트 전극 한정용 포토레지스트 패턴을 형성하는 단계;
    상기 포토레지스트 패턴의 형태로 하드 마스크막 및 게이트 전극용 물질을 패터닝하는 단계; 및
    상기 포토레지스트 패턴을 제거하는 단계를 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  48. 제 47 항에 있어서, 상기 게이트 측벽 스페이서를 형성하는 단계는,
    상기 게이트 전극 양측벽을 소정 두께만큼 산화시키는 단계를 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  49. 제 42 항에 있어서, 상기 소오스 및 드레인 예정 영역을 한정하는 단계는,
    상기 게이트 전극 및 측벽 스페이서를 마스크로 하여, 상기 게이트 산화막 및 채널층을 식각하여 반도체 기판 부분을 노출시키는 단계를 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  50. 제 49 항에 있어서, 상기 소오스 및 드레인 영역을 형성하는 단계는,
    상기 노출된 반도체 기판을 불순물이 포함된 상태로 소정 높이까지 SEG(selective epitaxial growth) 성장시키는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  51. 제 42 항에 있어서, 상기 채널층을 형성하는 단계와, 상기 게이트 전극을 형성하는 단계 사이에, 상기 채널층 상부에 고이동도 물질을 형성하는 단계를 더 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  52. 제 51 항에 있어서, 상기 고 이동도 물질은 C, Si, Ge의 단일 혹은 조합으로 이루어진 물질층 또는 이들의 적층 구조물로 형성하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  53. 제 52 항에 있어서, 상기 고 이동도 물질은 에피택셜 성장 방식으로 형성되는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  54. 제 42 항에 있어서, 상기 채널층을 형성하는 단계와, 상기 게이트 전극을 형성하는 단계 사이에, 상기 게이트 산화막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  55. 제 42 항에 있어서, 상기 채널층을 형성하는 단계와, 상기 게이트 전극을 형성하는 단계 사이에, 상기 스토리지 노드를 형성하는 단계를 더 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  56. 제 42 항에 있어서, 상기 소자 분리막을 형성하는 단계 및 게이트 전극을 형성하는 단계는,
    상기 채널층이 형성된 반도체 기판상에 소자 분리 예정 영역이 노출되도록 마스크 패턴을 형성하는 단계;
    상기 노출된 소자 분리 예정 영역에 소자 분리막을 형성하는 단계;
    상기 마스크 패턴 상부에 게이트 전극 예정 영역이 노출되도록 레지스트 패턴을 형성하는 단계;
    상기 레지스트 패턴의 형태로 상기 마스크 패턴 및 소자 분리막을 소정 깊이 만큼 식각하는 단계;
    상기 레지스트 패턴을 제거하는 단계;
    상기 마스크 패턴에 의해 노출된 게이트 전극 예정 영역이 충진되도록 게이트 전극 물질을 증착하는 단계;
    상기 게이트 전극 물질을 상기 마스크 패턴 표면이 노출되도록 평탄화하여 게이트 전극을 형성하는 단계; 및
    상기 마스크 패턴을 제거하는 단계를 포함하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
  57. 제 56 항에 있어서, 상기 소자 분리막을 소정 깊이만큼 식각하는 단계는,
    상기 채널층의 측면 부분이 노출될 수 있도록 상기 소자 분리막을 식각하는 것을 특징으로 하는 전계 효과 트랜지스터의 제조방법.
KR1020040044512A 2004-06-16 2004-06-16 접합 영역의 어브럽트니스를 개선시킬 수 있는 전계 효과트랜지스터 및 그 제조방법 KR100604870B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020040044512A KR100604870B1 (ko) 2004-06-16 2004-06-16 접합 영역의 어브럽트니스를 개선시킬 수 있는 전계 효과트랜지스터 및 그 제조방법
US11/089,371 US20050280102A1 (en) 2004-06-16 2005-03-25 Field effect transistor and method for manufacturing the same
US12/588,193 US8101475B2 (en) 2004-06-16 2009-10-07 Field effect transistor and method for manufacturing the same
US13/284,889 US8415210B2 (en) 2004-06-16 2011-10-29 Field effect transistor and method for manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040044512A KR100604870B1 (ko) 2004-06-16 2004-06-16 접합 영역의 어브럽트니스를 개선시킬 수 있는 전계 효과트랜지스터 및 그 제조방법

Publications (2)

Publication Number Publication Date
KR20050119424A KR20050119424A (ko) 2005-12-21
KR100604870B1 true KR100604870B1 (ko) 2006-07-31

Family

ID=35479760

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040044512A KR100604870B1 (ko) 2004-06-16 2004-06-16 접합 영역의 어브럽트니스를 개선시킬 수 있는 전계 효과트랜지스터 및 그 제조방법

Country Status (2)

Country Link
US (3) US20050280102A1 (ko)
KR (1) KR100604870B1 (ko)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7572705B1 (en) * 2005-09-21 2009-08-11 Advanced Micro Devices, Inc. Semiconductor device and method of manufacturing a semiconductor device
US8063437B2 (en) * 2007-07-27 2011-11-22 Panasonic Corporation Semiconductor device and method for producing the same
US8004045B2 (en) 2007-07-27 2011-08-23 Panasonic Corporation Semiconductor device and method for producing the same
US8546876B2 (en) * 2008-03-20 2013-10-01 Micron Technology, Inc. Systems and devices including multi-transistor cells and methods of using, making, and operating the same
US7969776B2 (en) 2008-04-03 2011-06-28 Micron Technology, Inc. Data cells with drivers and methods of making and operating the same
US8048723B2 (en) 2008-12-05 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs having dielectric punch-through stoppers
US8106459B2 (en) 2008-05-06 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs having dielectric punch-through stoppers
US7964487B2 (en) * 2008-06-04 2011-06-21 International Business Machines Corporation Carrier mobility enhanced channel devices and method of manufacture
WO2010032174A1 (en) * 2008-09-16 2010-03-25 Nxp B.V. Fin field effect transistor (finfet)
US8263462B2 (en) * 2008-12-31 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric punch-through stoppers for forming FinFETs having dual fin heights
US8305829B2 (en) * 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8293616B2 (en) 2009-02-24 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabrication of semiconductor devices with low capacitance
US8305790B2 (en) * 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US8957482B2 (en) 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US8912602B2 (en) 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8461015B2 (en) * 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8264032B2 (en) 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8629478B2 (en) * 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8264021B2 (en) * 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8187928B2 (en) 2010-09-21 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuits
US8482073B2 (en) * 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8472227B2 (en) * 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US20110068348A1 (en) * 2009-09-18 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Thin body mosfet with conducting surface channel extensions and gate-controlled channel sidewalls
US20110097867A1 (en) * 2009-10-22 2011-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thicknesses in forming fusi gates
KR101121633B1 (ko) * 2009-12-30 2012-03-09 주식회사 하이닉스반도체 반도체 장치 및 그 제조방법
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
KR101894897B1 (ko) * 2010-06-04 2018-09-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
KR101797961B1 (ko) * 2011-06-09 2017-11-16 삼성전자주식회사 반도체 장치의 제조 방법
KR101865754B1 (ko) 2011-07-01 2018-06-12 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN102891175B (zh) * 2011-07-19 2016-03-16 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US9263566B2 (en) 2011-07-19 2016-02-16 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor device and manufacturing method thereof
CN103035712B (zh) * 2011-10-09 2015-10-14 中国科学院微电子研究所 半导体器件及其制造方法
WO2013095349A1 (en) * 2011-12-19 2013-06-27 Intel Corporation Semiconductor device having metallic source and drain regions
JP6309299B2 (ja) * 2013-02-27 2018-04-11 ルネサスエレクトロニクス株式会社 圧縮歪みチャネル領域を有する半導体装置及びその製造方法
US9202906B2 (en) 2013-03-14 2015-12-01 Northrop Grumman Systems Corporation Superlattice crenelated gate field effect transistor
CN104425268B (zh) * 2013-08-27 2017-08-01 中芯国际集成电路制造(北京)有限公司 一种FinFET器件及其制造方法
EP2866264A1 (en) 2013-10-22 2015-04-29 IMEC vzw Method for manufacturing a field effect transistor of a non-planar type
US9773733B2 (en) * 2015-03-26 2017-09-26 Mie Fujitsu Semiconductor Limited Semiconductor device
US9917195B2 (en) * 2015-07-29 2018-03-13 International Business Machines Corporation High doped III-V source/drain junctions for field effect transistors
DE102016208668A1 (de) * 2016-05-19 2017-11-23 Ihp Gmbh-Innovations For High Performance Microelectronics / Leibniz-Institut Für Innovative Mikroelektronik MOS-Transistor für strahlentolerante digitale CMOS-Schaltungen
US10930791B2 (en) 2016-09-30 2021-02-23 Intel Corporation Systems, methods, and apparatuses for implementing bi-layer semiconducting oxides in source and drain for low access and contact resistance of thin film transistors
US11489058B2 (en) 2018-07-27 2022-11-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and associated manufacturing method
CN110707151B (zh) * 2019-11-13 2023-04-07 江苏丽隽功率半导体有限公司 一种静电感应晶闸管及其制作方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6621123B1 (en) 1996-06-12 2003-09-16 Matsushita Electric Industrial Co., Ltd. Semiconductor device, and semiconductor integrated device

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5045916A (en) * 1985-01-22 1991-09-03 Fairchild Semiconductor Corporation Extended silicide and external contact technology
US4753897A (en) * 1986-03-14 1988-06-28 Motorola Inc. Method for providing contact separation in silicided devices using false gate
FR2600821B1 (fr) * 1986-06-30 1988-12-30 Thomson Csf Dispositif semi-conducteur a heterojonction et double canal, son application a un transistor a effet de champ, et son application a un dispositif de transductance negative
JPH07118484B2 (ja) * 1987-10-09 1995-12-18 沖電気工業株式会社 ショットキーゲート電界効果トランジスタの製造方法
KR0161731B1 (ko) * 1994-10-28 1999-02-01 김주용 반도체소자의 미세콘택 형성방법
US5514891A (en) * 1995-06-02 1996-05-07 Motorola N-type HIGFET and method
US6518155B1 (en) * 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6114733A (en) * 1997-10-24 2000-09-05 Texas Instruments Incorporated Surface protective layer for improved silicide formation
JP4236722B2 (ja) * 1998-02-05 2009-03-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6049119A (en) * 1998-05-01 2000-04-11 Motorola, Inc. Protection circuit for a semiconductor device
US6169006B1 (en) * 1998-07-29 2001-01-02 Advanced Micro Devices, Inc. Semiconductor device having grown oxide spacers and method of manufacture thereof
JP4068746B2 (ja) * 1998-12-25 2008-03-26 株式会社ルネサステクノロジ 半導体集積回路装置
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6544854B1 (en) * 2000-11-28 2003-04-08 Lsi Logic Corporation Silicon germanium CMOS channel
WO2002052652A1 (fr) * 2000-12-26 2002-07-04 Matsushita Electric Industrial Co., Ltd. Composant a semi-conducteur et son procede de fabrication
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6528851B1 (en) * 2001-05-31 2003-03-04 Advanced Micro Devices, Inc. Post-silicidation implant for introducing recombination center in body of SOI MOSFET
US20030038305A1 (en) * 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
KR100442089B1 (ko) * 2002-01-29 2004-07-27 삼성전자주식회사 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법
KR100487922B1 (ko) * 2002-12-06 2005-05-06 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
US6645797B1 (en) * 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US6864164B1 (en) * 2002-12-17 2005-03-08 Advanced Micro Devices, Inc. Finfet gate formation using reverse trim of dummy gate
US6885055B2 (en) * 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
CN100437970C (zh) * 2003-03-07 2008-11-26 琥珀波系统公司 一种结构及用于形成半导体结构的方法
US7223679B2 (en) * 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7018901B1 (en) * 2004-09-29 2006-03-28 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a strained channel and a heterojunction source/drain
US7102181B1 (en) * 2005-04-22 2006-09-05 International Business Machines Corporation Structure and method for dual-gate FET with SOI substrate

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6621123B1 (en) 1996-06-12 2003-09-16 Matsushita Electric Industrial Co., Ltd. Semiconductor device, and semiconductor integrated device

Also Published As

Publication number Publication date
US8415210B2 (en) 2013-04-09
US8101475B2 (en) 2012-01-24
US20120058613A1 (en) 2012-03-08
KR20050119424A (ko) 2005-12-21
US20100035398A1 (en) 2010-02-11
US20050280102A1 (en) 2005-12-22

Similar Documents

Publication Publication Date Title
KR100604870B1 (ko) 접합 영역의 어브럽트니스를 개선시킬 수 있는 전계 효과트랜지스터 및 그 제조방법
US7402483B2 (en) Methods of forming a multi-bridge-channel MOSFET
KR100552058B1 (ko) 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 제조 방법
US7074662B2 (en) Methods for fabricating fin field effect transistors using a protective layer to reduce etching damage
US8384152B2 (en) Semiconductor device having trench gate VDMOSFET and method of manufacturing the same
KR100505113B1 (ko) 모스 트랜지스터 및 그 제조방법
US8513727B2 (en) Surrounding stacked gate multi-gate FET structure nonvolatile memory device
US11532637B2 (en) Embedded flash memory cell including a tunnel dielectric layer having different thicknesses over a memory region
KR100420070B1 (ko) 이중-게이트 플래쉬 메모리소자 및 그 제조방법
KR20060019470A (ko) 비휘발성 반도체 소자 및 그 제조 방법
KR20080037140A (ko) 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그제조 방법
KR100437011B1 (ko) 금속실리사이드막을 갖는 반도체 소자의 형성방법
US9748336B2 (en) Semiconductor device including dual-layer source/drain region
KR100615096B1 (ko) 다중 채널을 갖는 모스 트랜지스터 제조방법
US7585755B2 (en) Method of fabricating non-volatile memory device
WO2022252855A1 (zh) 半导体装置及其制造方法及包括其的电子设备
KR100576360B1 (ko) 티형 게이트 및 엘형 스페이서를 구비하는 반도체 소자의 제조 방법
CN111883537B (zh) 嵌入式镜像位sonos存储器的工艺方法
JPH07106557A (ja) 半導体装置およびその製造方法
KR100467024B1 (ko) 소오스/드레인 영역에 확산 방지막을 구비하는 반도체소자 및 그 형성 방법
KR100636672B1 (ko) 반도체 장치의 트랜지스터 제조방법
KR101044773B1 (ko) 증가된 채널 폭을 갖는 mos 트랜지스터 및 제조 방법
KR20000046967A (ko) 트랜지스터의 제조방법 및 그 구조
KR20040082751A (ko) 플래시 메모리 소자의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130701

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140630

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150630

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160630

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170630

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180629

Year of fee payment: 13